TSMC Secret - 企業永續發展協會 · 2010-12-16 · Security C – TSMC Secret 2009 TSMC, Ltd...

45
Security C – TSMC Secret 2009 TSMC, Ltd 0 Integrated CSR Performance Integrated CSR Performance Taiwan Semiconductor Manufacturing Company Taiwan Semiconductor Manufacturing Company Fang-Ming Hsu Dec. 2, 2009

Transcript of TSMC Secret - 企業永續發展協會 · 2010-12-16 · Security C – TSMC Secret 2009 TSMC, Ltd...

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    0

    Integrated CSR PerformanceIntegrated CSR PerformanceTaiwan Semiconductor Manufacturing CompanyTaiwan Semiconductor Manufacturing Company

    Fang-Ming HsuDec. 2, 2009

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    1

    TSMC Dr. Morris Chang: (2009.11.13)I believe the main social responsibility of a corporation is to help to bring about healthy changes in society.

    Emphasize protection of the environment and climate.

    Started green businesses.

    Provide well-paying job opportunities in a good work environment. InnovationContributions to cultural and educational and community activities

    Be honesty Respect laws Abhor cronyism Practice good

    governance Do not engage in

    politics

    Env.

    Protection

    Governance

    /Economy

    Social

    Corporate Social Responsibility

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    2

    1. First guideline is honesty. We are honest to our hundreds of thousands of shareholders, to the public, and to all our employees.

    2. We respect the rule of law . We do not try to circumvent it.3. We abhor cronyism. We do not seek favoritism from the government

    or any government official, and we do not bribe.4. We practice good corporate governance. 5. We do not engage in politics, 6. We aim to provide not just job opportunities, but well-paying job

    opportunities in a good work environment. 7. We emphasize protection of the environment and climate. 8. Innovation is our core value. 9. We have started two green businesses: solar energy, and LEDs. 10.Within our corporate means, we also make contributions to cultural

    and educational and community activities.

    TSMC 10 CSR GuidelinesTSMC 10 CSR GuidelinesThe main social responsibility of a corporation is to help to bring about healthy changes in society. TSMC has adopted 10 guidelines for our corporate behavior:

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    3

    Governance /EconomicGovernance /Economic

    We are honest.

    We respect laws.

    We abhor cronyism.

    We practice good governance.

    We do not engage in politics.

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    4

    EnvironmentalEnvironmental

    Source: AFP Source: premiers2

    RoHS

    We emphasize protection of the environment We emphasize protection of the environment and climate.and climate. We started green businesses.We started green businesses.

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    5UNEP UNEP

    Source: American Council for Voluntary International Action

    Climate Change

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    6

    What TSMC has been doing?What TSMC has been doing?

    2005

    2006

    2009

    Code Code ComplianceCompliance

    Voluntary Voluntary Carbon ReductionCarbon Reduction

    Hazardous Sustenance Free –RoHS, QC 080000

    PFC Reduction

    Green Building - LEED Gold CertificateEEWH Diamond Certificate

    2007~2008

    2004

    Tool Low Carbon Design

    2010 ~

    Carbon Disclosure

    Waste Reduction and Recycling

    Management System – ISO 14001

    Carbon Inventory

    Taiwan Corporate Sustainability Forum

    Supply Chain ESH Management Introduction

    Green Supply Chain- Low Carbon

    Green Supply Chain Starts

    LEED: Leadership in Energy and Environmental Design EEWH: Ecology, Energy Saving, Waste Reduction, Health – Taiwan green building standard

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    7

    Main Green Sectors

    Green Manufacturing

    Green Product

    Green Supply Chain

    Green External Collaboration

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    8

    Inventory and DisclosureInventory and Disclosure Greenhouse Gas Inventory

    Started from 2004 ISO14064 certificate (3rd party: BSI)

    Carbon Disclosure Disclosure Channel

    CSR Report (www.tsmc.com)Carbon Disclosure Project (CDP) Dow Jones Sustainability Index (DJSI)

    3rd Party RecognitionOnly Company in Taiwan Selected at Carbon Disclosure

    Leadership Index (CDLI)Listed as DJSI World Component for 8 consecutive years

    SUN CO2PFC

    CH4N2OSF6NF3

    Carbon Management Carbon Management --

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    9

    Greenhouse Gas Reduction PFCs Emission Reduction Commitment

    Signed MOUs with WSC and Taiwan EPA To reduce PFCs emission to 10% below the average of

    1997 and 1999 by 2010

    GWP: Global Warming Potential MMTCE: Million Metric Ton of Carbon Equivalent

    Carbon ReductionCarbon Reduction

    TSMC PFC Emission & Forecast

    0.000

    0.250

    0.500

    0.750

    1.000

    2005 2006 2007 2008 2009 2010Year

    Emis

    sion

    (Uni

    t: M

    MTC

    E)

    Emission without ReductionReal EmissionForecast Emission

    ~70% Reduction

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    10

    Action Heat recovery chiller, Dual-temp. chilled water system Mini-environment clean room Power Inverters General exhaust recycling

    Achievement 6 TSMC Fabs were Energy

    Saving awarded by Taiwan government

    0

    100

    200

    300

    400

    500

    600

    2004 2005 2006 2007 2008

    KWH

    /8-in

    ch w

    afer

    out-e

    (pie

    ce)

    Energy ConservationEnergy Conservation

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    11

    Shimon Dam epochtimesROODOWater Management

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    12

    Recycling System Process Water : Collect, treat and

    recycle 25 kinds of waste water

    Rain Water

    Performance City water saving rate 55%, Process

    water recycling rate >85%,Total fabrecycling rate >75%

    Landscaping water: 100% uses rain water

    Water ConservationWater Conservation

    Process Water Recycling

    Irrigation with rain water

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    13

    Waste Handling

    greenergagets

    ieewaste

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    14

    Science Park

    TreatmentFacilities

    DrumsPR Drums

    Ion Ex. ResinActive Carbon

    CaF2Race Rings

    Filters

    GeneralsNon-flammableHeavy Metals

    WoodMetalsCablesWaferCloths

    PlasticsParts

    AWDHF

    BW

    CMPCu CMP

    H2SO4CuSO4

    Thinner

    PROil

    Food Waste

    Solid Waste

    AcidsCaustics Others

    Waste Water

    Waste Type

    TMAH

    Batteries

    Adsorbents

    HNO3

    NH4-N

    水、氣、化學品

    Exhausts

    VEXSEXAEX

    Solvent

    Raw Material

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    15

    2008~ waste recycling rate: 90%

    Waste Recycling / ReductionWaste Recycling / Reduction

    Year 2001 2002 2003 2004 2005 2006 2007 2008

    Materials Recycling 30% 43% 50% 60% 64% 74% 80% 85%

    Energy Recovery 35% 32% 29% 24% 22% 13% 6% 5%

    Incineration 15% 11% 16% 15% 12% 12% 13% 9%Landfill 20% 14% 6% 1% 1% 1% 1% 1%

    30%

    60% 64%74% 80%

    85%35%

    32%

    22%13% 6%

    5%

    15%

    12% 12% 13% 9%20%14%

    43%50%

    24%

    29%

    15%16%

    11%

    6%

    0%

    10%

    20%

    30%

    40%

    50%

    60%

    70%

    80%

    90%

    100%

    2001 2002 2003 2004 2005 2006 2007 2008

    Landfill

    Incineration

    EnergyRecovery

    MaterialsRecycling

    Material Reuse

    Energy Recovery

    Incineration

    Landfill

    PriorityPriority

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    16 Green Building Fabs

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    17

    Green Building Certification USGBC LEED Gold Certificate: TSMC, AUO

    Taiwan EEWH Diamond Certificate:

    TSMC Fab12P4、Fab14P3 Delta Tainan Office/Factory Chimei Recreation Center

    TSMC AUO Delta Chimei

    Factory Green Buildings in Taiwan Factory Green Buildings in Taiwan

    Fab14P3

    Fab12P4

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    18

    TSMC Green Building PolicyTSMC Green Building PolicyAll new fabs will be green building certified.All existing fabs will be improved according to Green Building concepts.

    Energy Efficiency: 20% higher than ASHRAE Standards (non-process)

    Water Efficiency: Process water recycling rate exceeds 90%; City water reduction exceeds 55%.

    Materials and Resources: Reducing construction waste by 95%; Operating waste recycling rate exceeds 90%.

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    19

    Green ProductGreen Product Hazardous Substance Restriction (RoHS)

    Low Power Product

    Eco-labeling (Carbon Footprint)

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    20

    Control – All TSMC’s Fabs, subsidiaries and foundries are QC080000 certified.

    Comply with international codes totally RoHS, EU REACH, Halogen Free, SONY GP and PFOS.

    QC080000: Hazardous substances process management certification RoHS: Restriction of Hazardous Substance; restriction of Pb, Cd, Hg, Cr, PBB, PBDE in electric &

    electronic products EuP: Energy using products

    Hazardous Substance RestrictionHazardous Substance Restriction

    Biketronix Alphametals

    PFOS Restriction

    TSMC

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    21

    We don’t do it alone. It is a collaborative effort with

    customer.

    Designers

    Low Power Product DesignLow Power Product Design

    Partner A

    Partner B

    Partner C

    Partner C

    tsmc Power Trim

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    22

    TSMC/ASE announced the world first IC Environmental Product Declaration in September 2009, including carbon footprints.

    This is an early response to Wal-Mart’s 2014 requirements for suppliers announced in July 2009.

    Initiatives for Green Product LabelingInitiatives for Green Product Labeling- World’s First IC Product Category Rule for Eco-labeling

    Extraction and production of raw materials

    Refining of raw materials

    Wafer mfg. Chip assembly Testing

    Semiconductor PCR Based on Lifecycle Assessment CustomersRetailers

    ConsumersEco-labelsCarbon Footprints

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    23

    Green Supply ChainGreen Supply Chain

    TSMC Green Supply Chain Policy –

    As the global foundry leader in semiconductor industry, TSMC recognizes that environment protection is a key part of corporate social responsibility, and commits to work with suppliers on

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    24

    Green ProcurementGreen Procurement

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    25

    2007-2008Legal Compliance

    20072007--20082008Legal Compliance

    2005-2006Set up methodology

    20052005--20062006Set up methodologySet up methodology

    2009 - 2010Carbon Management

    2009 2009 -- 20102010Carbon Management

    Test&Assem. subcons

    Set TSMC Guidelines Questionnaire and Audit Assistance

    Host “TSMC Supply Chain ESH Forum” Issue supplier ESH Notice Assist critical suppliers to improve ESH

    management, establish partnership

    Gas & Chemical suppliersWaste Contractors

    Materialsuppliers

    Supplier Audit and AssistanceSupplier Audit and Assistance

    Suppliers visited TSMC

    Parts clean Logistic Contractors

    ESH: Environmental, Safety & Health

    2009 Supply Chain Carbon Inventory

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    26

    Collaboration for Carbon InventoryCollaboration for Carbon Inventory

    “Carbon Inventory & Reduction” is a key focus of TSMC supply chain management in 2009.

    TSMC assisted 20 suppliers with 36 manufacturing plants in Taiwan to complete carbon inventory.

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    27

    SocialSocialWe provide well-paying job opportunities in a

    good work environment. InnovationWe contribute to cultural and educational and

    community activities

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    28

    TSMC Education and Culture Foundation

    台積電文教基金會

    Chairman: Dr. F. C. Tseng Foundation’s Four Core Objectives :

    Commitment for Education

    Sponsorship of the Arts and Culture

    Contribution to Local Communities

    Organizing Employee Volunteers

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    29

    Primary-School : Cultivating Aesthetic EducationTSMC Aesthetic Education Tour

    High-School : Nurturing Science and Humanities Science Talent CampsCalligraphy and Literature Contests

    College : Developing Talents with a Global ViewTSMC Professorships & ScholarshipsDonating Facilities :

    TSMC Hall of N.T.H.UChemistry Center of N.T.U

    Commitment for EducationCommitment for EducationOrganizing various educational programs to target at different levels

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    30

    Promoting art and culture events by inviting international artists, and assisting prominent Taiwanese art groups.

    Sponsorship of Arts and CultureSponsorship of Arts and Culture

    Introducing Exhibition

    Sponsoring Performance

    Supporting Historical Heritage

    Promoting Chinese Classic

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    31

    Hsin-Chu Art Festival

    Contribution to Local CommunitiesContribution to Local Communities

    2003

    2007

    2004 2005

    2008 2009

    2006

    Enhancing the quality of life in TSMC communities in Hsinchu and Tainan.

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    32

    Encouraging TSMC employees to serve as volunteers

    Organizing Employee VolunteersOrganizing Employee Volunteers

    Docent Volunteer TSMC employees serve volunteer guide at the exhibition “The World of Integrated Circuits”in National Museum of Natural Science.

    Booking Reading VolunteerTSMC employees read stories to the studentsof five rural schools in Hsinchu and Tainan.

    Energy-Saving VolunteerTSMC employees help public high schools to enhance the safety and efficiency of power usage.

    導覽志工

    導讀志工

    節能志工

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    33

    Typhoon Morakot Before and After, Shiao Lin, Taiwan, 2009.8.9

    Phenixyu.blogspot

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    34

    TSMC helped recover 94 damaged elementary schools in Tainan in 3 weeks. Professional engineer teams were involved.

    Typhoon MorakotTyphoon Morakot-- Community assistanceCommunity assistance

    94 schools damaged

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    35

    Recovering (workforce: more than 180 people per day)

    Typhoon MorakotTyphoon Morakot-- Community ServiceCommunity Service

    Recovered (within 3 weeks after the Flood 88)

  • TSMC Property

    © 2007 TSMC, Ltd

    Employee RelationsEmployee Relations

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    37

    Employee Communications

    Employee Communications

    Employee Engagement Employee

    Engagement

    Employee Assistances Employee

    Assistances Organization

    Health & Labor Relations

    Organization Health & Labor

    Relations

    4 4 PillarsPillars

    Be A Great Place to Work at

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    38

    Employee RelationsEmployee Relations

    Human Right in TSMCOffers equal opportunities for

    job applicants and employees Established and actively

    advocated gender equality and a fair working environment.

    Conducting regular training to all employees in sexual harassment prevention

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    39

    Employee Engagement & RecognitionEmployee Engagement & Recognition

    Employee Engagemente-Silicon Garden, Festival Activities, Special Event, e.g. Sports Day & Family Day.

    Employee RecognitionOutstanding team and individual accomplishments are recognized by external and internal awards. e.g. The Innovation Award and Customer Partnership Award, Service Award, and the Taiwan National Management Excellence Award

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    40

    Employee Assistances Employee Assistances

    • Work and Life Integration Health care Health promotion Occupational health Employee assistance program

    •Employee Assistance Mental Counsel Emergency Aid Legal Counsel Employee Care Employee Donation Employee Feedback

    • Dynamic and Fun Working Environment On-site Services: Travel agents, Convenient stories,

    Dry cleaning, Coffee shops, Fitness centers, Bookstores Employee accommodation, Dining and

    Transportation services Employee activities, In-house recreational facilities

    and amenities Extends these services to employees’ families.

    We commit to provide multidimensional well being to take care employees for their work and life

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    41

    CSR External CollaborationCSR External Collaboration

    With Government

    With Academia

    With Industries

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    42

    Taiwan Corporate Sustainability ForumTaiwan Corporate Sustainability Forum

    TSMC invited 20 Taiwan’s enterprises forming “Taiwan Corporate Sustainability Forum” on October 16, 2008 Cross-industries sharing, learning Common environmental issue solving First two years will focus on energy conservation, carbon reduction and

    community care.

    Three events happened TSMC – Green Building Forum ASUS – Used PC Recycling ACER – ICT CSR Forum YFY Paper – Used Book Donation

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    43

    Taiwan Corporate Sustainability ForumTaiwan Corporate Sustainability ForumC

    ross-industryTCSF Sharing and Collaboration

    within industry

    SemiconductorTSMCPSCUMCASE

    TFT-LCD Steel Food Computer Communication PaperAUO CSC Uni-President Acer CHT YFY

    CHIMEI ASUS

    Oil & Power Chemical Textile Car ElectronicCPC ECIC EVEREST KUOZUI Delta

    Tai-Power TECOLiteOn

    20 Companies

    Shacking Hands

  • Security C –TSMC Secret

    2009 TSMC, Ltd

    44

    Thank You!Thank You!TSMC engages with TSMC engages with Green Energy businesses Green Energy businesses since 2009since 2009

    ledzx lcruenchgear mygreentreasure