PARR: Pin Access Planning and Regular Routing for Self ...PARR: Pin Access Planning and Regular...

6
PARR: Pin Access Planning and Regular Routing for Self-Aligned Double Patterning Xiaoqing Xu, Bei Yu, Jhih-Rong Gao, Che-Lun Hsu, David Z. Pan ECE Department, Univ. of Texas at Austin, Austin, TX, USA 78712 {xiaoqingxu, bei, jrgao, chsu1, dpan}@cerc.utexas.edu ABSTRACT Pin access has become one of the most di๏ฌƒcult challenges for detailed routing in 14nm technology node and beyond, where double patterning lithography has to be used for man- ufacturing lower metal layers with tight pitches. Self-aligned double patterning (SADP) provides better control on the line edge roughness and overlay but it has very restrictive design constraints and prefers regular layout patterns. This paper presents a comprehensive pin access planning and reg- ular routing framework (PARR) for SADP friendliness. Our key techniques include pre-computation of both intra-cell and inter-cell pin accessibility, as well as local and global pin access planning to enable the handshaking between standard cell level pin access and detailed routing under SADP con- straints. Our experimental results demonstrate that PARR can achieve much better routability and overlay control com- pared with previous approaches. Categories and Subject Descriptors EDA8.1 [Physical Design]: Routing General Terms Algorithms, Design, Performance Keywords SADP, Regular Layout, Pin Access, Net Deferring 1. INTRODUCTION In sub-20nm technology node, pin access has become a critical challenge for detailed routing [1]. Due to the density increase or area reduction of the technology scaling, limited number of routing tracks are available for the standard cell (SC) design. It makes the local SC I/O pin access challeng- ing because the access points of each pin available for the detailed router are limited and they interfere with each other [1,2]. Furthermore, the continued technology scaling of the lower routing layers in 14nm node and beyond depends on the complex design-for-manufacturability (DFM) strategies. Extreme regular layout towards 1-D gridded design [3, 4] Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for pro๏ฌt or commercial advantage and that copies bear this notice and the full cita- tion on the ๏ฌrst page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or re- publish, to post on servers or to redistribute to lists, requires prior speci๏ฌc permission and/or a fee. Request permissions from [email protected]. DACโ€™15, June 07-11, 2015, San Francisco, CA, USA. Copyright 2015 ACM 978-1-4503-3520-1/15/06 ...$15.00 http://dx.doi.org/10.1145/2744769.2744890. (a) (b) 1 2 M3 wire Cell boundary M2 wire blocked pin 1 2 M1 pin Via Figure 1: Pin access for detailed routing, (a) pin access failure, (b) pin access success. is a viable candidate for lower metal layers with increasing density. With 1-D gridded design, the line-space array de- composition can be applied to self-aligned double pattern- ing (SADP) with tight control on overlay and wafer-print artifacts [5]. However, SADP-speci๏ฌc design rules and 1-D layout patterns impose even more complicated constraints on the SC I/O pin access for the detailed router [2]. Detailed routing aims at pin access and search for exact routes of each net. A typical detailed routing strategy per- forms path๏ฌnding of the nets sequentially. For SC pin ac- cess, the access point selection of the local I/O pins of the net being routed could impact the routability of the remaining nets. A typical example is illustrated in Fig. 1. The pre- routed M2 wires in Fig. 1(a) blocks the I/O pin on the right side of Cell 1, which makes the remaining net unroutable. A di๏ฌ€erent access point selection scheme is shown in Fig. 1(b), where the accessing points of net A are changed and all nets are routed. In addition, the routing order of nets is also critical for the routability when each I/O pin has limited number of access points interfering each other [6]. Thus, the pin access planning, including access point selection at the SC level and net order prediction, is very important for the detailed router to achieve better pin accessibility. A wide range of academic researches across various design stages have been dedicated to the pin access issue in ad- vanced technology nodes, including SC design [1, 2], place- ment mitigation [7], global routing [8] and detailed rout- ing [6, 9]. Among them, SC I/O pin access and detailed routing play an important role due to their direct impact on the detailed routability. [2] addresses the I/O pin access is- sue for each cell in isolation under SADP-speci๏ฌc constraints but related detailed routing scheme is not explicitly pre- sented. [6] proposes an escape routing strategy to improve the detailed routability for the dense pin clusters instead of

Transcript of PARR: Pin Access Planning and Regular Routing for Self ...PARR: Pin Access Planning and Regular...

Page 1: PARR: Pin Access Planning and Regular Routing for Self ...PARR: Pin Access Planning and Regular Routing for Self-Aligned Double Patterning Xiaoqing Xu, Bei Yu, Jhih-Rong Gao, Che-Lun

PARR: Pin Access Planning and Regular Routing forSelf-Aligned Double Patterning

Xiaoqing Xu, Bei Yu, Jhih-Rong Gao, Che-Lun Hsu, David Z. PanECE Department, Univ. of Texas at Austin, Austin, TX, USA 78712

{xiaoqingxu, bei, jrgao, chsu1, dpan}@cerc.utexas.edu

ABSTRACTPin access has become one of the most difficult challengesfor detailed routing in 14nm technology node and beyond,where double patterning lithography has to be used for man-ufacturing lower metal layers with tight pitches. Self-aligneddouble patterning (SADP) provides better control on theline edge roughness and overlay but it has very restrictivedesign constraints and prefers regular layout patterns. Thispaper presents a comprehensive pin access planning and reg-ular routing framework (PARR) for SADP friendliness. Ourkey techniques include pre-computation of both intra-celland inter-cell pin accessibility, as well as local and global pinaccess planning to enable the handshaking between standardcell level pin access and detailed routing under SADP con-straints. Our experimental results demonstrate that PARRcan achieve much better routability and overlay control com-pared with previous approaches.

Categories and Subject DescriptorsEDA8.1 [Physical Design]: Routing

General TermsAlgorithms, Design, Performance

KeywordsSADP, Regular Layout, Pin Access, Net Deferring

1. INTRODUCTIONIn sub-20nm technology node, pin access has become a

critical challenge for detailed routing [1]. Due to the densityincrease or area reduction of the technology scaling, limitednumber of routing tracks are available for the standard cell(SC) design. It makes the local SC I/O pin access challeng-ing because the access points of each pin available for thedetailed router are limited and they interfere with each other[1, 2]. Furthermore, the continued technology scaling of thelower routing layers in 14nm node and beyond depends onthe complex design-for-manufacturability (DFM) strategies.Extreme regular layout towards 1-D gridded design [3, 4]

Permission to make digital or hard copies of all or part of this work for personal orclassroom use is granted without fee provided that copies are not made or distributedfor profit or commercial advantage and that copies bear this notice and the full cita-tion on the first page. Copyrights for components of this work owned by others thanACM must be honored. Abstracting with credit is permitted. To copy otherwise, or re-publish, to post on servers or to redistribute to lists, requires prior specific permissionand/or a fee. Request permissions from [email protected]โ€™15, June 07-11, 2015, San Francisco, CA, USA.Copyright 2015 ACM 978-1-4503-3520-1/15/06 ...$15.00http://dx.doi.org/10.1145/2744769.2744890.

(a) (b)

๐ถ๐‘’๐‘™๐‘™ 1 ๐ถ๐‘’๐‘™๐‘™ 2

M3 wire

Cell boundary M2 wire

blocked pin

๐ถ๐‘’๐‘™๐‘™ 1 ๐ถ๐‘’๐‘™๐‘™ 2

๐ด

M1 pin

Via

Figure 1: Pin access for detailed routing, (a) pinaccess failure, (b) pin access success.

is a viable candidate for lower metal layers with increasingdensity. With 1-D gridded design, the line-space array de-composition can be applied to self-aligned double pattern-ing (SADP) with tight control on overlay and wafer-printartifacts [5]. However, SADP-specific design rules and 1-Dlayout patterns impose even more complicated constraintson the SC I/O pin access for the detailed router [2].

Detailed routing aims at pin access and search for exactroutes of each net. A typical detailed routing strategy per-forms pathfinding of the nets sequentially. For SC pin ac-cess, the access point selection of the local I/O pins of the netbeing routed could impact the routability of the remainingnets. A typical example is illustrated in Fig. 1. The pre-routed M2 wires in Fig. 1(a) blocks the I/O pin on the rightside of Cell 1, which makes the remaining net unroutable. Adifferent access point selection scheme is shown in Fig. 1(b),where the accessing points of net A are changed and all netsare routed. In addition, the routing order of nets is alsocritical for the routability when each I/O pin has limitednumber of access points interfering each other [6]. Thus, thepin access planning, including access point selection at theSC level and net order prediction, is very important for thedetailed router to achieve better pin accessibility.

A wide range of academic researches across various designstages have been dedicated to the pin access issue in ad-vanced technology nodes, including SC design [1, 2], place-ment mitigation [7], global routing [8] and detailed rout-ing [6, 9]. Among them, SC I/O pin access and detailedrouting play an important role due to their direct impact onthe detailed routability. [2] addresses the I/O pin access is-sue for each cell in isolation under SADP-specific constraintsbut related detailed routing scheme is not explicitly pre-sented. [6] proposes an escape routing strategy to improvethe detailed routability for the dense pin clusters instead of

Page 2: PARR: Pin Access Planning and Regular Routing for Self ...PARR: Pin Access Planning and Regular Routing for Self-Aligned Double Patterning Xiaoqing Xu, Bei Yu, Jhih-Rong Gao, Che-Lun

each SC within the design. [9] focuses on the gridless pinaccess in the detailed routing stage, which can not be di-rectly applied in gridded based designs in advanced technol-ogy nodes [3].

SADP, in particular, imposes a new set of difficulties onthe detailed routing stage. For example, in Fig. 1(b), theM2 extensions are needed to achieve SADP-legal routingresults. Several detailed routing algorithms have been pre-sented to deal with the SADP-aware routing [10โ€“14]. Allthe previous works focus on the 2-D routing compatible withthe SADP constraints. While 2-D SADP-friendly patternsare susceptible to overlay [14], regular routing with 1-D lay-out patterns provides tight control on the overlay of criticaldimensions. All side boundaries of target patterns are pro-tected by the spacer and the pattern distortions only occuron the line ends [5]. The overlay of the line ends is definedas tip overlay and the overlay of the side boundaries is de-fined as side overlay [14]. We can observe that successfulline-space array decomposition induces zero side overlay. Toapply the line-space array decomposition for SADP process,the SADP-aware regular routing with 1-D layout patternsbecomes a competitive option for the detailed routing on thelower metal layers in future technology nodes. In addition,existing SADP-aware routing simply leaves the duty of pinaccess to the detailed router [13,14], which is challenging forthe ultimate pin accessibility.

In this paper, we propose PARR, a comprehensive frame-work to explicitly address the SC I/O pin access and regularrouting under SADP-specific layout constraints. Our maincontributions are summarized as follows:

โ€ข The local pin access planning scheme is proposed toenable smart access point selection.

โ€ข We propose the global pin access planning strategybased on the concept of pin access graph to guide theregular routing for the ultimate routability.

โ€ข The experimental results show that regular routingwith the overall pin access planning scheme can achievezero side overlay and highest routability compared withthe state-of-the-art 2-D SADP-aware router [14].

โ€ข To the best of our knowledge, this is the first work tosystematically address the handshaking between SClevel pin access planning and detailed routing stagewith the SADP compliance.

The rest of this paper is organized as follows: Section 2briefly introduces the relevant background and problem def-inition. Section 3 presents the pin accessibility studies. Sec-tion 4 discuss the details on the pin access planning strate-gies and overall routing flow. Section 5 demonstrates theeffectiveness of the PARR framework. Section 6 concludesthe paper.

2. PROBLEM FORMULATION

2.1 Related Design RulesA set of design rules are needed by the detailed router to

achieve legal routing results.Min-area Rule: Min-area is an important rule spec-

ifying the minimum area required for the polygons on therouting layer. Due to the fixed width of the regular layout

patterns, we convert the min-area rule into the minimumlength rule for the metal wires.

Trim Mask Rules: Certain design rules should beassumed for SADP process in order to guarantee feasibleline-space array decomposition and detailed discussions canbe found in [2, 5].

Via Rule: The minimum center-to-center spacing ruleof the vias is considered for the completeness of our frame-work, which can be extended to other complex rules such asmultiple patterning related constraints.

2.2 Problem definitionFor practical designs, SCs are placed next to each other,

which means both intra-cell and inter-cell pin access needto be addressed. Since the number of cells within a libraryis finite, the intra-cell and inter-cell pin access can be pre-computed and stored in a look-up table (LUT). With theLUT for the intra-cell and inter-cell pin access, we definethe pin access guided regular routing problem as follows.

Problem 1 (PARR) Given a netlist, a grid routing plane,cell placement, pin access LUT of the library and a set ofdesign rules, the pin access planning guided regular routing(PARR) is to perform the regular routing and design rulelegalization simultaneously to achieve SADP-friendly routingresults.

3. PIN ACCESSIBILITY PREDICTION

3.1 Intra-Cell Pin AccessThe SC I/O pin access problem has been explicitly ad-

dressed in [2], where the pin accessibility is determined whileminimizing the total amount of line-end extensions. How-ever, the detailed router can also perform the line-end ex-tensions and dynamically choose the access direction of aspecific accessing point [13, 14]. Thus, a feasibility study atthe SC level is enough to guide the detailed routing, whichmeans extensions of pin access wires to cell boundary anddifferentiating accessing directions are not necessary here.We use the adapted pin access and standard cell layout co-optimization (PICO) method to determine the intra-cell pinaccessibility. For convenience, we adopt the definitions ofHit Point (HP) and Hit Point Combination (HPC) from [2],examples of which are shown in Fig. 2(a). An HPC is con-sidered to be a Valid Hit Point Combination (VHPC) if thelegal pin access wires can be achieved with the adapted pinaccess optimization (PAO) from [2]. Otherwise, it is con-sidered to be invalid. An HP is defined as Valid Hit Point(VHP) if it is accessible within some VHPC. Otherwise, itis considered to be invalid.

The intra-cell pin access computation yields a 2-D set ofM2 wires for all VHPCs of each cell within the library, wherepami denotes the mth VHPC for ith cell. In particular, fromFig. 2(a), we can see that the pin access boundary is ex-tended beyond the cell boundary. For practical implemen-tation, the left and right boundary of the cell could be ex-tended by minimum M2 length, which preserves the valid-ness of the HPs close to the cell boundary while satisfyingthe minLength rule for M2 wires.

3.2 Inter-Cell Pin AccessThe pin accessibility may interfere and degrade when two

cells are placed next to each other. A typical example of a

Page 3: PARR: Pin Access Planning and Regular Routing for Self ...PARR: Pin Access Planning and Regular Routing for Self-Aligned Double Patterning Xiaoqing Xu, Bei Yu, Jhih-Rong Gao, Che-Lun

M1 I/O pin

Pin Access boundary

M2 hit point

Cell boundary M2 wires

M2 routing track

(a) (b)

๐ถ๐‘– ๐ถ๐‘— ๐‘”

violation

๐ถ๐‘– ๐ถ๐‘— ๐‘”

๐ป๐‘ƒ๐ถ

Figure 2: The intra-cell and inter-cell pin access, (a)M2 tracks and cell layout, (b) potential inter-cell pinaccess conflicts.

cell pair, denoted as pairij , is illustrated in Fig. 2, whereci is placed to the left of cj with the gap distance as g.For pragmatic placement, g is an integer multiple of theplacement pitch. The pin access interference is expectedfrom Fig. 2(a) because the pin access boundaries of thetwo cells are next to each other. To demonstrate the pinaccess interference, we choose mth VHPC for ci and nth

VHPC for cj in Fig. 2(b). The M2 wires for intra-cell pinaccess associated with the selected VHPCs are also shownin Fig. 2(b), where pin access M2 wires introduce extra ruleviolations even with VHPCs for ci and cj in isolation. Tofurther explore inter-cell pin accessibility, additional line-endextensions are required to fix the violations and make pairijaccessible in Fig. 2(b).

Actually, the additional line-end extensions based on theselected VHPCs for pairij have the same formulation as thePAO in [2]. Specifically, if two cells ci and cj are assignedmth and nth VHPC and the gap distance is set to g in Fig.2(b), the feasibility of fixing extra violations can be evalu-ated with PAO on the set of M2 wires, i.e. pami โˆช panj .

3.3 Look-Up Table ConstructionIf two cells ci and cj are assigned mth and nth VHPC and

the gap distance is set to g, the inter-cell pin accessibility canbe evaluated on the set of M2 wires, i.e. pami โˆช panj . ThenLUT (i,m, j, n, g) stores a boolean value denoting whetherinter-cell pin access is feasible or not when ci is to the leftof cj . Here, the gap distance g is also an index of the LUTbecause changing the gap distance between cells has poten-tial impact on the inter-cell pin accessibility. For example,the violation in Fig. 2(b) can be fixed by additional line-end extensions. Thus, the item LUT (i,m, j, n, g) within theLUT will store a true value. The cell flipping is also consid-ered and related values are stored during LUT construction.Last but not least, our LUT is constructed only on criticalpin-access cells, i.e., cells with small number of HPC (e.g.,< 500) or some I/O pin of the cell has very small numberof hit points (e.g., < 5). Suppose the number of pin-accesscritical cells is n, the maximum HPC per cell is m, and themaximum gap is g, then the LUT size is at most n2 โˆ—m2 โˆ—g.

4. PIN ACCESS PLANNING GUIDED REG-ULAR ROUTING

4.1 Single Row Pin Access GraphIn the row-structure for placement in Fig. 3(a), SCs are

aligned horizontally and share the same height. The power

and ground rails go from the very left to the very right of thedie area. Given the position for each cell and a placementrow, we build the single row pin access graph. As illustratedin Fig. 3(b), the single row PAG is a directed graph startingfrom the virtual source node (s) on the left to the virtualtarget node (t) on the right. For each cell placed within therow, we introduce a set of nodes into the PAG and each nodecorresponds to one of the VHPCs for that particular cell,where nj

i denotes the node for the jth VHPC for Cell i. Weadd edges between s and ni

0, for each i. Similarly, edges willbe introduced between ni

5 and t, for each i. No edges willbe added between nj

i and nki , namely, nodes for the same

cell. For neighboring cells, such as Cell 1 and Cell 2, anedge, denoted as blue arrow, will be added between ni

1 andnm2 since the item LUT (1, i, 2,m, g) is true, where g is the

gap distance for pairij . In contrast, no edge is introducedbetween ni

1 and nk2 since LUT (1, i, 2, k, g) is false.

For the PAG, we have the following theorem.

Theorem 1 The pin accessibility of the cells within the sin-gle row is equivalent to the existence of a path from s to t ofthe PAG associated with that particular row.

Proof. If there exists a path from s to t, the SCs withinthe row are accessible using the set of VHPCs on the path.An example is shown in Fig. 3(b).

Moreover, routing wires on M2 layer will be created on topof the cells during the routing stage, as shown in Fig. 3(c).The routing wires over the cell create blockages, which blocksome specific HPs of the SCs. This means the associatedVHPCs for the cell will also be blocked. As demonstratedin Fig. 3(d), some nodes will become invalid, indicated bythe dashed pink nodes.

In addition, we can observe the graph partitioning fromFig. 3(b) to Fig. 3(d) since an edge exists between ni

3 andnj4, for any (i, j) pair. Then, pin accessibility of the cells

with the row is equivalent to the existence of paths froms to t on two independent components in Fig. 3. How-ever, no feasible path exists on the right component of thePAG after the creation of pre-routed wires in Fig. 3, whichmeans pre-routed wires need to be ripped up to preserve theroutability of the remaining nets. To achieve quick updateon the PAGs, graph partitioning is applied to all the PAGsassociated with the placement rows of the design. Further-more, each component of the PAG is related to a set of cellsin proximity, which is bounded by a determined boundingbox. Since the search for impacted components of the PAGneeds to be done whenever a net is routed, we adopt R-tree [15] to enable the fast indexing bounding box of eachcomponent of the PAG.

4.2 Local Pin Access PlanningIntra-cell pin accessibility study yields a set of VHPCs,

denoted as V HPCk for each cell ck within the library. AHP is invalid if there is no VHPCs associated with thatHP. Therefore, invalid HPs are inaccessible and should beremoved before the detailed routing stage, which helps toavoid unnecessary routing efforts.

For each I/O pin for the ck, we propose a DynamicHit Point Scoring strategy to differentiate among variousVHPs for that particular I/O pin. The basic idea is that, ahigher score is assigned to a HP if that particular HP haslarger number of VHPCs associated with it than other HPs

Page 4: PARR: Pin Access Planning and Regular Routing for Self ...PARR: Pin Access Planning and Regular Routing for Self-Aligned Double Patterning Xiaoqing Xu, Bei Yu, Jhih-Rong Gao, Che-Lun

๐ถ๐‘’๐‘™๐‘™ 1 ๐ถ๐‘’๐‘™๐‘™ 2 ๐ถ๐‘’๐‘™๐‘™ 0 ๐ถ๐‘’๐‘™๐‘™ 3 ๐ถ๐‘’๐‘™๐‘™ 4 ๐ถ๐‘’๐‘™๐‘™ 5

power

ground (a)

(b)

๐‘  ๐‘ก

โ€ฆ

โ€ฆ

โ€ฆ

โ€ฆ

โ€ฆ

โ€ฆ

๐‘›1๐‘–

๐‘›2๐‘š

๐‘›2๐‘˜

๐ถ๐‘’๐‘™๐‘™ 0 ๐ถ๐‘’๐‘™๐‘™ 2 ๐ถ๐‘’๐‘™๐‘™ 3 ๐ถ๐‘’๐‘™๐‘™ 4 ๐ถ๐‘’๐‘™๐‘™ 1 ๐ถ๐‘’๐‘™๐‘™ 5

๐‘›1๐‘–

(d)

(c)

๐ถ๐‘’๐‘™๐‘™ 1 ๐ถ๐‘’๐‘™๐‘™ 2 ๐ถ๐‘’๐‘™๐‘™ 0 ๐ถ๐‘’๐‘™๐‘™ 3 ๐ถ๐‘’๐‘™๐‘™ 4 ๐ถ๐‘’๐‘™๐‘™ 5

๐‘  ๐‘ก

โ€ฆ

โ€ฆ

โ€ฆ

โ€ฆ

โ€ฆ

๐‘›2๐‘˜

โ€ฆ

๐‘›2๐‘š

๐‘ก ๐‘ 

infeasible

routed wire

blocked VHPC

Figure 3: Single row pin access graph, (a) cell place-ment, (b) initial pin access graph, (c) cell place-ment with pre-routed wires, (d) simplified pin accessgraphs with blocked nodes.

of the same I/O pin. Hence, we calculate the score for thejth HP of the ith I/O pin for ck, namely hpijk , as:

score(hpijk ) =number of VHPCs associated with hpijk

total number of VHPCs for ck(2)

In the sequential routing scheme, the M2 wires created forrouted nets become blockages, an example of which is shownin Fig. 3(c). As discussed above, some VHPCs for blockedcells become invalid as illustrated in Fig. 3. Therefore, thescore for each HP should be updated dynamically during thedetailed routing stage. For the single-net routing, the routerprefers selecting the HPs with higher scores for source andtarget pins of the net being routed.

4.3 Global Pin Access PlanningDuring sequential detailed routing, the routed wires block

some VHPs of the I/O pins not yet routed, which degradesthe routability of the remaining nets. Thus, this subsectionaddresses the global, rather than the local prediction of thepin accessibility.

For sequential detailed routing, the relative order of rout-ing nets has potential impact on the routability as discussedin Section 1. Here, we introduce two techniques to en-able Net Deferring to improve pin accessibility. First, the

Algorithm 1 Net Deferring Algorithm

Require: a set of nets (Nets), maximum deferring cost(maxCost), increasing unit for deferring cost (unit) andpin access graphs for placement rows (PAGs);

1: Define net heap as the minimum heap for Nets;2: Define nets deferred as the set of nets with deferring

cost exceeding the pre-set bound (maxCost);3: for each net nk in Nets do;4: Set DCost(nk) = 0;5: Compute order(nk) based on equation (3);6: insert heap(nk, net heap);7: end for8: while net heap is not empty do;9: Define net = extract min(net heap);

10: Perform A* search for net;11: Update impacted components of PAGs;12: if PAGs are infeasible for pin access then;13: DCost(net) = DCost(net) + unit;14: if DCost(net) < maxCost then;15: Defer net and update PAGs;16: insert heap(net, net heap);17: else18: add net to nets deferred;19: end if20: end if21: end while22: for each net nk in nets deferred do;23: Perfore A* search for nk;24: end for

routability of a net relates to the accessibility of source ortarget pin. This motivates us to defer the routing of netswith robust source and target pins, which both have manyVHPs available. Second, the PAG for each placement rowhelps determine the accessibility of the cells within the thatrow. To preserve the global pin accessibility of the remain-ing nets, we dynamically maintain the source-to-target pathexistence of each component of the PAGs. Therefore, theweight for the order of the net nk is calculated as follows.

order(nk) = HPWL(nk) ยท (1 + ฮฑ ยทmin{hps, hpt}) (3)

+DCost(nk)

In Eqn. (3), HPWL(nk) denotes the half-perimeter wire-length of net nk, ฮฑ is a user-defined parameter, hps and hptdenote the number of VHPs available for source and tar-get pins, respectively. DCost(nk) is the deferring cost ofnk. With the net deferring scheme, one net may be deferredfor several times due to its impact on the routability of theremaining nets. Then, we have the following definition.

Definition 1 (Deferring Cycle) Deferring cycle is the max-imum number of times that a net is deferred before reachingthe cost upper bound.

The overall net deferring scheme is shown in Algorithm.1.From line 1 to line 6, the minimum heap for routing nets isbuilt based on the order of each net (3). In each loop, thenet with minimum order is extracted from the net heap andsingle-net routing is performed in line 8 and line 9. From therouting wires of the net, the impacted components of PAGsare updated on line 10. Impacted components are thosecomponents containing nodes blocked by the newly createdM2 wires as shown in Fig. 3(c)-(d). As discussed in Section

Page 5: PARR: Pin Access Planning and Regular Routing for Self ...PARR: Pin Access Planning and Regular Routing for Self-Aligned Double Patterning Xiaoqing Xu, Bei Yu, Jhih-Rong Gao, Che-Lun

4.1, R-tree enables quick search of the impacted componentswhen new routing wires are created. If the routing results ofthe net break the pin accessibility of the PAG from line 11 toline 17, the deferring cost is increased and the net is deferredand pushed back to the net heap when the accumulateddeferring cost is within the maximum bound. Otherwise, thenet is added to the nets deferred in line 18. We performthe routing for the remaining nets in lines 22-24.

4.4 Routing with Design Rule LegalizationOur routing strategy adopts the grid-based routing model

and targets at the 1-D layout patterns friendly to the de-sign rules introduced in Section 2.1. The schemes relatedto design rule legalization are demonstrated in Fig. 4. Theline-end extensions are performed to fix the violations rel-evant to minLength rule and trim mask rules for SADP inFig. 4(a)-(c). Since both intra-cell and inter-cell pin ac-cessibility are evaluated and pre-computed on M2 layer, allthe M1 I/O pins are brought up to the M2 layer. Hence, theVia rule is imposed on the M2 and upper layers. Consideringthe spacing rule for via layer, we impose the forbidden gridsonce a legal via is inserted for the routed net. In Fig. 4(d),neighboring grids surrounding the via position are forbiddento be used for the remaining nets.

(a) (b)

(c) (d)

Metal wire

Wire extension

Via position

Forbidden via position

Figure 4: Legalizations, (a) minLength, (b) parallelline ends, (c) anti-parallel line ends, (d) Via rule.

Our detailed router follows the paradigm of A* search,which is guided by the local and global pin access planningstrategies. The cost of the routing grid is calculated whileperforming A* search. If we consider a routing path fromgrid gi to grid gj , the cost of the grid gj , denoted as C(gj),can be computed as follows:

C(gj) = C(gi) + ฮธ ยท (1โˆ’ score(hpj)) + ฮท ยท C(forbid(j))(4)

+ฮฒ ยท C(WLij) + ฮณ ยท C(V iaij)

In Eqn. (4), score(hpj) is the dynamic hit point score forgj if gj is a source or target grid. Otherwise, score(hpj) isset to 1. In general, the A* search prefers routing grids withlower cost. Thus, the term score(hpj) enables the local pinaccess planning, which prefers selecting the HPs with higherscores for the source or target pins of the net being routed.C(forbid(j)) is the forbidden cost for the grids if the gridgj is within the prohibited region of some pre-routed wires[5, 13]. This cost is set to help the design rule legalizationfor the trim mask rules. C(WLij) and C(V iaij) are theamount of wirelength and vias for the routing path from gito gj . ฮฒ, ฮณ, ฮธ, ฮท are user-defined parameters to adjust weightsof the various cost. For each net being routed, A* search isperformed and the routing wires are legalized for the givenset of design rules. It shall be noted that the net is insertedback to the heap only if the deferring cost is within the pre-set bound. The overall routing ends with the routing for theremaining deferred nets, as discussed in Algorithm 1.

5. EXPERIMENTAL RESULTSWe have implemented PARR in C++ and all experiments

are performed on a Linux machine with 3.4GHz Intel(R)Core and 32GB memory. With the help from the authorsof [14], the 2-D SADP-aware routing results are generated ona Linux machine with 2.0GHz CPU and 72GB memory. Wemodify and scale the NanGate 45nm open cell library [16]to represent the pin access scenario in advanced technologynodes, where M2 wires may be introduced in the SC layoutdesign [2]. For the LUT construction, we only store the falseentries in our implementation since each entry is just true orfalse for the inter-cell pin accessibility checking. The num-ber of entries in the LUT constructed is our implementationis around 3.8 โˆ— 106. As illustrated in Table 1, modules fromOpenSparc T1 are synthesized with Design Compiler [17].The placement results are generated using Cadence SOCencounter [18] with utilization rate set to 0.7. All bench-marks are scaled and compacted to 10nm-representative di-mensions. Since our work targets at improving the pin ac-cessibility in the detailed routing stage, we ignore the globalnets for each benchmark before the detailed routing. Thebounding box of a global net crosses more than M hori-zontal or vertical routing tracks and M = 40 in our imple-mentation. We focus on the two-layer (M2 and M3) regularrouting and the routing directions of M2 and M3 are hori-zontal and vertical, respectively. We adopt Gurobi [19] asour MILP solver. The upper bound on the gap distance ofa cell pair is set to g = 2. The width and space of Metal-2and Metal-3 wires are assumed to be 24nm. The minimumlength of the metal wires is set to 48nm. The minimumcenter-to-center spacing of the vias is set to 96nm. SADPrelated parameters are the same as those in [2, 20]. Theuser-defined parameters in equation (3) and (4) are set toฮฑ = 0.05, ฮธ = 4, ฮท = 10, ฮฒ = 1, ฮณ = 5.

40

80

120

160

85.0%

90.0%

95.0%

100.0%

0 1 2 3 4 5cp

u(s

)

Ro

ut.

(%)

Deferring cycle

Rout.(%)

cpu(s)

Figure 5: Routability and CPU vs deferring cycle.

The trade-off between routability, run time and defer-ring cycle is illustrated in Fig. 5 for the benchmark โ€œaluโ€.As the deferring cycle increases, both the routability andrun time increase monotonically. The net deferring schemeimproves the routability significantly during first few de-ferring cycles. After that, the run time increases quasi-linearly while the routability improvement degrades. Thus,the deferring cycle is set to 3 for the routability improve-ment exploration. The increasing unit for deferring cost isset to 200 for better routability.

In Table 2, we compare PARR framework with [14] due toits best efficiency and routability for 2-D SADP-aware de-tailed routing. We average the number of vias from M3 toM2 over the number of routed nets, namely via number perrouted net, which is listed as โ€œV.p.nโ€. The total wirelength,

Page 6: PARR: Pin Access Planning and Regular Routing for Self ...PARR: Pin Access Planning and Regular Routing for Self-Aligned Double Patterning Xiaoqing Xu, Bei Yu, Jhih-Rong Gao, Che-Lun

Table 1: Benchmarks statisticsCkt ecc efc ctl alu div top

Net# 1671 2219 2706 3108 5813 22201

Size(um2) 21 x 21 20 x 19 24 x 24 20 x 19 31 x 31 57 x 56

Table 2: Comparison on detailed routability for regular routing with pin access planning

[14] Local Pin Access Planning Local & Global Pin Access Planning

Ckt V.p.n WL* OLL Rout. CPU(s) V.p.n WL* OLL Rout. CPU(s) V.p.n WL* OLL Rout. CPU(s)

ecc 2.31 41497 2775 91.14% 16.77 2.51 45102 0 91.20% 14.21 2.66 46588 0 96.41% 19.98

efc 2.31 54459 4703 82.47% 100.5 2.25 56457 0 88.06% 22.86 2.40 57834 0 94.91% 34.52

ctl 2.24 67470 5255 87.25% 93.80 2.26 71643 0 88.29% 22.39 2.42 72388 0 95.27% 37.14

alu 2.26 68491 5713 79.44% 143.4 2.22 73430 0 87.48% 28.32 2.44 75679 0 95.17% 45.92

div 2.29 139309 11267 79.40% 253.5 2.34 150356 0 87.58% 57.79 2.51 155704 0 94.60% 106.0

top N/A N/A N/A N/A N/A 2.32 496228 0 88.15% 253.7 2.47 513366 0 95.33% 763.2

Avg. 0.919 0.913 0.881 2.358 0.933 0.973 0.928 0.578 1.000 1.000 1.000 1.000

listed asโ€œWL*โ€, is the summation of the half perimeter wire-length for unrouted nets and actual wirelength for routednets in terms of routing grid count. โ€œOLLโ€ denotes the totalside overlay length [14]. โ€œRout.โ€ denotes the percentage ofrouted nets and โ€œCPUโ€ denotes the run time in seconds. Ta-ble 2 demonstrates the strength of the local and global pinaccess planning for the regular routing over the 2-D detailedrouting from [14]. For the local pin access planning, the netorder is computed with Eqn. (3) with the term DCost(nk)ignored. The zero side overlay originates from the line-spacearray decomposition method. Moreover, our router achievesfaster run time by avoiding the extra efforts to maintain theoverlay constraint graph from [14]. The overall pin accessplanning strategy achieves over 95% routability on averagefor all benchmarks, which is 7.8% increase from the localpin access planning scheme and over 10% increase from [14].Meanwhile, we observe 6.7% increase inโ€œV.p.nโ€and 2.7% in-crease in โ€œWL*โ€ from the local pin access planning strategy,which is treated as a reasonable trade-off for the routabil-ity improvement. It shall be noted that the โ€œWL*โ€ andโ€œV.p.nโ€ for [14] and local pin access planning scheme mayalso increase if similar routability is achieved. The run timeoverhead comes from the pin accessibility checking and netdeferring, which is still two times faster compared with [14].

6. CONCLUSIONIn this paper, we propose a comprehensive framework, in-

cluding pin access LUT construction for a given library, localand global pin accesss planning to improve the pin accessi-bility during the SADP-aware regular routing. To the bestof our knowledge, this is the first work to systematically en-able the handshaking between standard cell level pin accessand detailed routing stage. Compared to the 2-D SADP-aware detailed router, our approach can achieve significantimprovement in terms of the overlay and routability.

7. ACKNOWLEDGMENTThis work is supported in part by NSF and SRC.

8. REFERENCES[1] M.-K. Hsu, N. Katta, H. Y.-H. Lin, K. T.-H. Lin, K. H. Tam,

and K. C.-H. Wang, โ€œDesign and manufacturing processco-optimization in nano-technology,โ€ in IEEE/ACMInternational Conference on Computer-Aided Design(ICCAD), 2014, pp. 574โ€“581.

[2] X. Xu, B. Cline, G. Yeric, B. Yu, and D. Z. Pan, โ€œSelf-aligneddouble patterning aware pin access and standard cell layoutco-optimization,โ€ in ACM International Symposium onPhysical Design (ISPD), 2014, pp. 101โ€“108.

[3] M. C. Smayling, K. Tsujita, H. Yaegashi, V. Axelrad, T. Arai,K. Oyama, and A. Hara, โ€œSub-12nm optical lithography with4x pitch division and SMO-lite,โ€ in Proc. of SPIE, 2013, pp.868 305โ€“868 305.

[4] Y. Zhang and C. Chu, โ€œRegularroute: An efficient detailedrouter with regular routing patterns,โ€ in ACM InternationalSymposium on Physical Design (ISPD), 2011, pp. 45โ€“52.

[5] G. Luk-Pat, B. Painter, A. Miloslavsky, P. De Bisschop,A. Beacham, and K. Lucas, โ€œAvoiding wafer-print artifacts inspacer is dielectric (SID) patterning,โ€ in Proc. of SPIE, 2013,pp. 868 312โ€“868 312.

[6] M. M. Ozdal, โ€œDetailed-routing algorithms for dense pinclusters in integrated circuits,โ€ IEEE Transactions onComputer-Aided Design of Integrated Circuits and Systems(TCAD), vol. 28, no. 3, pp. 340โ€“349, 2009.

[7] T. Taghavi, C. Alpert, A. Huber, Z. Li, G.-J. Nam, andS. Ramji, โ€œNew placement prediction and mitigation techniquesfor local routing congestion,โ€ in IEEE/ACM InternationalConference on Computer-Aided Design (ICCAD), 2010, pp.621โ€“624.

[8] C. Alpert, Z. Li, C. Sze, and Y. Wei, โ€œConsideration of localrouting and pin access during vlsi global routing,โ€ Apr. 4 2013,uS Patent App. 13/252,067. [Online]. Available:http://www.google.com/patents/US20130086544

[9] T. Nieberg, โ€œGridless pin access in detailed routing,โ€ inACM/IEEE Design Automation Conference (DAC), 2011, pp.170โ€“175.

[10] M. Mirsaeedi, J. A. Torres, and M. Anis, โ€œSelf-aligneddouble-patterning (SADP) friendly detailed routing,โ€ in Proc.of SPIE, vol. 7974, 2011.

[11] J.-R. Gao and D. Z. Pan, โ€œFlexible Self-aligned DoublePatterning Aware Detailed Routing with Prescribed LayoutPlanning,โ€ in ACM International Symposium on PhysicalDesign (ISPD), 2012.

[12] C. Kodama, H. Ichikawa, K. Nakayama, T. Kotani, S. Nojima,S. Mimotogi, S. Miyamoto, and A. Takahashi, โ€œSelf-AlignedDouble and Quadruple Patterning-Aware Grid Routing withHotspots Control,โ€ in IEEE/ACM Asia and South PacificDesign Automation Conference (ASPDAC), 2013.

[13] Y. Du, Q. Ma, H. Song, J. Shiely, G. Luk-Pat, A. Miloslavsky,and M. D. Wong, โ€œSpacer-is-dielectric-compliant detailedrouting for self-aligned double patterning lithography,โ€ inACM/IEEE Design Automation Conference (DAC), 2013,p. 93.

[14] I.-J. Liu, S.-Y. Fang, and Y.-W. Chang, โ€œOverlay-awaredetailed routing for self-aligned double patterning lithographyusing the cut process,โ€ in ACM/IEEE Design AutomationConference (DAC), 2014, pp. 1โ€“6.

[15] A. Guttman, R-trees: a dynamic index structure for spatialsearching. ACM, 1984, vol. 14, no. 2.

[16] โ€œNanGate FreePDK45 Generic Open Cell Library,โ€http://www.si2.org/openeda.si2.org/projects/nangatelib.

[17] โ€œSynopsys Design Compiler,โ€ http://www.synopsys.com.

[18] โ€œCadence SOC Encounter,โ€ http://www.cadence.com/.

[19] โ€œGUROBI,โ€ http://www.gurobi.com/html/academic.html.

[20] G. Luk-Pat, A. Miloslavsky, B. Painter, L. Lin, P. De Bisschop,and K. Lucas, โ€œDesign compliance for spacer is dielectric (SID)patterning,โ€ in Proc. of SPIE, 2012, pp. 83 260Dโ€“83 260D.