Overview-of-NIST-Metrology-Development-for-the-Semiconductor-Industry

download Overview-of-NIST-Metrology-Development-for-the-Semiconductor-Industry

of 10

Transcript of Overview-of-NIST-Metrology-Development-for-the-Semiconductor-Industry

  • 8/7/2019 Overview-of-NIST-Metrology-Development-for-the-Semiconductor-Industry

    1/10

    11" IEEE International Conference on Advanced Thermal Processing of Semiconductors-RTP2003

    OVERVIEW OF NIST M ETROLOGY DEVELOPMENTFOR THE SEM ICONDUCTOR INDUSTRYStephen Knight

    Director, Ofice ofMicroelectronics ProgramsNational Institute of Standards and Technologv

    Abstract. TheNational Institute of Standards and Technolog. metrology development for the semiconductorindustry andits supporting int?astructureis a broad set of programs directed at many of the criticalmetrology needs. ' h i s paper will giveexamplesof specific projects addressing needs in lithography, criticaldimension metrology. gate dielectric cbaractaization.interconnect materials evaluation,wafer surface inspection,mass flow controller calibration. and manufacturing support. Thepaperwilt emphasize the role collaborationwith industry playsin project selection, project success, and transfer to industry.

    INTRODUCTIONThe National Institute of Standards andTechnology, formerly the National Bureau ofStandards, has been active in research anddevelopment of critical metrology for thesemiconductor manufacturing industry and itssupporting inf?astructure industries since shortly afterthe invention of the transistor. Early work in the1960s included determining the nature of secondbreakdown in bipolar transistors [I], the developmentof the four-probe resistivity measurement technique[2], and critical metrology for reliable wire bonding[3]. During the 1970s and 1980s the activitiesexpanded to a broad range of metrology developmentssupporting the semiconductor manufachuing industry.In 1992, the United States Congress, recognizingthe critical role of the semiconductor industry for theUnited States economy, created the NationalSemiconductor Metrology Program (NSMP) initiativeto accelerate semiconductor metrology development at

    NET. The NSMP is currently funded at $12.5million, and leverages approximately an equivalentdollar value of funds ffom other sources.The NSMP is administered by the Office ofMicroelectronics Programs (OMP), which wasestablished tn identify projects of high impact to thesemiconductor manufacturing industry, to fund those

    projects, and to monitor the progress. A furtherfunction of the OM P is to serve as broker between theindushy and NIST, insuring timely transfer ofachievements, and to gather critical industry metrologyneeds to cootinunusly reprioritize MST projects.The NSMP projects are grouped into programclusters; (1) Lithography Metrology, providing

    critical optical measurements on materials for nextgeneration lithography (NGL) solutions, calibration ofNG L sources, and characterization of NG L resistmaterials; (2) Critical Dimension and OverlayMetrology, delivering measurement techniques andartifacts for length measurements and positioning inthe plane of the semiconductor wafer; (3) Thin Filmand Junction Metrology, developing verticaldimension metrology, film and junctioncharacterization and artifacts; (4) Interconnect andPackaging Metrology, reflecting the blurring of hack-end-of-wafer interconnect processing and packagingprocessing, this program explores properties,reliability and mutual compatibilities of the materialsused; ( 5 ) Wafer Characterization and ProcessMetrology, advancing and refming measurementtechniques for the ever tightening requirements forwafer processing; (6) Test Metrology, investigatingnovel techniques for improving high frequency andnon-linear circuit testing; and (7) ManufacturingSupport, contributing to the necessary infrastructureand standards for manufacturing productivityimprovement.

    35

  • 8/7/2019 Overview-of-NIST-Metrology-Development-for-the-Semiconductor-Industry

    2/10

    This paper will discuss examples of collaborativeactivities in several of the program clusters that haveyielded significant advances in the knowledge basenecessary for the continuing advances insemiconductor manufacturing productivity.

    LITHOGRAPHY METROLOGYThe continuing aggressive shrinking of devicefeatures demands steady improvements in lithography.To date and for the foreseeable fulure tbis has beenlargely accomplished by reducing the wavelength ofthe exposure radiation, Figure 1. Each succeedinglithography generation presents challenges incharacterizing the optical materials, the new resists,and the radiation sources and detectors.

    1885 19W 1995 2GW 2005 2GtOYear bfLarge ScaleProdudlaFIGURE 1. Moore's Law andOpticalLithography

    Two significant collaborations and their outcomesare described below

    Deep Ultraviolet LithographyBegnnung m late 1995 a collaboratwe effortbetween NIST and MIT Lincoln Labs focused onevaluating the optical properties of the matenals forlenses, fused silica and CaF2, and detectors for the 193nm lithography technology then under earlydevelopment. Much of this work was funded bySEMATECH, now International SEMATECH

    (ISMT). MIT Lincoln Labs efforts focused on damagestudies to the fused silica, while NIST measured thedispersion and temperature dependence of the indexfor both fused silica and CaF2 [4]. Additionally,detector sensitivity and damage susceptibility wereevaluated. Finally, a 193 mn calorimeter wasconstructed and calibration services wereestablished. [5]

    After 193 nm lithography transitioned intoadvanced development the collaborators moved on to157nm lithography issues. Again, NIST contributionsincluded measurements of dispersion and temperaturedependence of the index at 157 nm, detector sensitivityand damage, and laser calorimetry. [6,7]One task requested of the NIST team by ISMT wa sthe measurement of the stress optic coefficients ofCaF2. As a precursor to this John Bumett, et al. madethe significant albeit unanticipated discovety that theintrinsic birefigences of CaFz and other cubicmaterials potentially useful for 157 nm optics arelarge, Figure 2. [SI This discovery bas forced majorcorrections to potential system designs, but w a saccomplished in time to save equipment manufacturers

    from costly errors.

    FIGURE 2. Unit cell of CaF, showing lobes of intrinsicbirefringence.Extreme Ultraviolet Lithography

    In an activity supporting another Next GenerationLithography technology, NIST has parmered with theExtreme Ultraviolet Limited Liability Corporation( E W LLC) and ISMT to support the development ofcritical metrology for Extreme Ultraviolet (EW)Lithography. Leveraging its unique calibrated lightsource, the Synchrotron Ultraviolet Radiation Facility(SURF Ill), that covers the wavelengths of interest,-10 nm to d O nm, NIST is performing reflectometryon lenses for E W and detector sensitivitymeasurements. [9, 101 An,example of preliminary

  • 8/7/2019 Overview-of-NIST-Metrology-Development-for-the-Semiconductor-Industry

    3/10

    reflectivity measurements at different radii of anaspheric lens for the E W Engineering Test System isshown in Figure 3. Note that the peak reflectivitiesoccur over a range of about 0.2 om.

    i ( n mJReflectivity versus wavelength fordifferent radii on an aspheric lens for the EUVEngineering Test System.FIGURE 3.

    One of the significant technical challenges is toprotect the E W lenses from degradation during use.Figure 4 shows early results of degradation of a lenswith a silicon-capping layer after exposure to lowpressure water vapor.

    " ."0 200 400 BOO BOO 1000

    Fluence (JJ

    FIGURE 4. Reflectivity degradation during use ofan experimental multiplayer mirror after differentexposures to low pressure water vapor.

    CRITICAL,DIMENSION ANDOVERLAYMETROLOGYMeasurements of features such as gate lengths,

    conductor widths and pitches, and via hole diametersare critical for successful integrated circuitmanufacture. Equally important and challenging is theaccurate alignment of successive mask levels,"overlay." NIST has been and continues to hecollahorating with a number of industrial partners on avariety of metrology developments in this area. Threesuch efforts are outlined below.Scanning Electron Microscope-BasedMetrology

    Development OfNanotip Electron Sources Fo rCritical Dimension Scanning Electron Microscopes

    A significant limitation to the performance ofscanning electron microscopes used .for criticaldimension metrology, CD SEW, is the size of theelectron illumination source. A collaborative effort ofHitachi, ISMT, professor David Joy from theUniversity of Tennessee, and NIST targeted thedevelopment of a nanotip with a goal of having asingle atom at the tip as the electron emitter source.Early work has produced a method for mounting suchtips in working CD SE=. [l l] One such tip isillustrated in Figure SA . Also shown, in Figure 5B , isan image of the tip taken with a field ion microscope,showing single atom emitter characteristics.

    FlGURE SA. SEM image of nanotip end (upper left);optical microscope image of whole nanotip (upper right);nanotip and assembly (bottom).

    37

  • 8/7/2019 Overview-of-NIST-Metrology-Development-for-the-Semiconductor-Industry

    4/10

    FIGURE 5B. Focused ion beam image nanotip.

    Exploratory Work With High-pressure EnvironmentalSEM For Photomask Metrologv

    Another serious limitation of using C D S E M s forimaging objects with insulated regions, such asphotomasks in particular, is charging of the portions ofthe object being imaged. This leads to distortions inthe image and can lead to damage through arcing ofthe object being imaged. A collaborative explorationusing an environmental SEM is being pursued byNIST with FEI, ISMT, Texas Instruments, and IB M aspartners. [12, 13, 141 The concept is to use abackground partial pressure of water vapor in the SEMchamber to neutralize the surface charging withpositive ions created by the electron beam interactingwith water molecule. Figure 6illuseates mask imagesobtained in this manner.

    Development of Single C rystal Artifact fo r Elech ical-Based C rit ical Dimension Metrology

    Calibration of critical dimension measuringinstruments, such as C D S E M s and atomic forcemicroscopes (AFMs), is essential for process control.One approach being developed by NIST, withextensive collaboration by VLSI Standards, SandiaNational Laboratories, and ISMT, is to create singlecrystal silicon artifacts that can be measuredelectrically so as to extract electrical linewidths thatcan be calibrated with high accuracy using high-resolution transmission electron microscopy(HRTEM) [15]. The use of lattice plane selectiveetching results in highly planar vertical features.Figure 7 shows a schematic of the artifact structure,and Figures SA and 8B show a cross section of asingle crystal structure for measuring the actual widthusing HRTEM at low magnification and highmagnification, respectively. Under high magnificationit is possible to count the lattice planes across theartifact, and from the known lattice constant of thesilicon, obtain an accurate measure of the width.

    FIGURE 7. Image of a portion of the mask used tofabricate single crystalECD artifacts.

    FIGURE 6. Environmental SEM imageof photomaskfeatures. Note the sharpness of images.

    38

  • 8/7/2019 Overview-of-NIST-Metrology-Development-for-the-Semiconductor-Industry

    5/10

    .~~~._. ~ ~40 93 waPhysical Linewidth basedon HRTEM, nm

    FIGURE 9. Electrical linewidth vmsus physicalLinewidth obtained using HRTEM.

    FIGURE SA. Low magnification E M image of crosssection of a singe crystal artifact for measurement byHRTEM.

    Palladium I SiliconLattice(encapsulation I siol (note presenceof fringes)for HRTEM)

    FIGURE 8B . High resolution HRTEM of edge ofstructure in Figure 8A, showing lattice planes of singecrystal.

    Figure 9 is a plot of the electrical linewidths offeatures plotted versus the physical linewidths basedon HRTEM measurements. Currently, adfacts withwidths as narrow as 60 um with uncertainty in widthof 2.5 MI can he fabricated. Additional work isunderway to reduce the uncertainty.

    THWFILMANDSHALLOWJUNCTION METROLOGYMetrology in the vertical dimension is just ascritical as metrology in the surface plane of thesemiconductor wafer for successful integrated circuitmanufacture.

    Gate Dielectric MetrologyEarly work at NIST led to the fabrication ofStandard Reference Material, (SRMTM) films of Si02

    on silicon [16]. More recently, NIST has collaboratedwith a number of organizations in developing thenecessary metrology for fabricating advanced gatedielectrics. The Front End Processing Center,established in 1998, received funding fiom theSemiconductor Research Corporation andSEMATECH.' The funding supported advanced gatedielectric research at a number of universities. NISTparticipated by providing metrology development andmeasurements. One example of metrologydevelopment is a comparison study for different gatedielectric thickness measurement techniques, Figures10and11.[17]

    'NOWlntemational SEMATECH

    39

  • 8/7/2019 Overview-of-NIST-Metrology-Development-for-the-Semiconductor-Industry

    6/10

    FIGURE 10. Thickness values from SpectroscopicEllipsomehy measurements and various analysis models an dCapacitance-Voltage measurements.

    FIGURE 11. Ihiclmess value comparison for HighResolution Tmsmission Microscope, Sc&gTransmission Microscope, and Capacitance-Voltagetechniques on nominal 2 nm SiO, films.

    An example of materials systems being investigatedfor next generation gate dielectrics is the Tauc ploeanalysis for hafnium aluminum oxide films of variouscompositions sh o w in Figure 12. The Tauc plot is ameasure of the amorphous material band-gap. Thekinks in the plots at high absorption indicate thepresence of higher energy band transitions. [18].

    ..4 8 5.0 5.2 5.4 16 5.8 6 U 0 2 hl 1.6 6.8 7.0 7. 2 7 1PhotonEnergyE(eV)

    FIGURE 12. Tauc plots for determining band-gaps ofW O filmswith different compositions from analysis ofellipsometricallydetermined dielectricfunction.

    INTERCONNECTAND PACKAGINGMETROLOGYAdvances in interconnect and packagingtechnologies have introduced rapid successions of newmaterials and processes. Below is a short descriptionof one of the nine projects in this program.

    Interconnect Low-k DielectricMetrologyA significant issue in the International TechnologyRoadmap for Semiconductors a few years ago was aconcern that the dielectric constants of the low-kmaterials being considered for interconnect could befiequency dependent in the fiequencies of interest. Acollaborative activity between NIST and ISMTevaluated a number of low k materials and determinedthat fiequency dependence of the dielectric constantsis not a problem. NIST designed strip line teststructures, Figure 13, and ISMT fabricated thestructures using low-k materials from a variety of

    sources. NIST then performed the measurements inthe frequency range of 1 GHz to 40 GHZ, Figure 14~ 9 1 .

    A Tauc plot LS (absmptioncoe5nent x photon energy) vmwphoton energy

    40

  • 8/7/2019 Overview-of-NIST-Metrology-Development-for-the-Semiconductor-Industry

    7/10

  • 8/7/2019 Overview-of-NIST-Metrology-Development-for-the-Semiconductor-Industry

    8/10

    200 nm,Fig. 16. These spheres, which mimic real-world particles better than polystyrene spheres, wereused to validate particle light scattering theories inconditions for which models have a higher degree ofuncertainty. [ZI,221

    FIGURE 16. Transmission electron microscope unageof 100 m copper spheres generated by a novel spraypyrolousmethod.

    Thermophysical Property Data forModeling CVD Processes and for theCalibration of Mass Flow ControllersThe modeliig of complex chemical reactionsnecessary for the production of integrated circuitsrequires accurate data on the properties of thematerials that are used, many of them gases. Thecalibration of the mass flow controllers for the variousgases used also requires accurate data. In Fig. 17 isshown a generic mass flow controller and the gasesproperties which are required for accurate calibration.

    Figure 17. Components of a generic mass flowcontroller and the thermophysical properties requiredto model them for accurate calibration.

    NIST researchers, working with the GasDistribution Systems Working Group3 and theEuropean SEMI Gas Committee, have prioritized thedata needs of the industry, and are measuring thethennophysical properties of the prioritized list ofgases. By measuring the speed of sound as a functionof temperature and pressure the thermopbysicalproperties of the various gases are determined. [23]Thus far data have been obtained for C12, HBr, BCt3,WF6, Ga(CH3)3,NF,, C&O, NO and N20. Thesedata are availableon the internet at the WebsitehttD://DrODertieS.UiSt.gov/semiDrOD/A sample of these data for WF6 is shown in Fig. 18.

    MANUFACTURING SUPPORTEngineering Statistical Handbook

    A collaborative activity between IntemationalSEMATECH and NIST has led to the creation of aWeb-hased engineering statistical handbook, theNIST/SEMATECH e-Handbook of StatisticalMethods. This widely used tool is available at:bttp://www.itl.nist.gov/div898~andhooW. A CDversion is in preparation [21].

    'Nowcalled the North America SEMI Gases Committee,ComponentsS u b d t t e e , Mass FLOWTask Force.

    42

  • 8/7/2019 Overview-of-NIST-Metrology-Development-for-the-Semiconductor-Industry

    9/10

    SUMMARYThere are approximately 40 projects at MSTsupporting metrology for the semiconductormanufacturing industry and its supportinginfrastructure industries. Almost all of these projectsinvolve collaborative work with industrial, academic,

    or consortia partners. Collaborations allow MSTresearchers critical information on relevant problems,access to exploratory materials, fabrication ofmetrology artifacts, and robust communicationchannels to the industry customers. For up to dateinformation on ongoing metrology projectssupporting the semiconductor manufacturingindustry the following Website is useful:http://www.eeel.nist.!Zov/oms/

    REFERENCESSchafFt, H. A. Second Breakdown-A ComprehensiveReview,Pmc.E E 55,1272-1288, (1967)Bullis, W.M., Standard Measurenients of the

    ACKNOWLEDGMENTSI am deeply indebted to the multitude of technical

    experts, too many to mention by name, who helpedme with the material for this article. I am mostthankful for Michele Buckley and Erik Seculasextraordinary patience in helping with the assemblyofthe document..

    1.

    2.

    3.

    4.

    5.

    6.

    Resistivity of Silicon by the Four-Probe Method, NBSIR 74-496 (1974).Ha, G. G., Wire Bonding in MicroelectronicsMaterials, Processes, Reliability, and Yield, SecondEdition. New York McGraw-Hill(1997).Gupta, G., Bumett, J. H.,Griesmaun, U., and Walhout,M., Absolute Refractive Indices and ThermalCoefficients of Fused Silica Near 193n~n,AppliedOptics 31, pp. 5964-5968 (1998).Dowell, M. L., Cromer, C. L., Jones, R. D., Keenan, D.A., and Scott, T. R, New Developments in DeepUltraviolet Laser Metrology for Photolithography, inCharacten-ation and Mebologyfor ULSI Technologv:2000 International Conference, edited by Seiler, D. G.,et al., AIP Conference Proceedings 550, New York,American Institute ofPhysics, pp. 361-363 (2001).Bumett, J. H., Gupta, R, and Griesmann, U.,AbsoluteRefractive Indices and Thermal Coefficientsof CaF,, SrFz, BaF2, and LiF near 157~1,AppliedOplics 41,pp.2508-2513 (2002).

    43

    http://www.eeel.nist.%21zov/omshttp://www.eeel.nist.%21zov/oms
  • 8/7/2019 Overview-of-NIST-Metrology-Development-for-the-Semiconductor-Industry

    10/10

    Cromer. C. L.. Dowell, M. L., Jones, R. D., Keenan, D.A.. and Yang, S., A Primary Standard for 157 nmExcimer Laser Measurements, Characterization andMetrologv far ULSI Technologv. 2003 IntemationalConference, edited by Seiler, D. G., et al., AIPConference Proceedings 550, New York, AmericanInstitute of Physics (2004).Bumetl, J. It. Levine. Z. H.. and Shirley, E. L..Intrinsic Birefringence in Calcium Fluoride andBarium Fluoride,Phys. Rev. B 64,241 102 (R) (2001).Tarrio, C.. Vest. R E.. and Grantham, S., AbsoluteExtreme Ultraviolet Metrology, Harnessing Light:Optical Science and Mefrologv 01 NIST, Proc. SPIE4450,pp. 94-107 (2001).

    10. Grantham, S .. Vest, R. E., Tarrio, C., and Lucatorto,T.B., Facility for Pulsed Extreme Ultraviolet DetectorCalibration,Characferkafionand Metrologv for ULSITechnologv: 2003 International Conference, edited bySeiler, D. G., et al., AIP Conference Proceedings 550.New York, American Institute of Physics (2004).

    11. Damaz~,B. N., Vladar. A. E., Lmg, A V., Donmez,M. A., Postek, M. T., and Jayewardene, E. C., SEMSentinel- SEM performance measurement system,SPE 4344773-782 (2001),12. Postek, M. T., Vladar, A. E., and Bem~etCM. H.,Photomask Metrology: Has Anything ReallyChanged? SPE 22d Bacus Symposiumon PhotomaskTechnology 4489293-308 (2002).13. Postek, M. T., Vladar, A. E., Rice, T., and Knowles,R,Potentials for High Pressure/Envimnmental SEMMicroscopy for Photomask Dimensional Metrology,(in preparation).14. Postek, M. T., and Vladar, A. E., Application of HighFYessureiEnGromnental SEM M ~ R O S M ~ ~forPhotomask Dimensional Metrology, Characten-ationand Menology for ULSI Technologv: 2003International Confprence,edited by Seiler,D.G., et al.,

    AIP Conference Proceedings 550, New York,American Institute of Physics (2004).15.Auen. R A., am Endea. A, Cresswell, M. W.,Murabito, C. E., Headley, T. J., Ciutbrie, W.F.,Linholm, L.W., Ellenwood, C. H., and Bogadus, E.H., Test ,Structures for Referencing ElectricalLinewidth Measurements to SiliwnLattice Parameters

    Using HRTEh.L IEEE Trans. On SemiconductorManufacturing 16,No. 2, pp. 239-247 (2003).

    16. Candela. G. A., Chandler-Horowitz. D.. Marchiando. J.F., Novotny, D. B., Belzer. B. J. . and Croarkin. M. C.,Preparation and Certification of SRM-2530,Ellipsometric Parameters ) and P and DerivedThickness and Refractive Index of a Silicon DioxideLayer on Silicon, NIST SPECIAL PUBLICATION260-109 (1988).

    17 . Ehrstein, J. , Richter, C., Chandler-Horowitz. D., Vogel_E., Ricks, D., Young. C., Diebold. A., and Hung, P. Y..Thickness Evaluation for 2nm Si02 Films, aComparison of Ellipsometric. Capacitance-Voltage andHRTEM Measurements, Characterization andMetrology for ULSI Technology: 2003 InternationalConference. edited by Seiler. D. G., et al.. AIPConference Proceedings 550, New York, AmericanInstitute of Physics (2004).18 . Nguyen, N. V., Han, J.-P.. Kim. J. Y., B u , W., Luo,Z., and Ma, T. P., Optical Properties of As-Grown andAnnealed Jet-Vapor-Deposited TiAlO and HfAlO

    Determined by Vacuum Ultraviolet SpectroscopicEllipsometry, Characterization and Metrology forULSI Technology: 2003 International Conference,edited by Seiler. D. G., et al., AIP ConferenceProceedings 550, New York, American Institute ofPhysics (2004).

    19 . Janezic, M. D., Williams, D., Blaschke, V..Karamcheti. A., and Chang. C., PermittivityCharacterization of Low-k IEn Films fromTransmission Line Measurements, IEEE Trans.Microwave Thean, and Tech 51,pp. 132-136 (2003).20 . Mulholland, G. W., Bryner, N. P., and Croarkin, C.,Measurement of the 100 nm NISR SRM@ 1963 byDifferential Mobility Analysis, Aerosol Science andTechnology. 31,39-55, (1999).21.- J., Germer, T. A., Mnlholland, G. W., andE h . S., Size-Monodisperse Metal NanopdclesVia Hydrogen-Free Spray Pyolosis, AdvancedMaterials, 14 (P), 518-521, (2002).22.- J., E h , S. H., Mnlholland, G. W., andGermer, T. A., Method for Making Spherical MetalMicro and Nanoscale Powders by Spray Pyolosis,United States Patent filed January 26,2002.23 . Hurly, J. I.,Thennophysical of GaseousCF4and C2F6from Speed-of-Sound Measurements, InternationalJournal of Thermophysics, ZqZ), 455-484 (1999).24. Croarkin. C., and Tobias, P., eds.,NIST/SEMATECH*Handbook of Statistical Methods, National Instituteof Standards and Technology Handbook 15 1 (2003).

    44