4 - 5 JULY, 2017 - Silicon Connection Singapore€¦ · 4 - 5 JULY, 2017 TAY ENG SOON ... - Trends...

6
4 - 5 JULY , 2017 TAY ENG SOON CONVENTION CENTRE ITE COLLEGE CENTRAL SINGAPORE www.microelectronics.sg Organised by

Transcript of 4 - 5 JULY, 2017 - Silicon Connection Singapore€¦ · 4 - 5 JULY, 2017 TAY ENG SOON ... - Trends...

4 - 5 JULY, 2017TAY ENG SOON CONVENTION CENTREITE COLLEGE CENTRAL SINGAPOREwww.microelectronics.sg

““

Organised by

2

(SINGAPORE)www.microelectronics.sg

2017 Sponsors & Exhibitors

Morning RefreshmentsSponsor

Event Bag Sponsor

Documentation Sponsor

Events Badge Sponsor

Exhibitors

Bronze Sponsors

Afternoon RefreshmentsSponsor

Advertisers

Media Partners

Platinum Sponsors

Supporting Partner

BreakfastSponsor

Silver Sponsor

Networking LuncheonSponsor

LanyardSponsor

3

www.microelectronics.sg(SINGAPORE)

Photovoltaics/Solar Micro-electromechanical systems (MEMS) LEDs/Solid State Lighting Printed/Flexible Electronics Device Fabrication/Waferrocessing Equipment and Materials Deposition (CVD, PVD, ALD) Etch Ion implant Lithography Masks/reticles and mask-making equipment Chemical mechanical planarization (CMP) equipment and materials Silicon and non-silicon based wafers and substrates Process chemicals and gases Chemical handling systems Vacuum systems, components, and parts Robotic systems and components Valves, actuators, gear systems, and other components

Factory automation systems, software, and components Assembly and packaging equipment and materials Wire bonding Bump/flip chip/wafer-level packaging Automated semiconductor test equipment (ATE) Test handlers Probe cards and test materials

4

(SINGAPORE)www.microelectronics.sg

Conference AngendaConference Day 1: 4th July 2017 (Tuesday)

AM8:00 - 8:45

Registration & Exhibition Viewing

9:00 Organiser’s Opening Remarks

9:05 Keynote Address:The Expanding Role of FO-WLP: Market and Technology Trends

Speaker: E. Jan Vardaman, President and Founder, TechSearch International, Inc.

9:35 The Future of Advanced Packaging Market & Technology

Speaker: Lee Chee Ping, Regional Technologist and Technical Marketing Manager, LAM Research

10:05 Process Challenges and Case Study In SiP and Fan-Out Wafer Level Packaging

Speaker: Jason Chou, Asia Technical Manager, Indium

10:35 Networking Morning Refreshments & Exhibition Viewing

11:05 Technology Masterclass: Fan-Out Wafer Level Packaging (WLP) From an Expert Practitioner

Speaker: Isaac Ow, Director, Head of Key Product Unit, Metal Deposition Product Business Unit, Applied Materials Inc

11:35 Fan Out – From Simple to Complex

Speaker: John Hunt, Senior Director, Engineering, Technical Promotion, ASE (US) Inc

PM12:05

Networking Luncheon & Exhibition Viewing

1:15 Foundries & OSATs Roundtable: How Are Current Players Reacting to Fan-Out Manufacturing?

Panelists: Dr SW Yoon, Director, Products & Technology Marketing, Stats Chippac

2:00 Expandable Structure – Introduction

Speaker: Peter Lemmens, General Manager, imec Taiwan

2:30 Innovations in Flip Clip Technologies

Speaker: Dr Lee Teck Kheng, Director, Technology Development, Technology Development Centre, Director, Technical Transfer Office, ITE Headquarters

3:00 Networking Afternoon Refreshments & Exhibition Viewing

3:30 How can Semiconductors Power the Next Wave of Growth in IoT

Speaker: Chong Chan Pin, Senior Vice President, AP – Hybrid, Electronics Assembly, Wedge Bonders, Capillaries and Blades Business Lines, Kulicke & Soffa

4:00 Improving Wafer Dicing Throughput in Advanced MEMS Applications

Speaker: Chew Soon Chiah, Senior Business Development Manager, AMEC

4:30 PVD for Advanced Packaging

Speaker: Sanjay Vishwanath, Asia Service Manager, SPTS Technologies Ltd

5:00 The Dynamic Interaction Between WLCSP and SMD Packaging on IoT

Speaker: STM (Speaker to be confirmed)

5:30 End of Event Day 1

5

www.microelectronics.sg(SINGAPORE)

Conference AngendaConference Day 2: 5th July 2017 (Wednesday)

AM8:00 - 8:45

Registration & Exhibition Viewing

9:00 The Role of Smart Equipment in Future Electronics Manufacturing

9:30 Process Re-engineering: Overview of Process Equipment and How the Supply Chain Can Help Reduce Equip-ment Costs

10:00 Adapting Established FOUP Architecture to New Manufacturing Trends

Speaker: Lee Lan Wurn, Senior Technology Manager, AdvancedMaterials Handling Division, Entegris

10:30 Networking Morning Refreshments & Exhibition Viewing

11:00 Advanced Predictive Maintenance in IoT Era

Speaker: Lionel Hum, Program Lead, OMRON Electronics

11:30 Discussion Roundtable: The Technology Transfer Landscape in the Semiconductor Industry - Technology differentiation & current research - Trends in Semicon IP and strategic alliances in the semicon industry - Licensing issues

PM12:45

Networking Luncheon & Exhibition Viewing

2:00 RFIC Testing from R&D to Production: Characterizing Multiband ET/DPD Modern Front End Modules

Speaker: Wei Ren Neo, Semiconductor Business Development Manager, National Instruments

2:30 Digital Transformation in Manufacturing – The German Perspective

Speaker: Ricco Walter, Managing Director, Systema Automation Solutions Malaysia Sdn. Bhd

3:00 Networking Afternoon Refreshments & Exhibition Viewing

3:30 Big Data Transforming Manufacturing from Automation to Intelligent

Speaker: Hung Cheong Sin (H C Sin), IT Deputy Director. UMC

4:00 Energy Solutions & the Impact on Internet of Things

Speaker: VS Hariharan, Co-Founder & CEO, Third Wave Power Pte Ltd

4:30 Security Analysis of Connected Objects using Machine Learning techniques

Speaker: Matthieu LEC’HVIEN, R&D Leader, Secure-IC, Singapore

5:00 End of Event

6

(SINGAPORE)www.microelectronics.sg

Exhi

biti

onCo

nfer

ence

Even

t Lay

out (

Over

view

)

11528 14

Tota

l Boo

ths:

24