Semiconductor equipment, manufacturing, and materials...

219
. 3K-, A-

Transcript of Semiconductor equipment, manufacturing, and materials...

Page 1: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

. 3K-,

A-

Page 2: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

m Wafer Fab Equipment Data Base

The following is a list of material found in this section:

• Introduction to the Wafer Fab Equipment Data Base

• Wafer Fab Equipment—Summary Data by Category

• Wafer Fab Equipment—In^ort/Export Data

• Wafer Fab Equipment—Company Shares by Category

• Wafer Fabrication Equipnnent Forecast

SEMS Markets and Technology ® 1987 Dataquest Incorporated August

Page 3: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Introduction to the Wafer Fab Equipment Data Base

Dataquest's Semiconductor Equipment and Materials Service (SEMS) is publishing for the first time its comprehensive data base on wafer fabrication equipment. This data base is the result of an extensive research project conducted by SEMS. We contacted the world's major wafer fab equipment manufacturers, obtaining detailed regional and company market share data for the years 1982 through 1986.

Dataquest has organized the wafer fab equipment market into 10 major categories of front-end processing equipment. These categories, along with key subcategories, are shown in Table 1.

Dataquest estimates the capital spending by the world's merchant and captive semiconductor manufacturers and publishes this estimate in the SEMS Industry Econometrics notebook, behind the "Capital Spending" tab. We consider capital spending to consist of three components: spending for front-end, or wafer fab equipment; spending for back-end, or assembly and test equipment; and spending for property and plant. Thus, the total world market for the 10 categories of wafer fab equipment defined above should equal the total capital spending for front-end equipment by the world's semiconductor manufacturers.

Most of the equipment categories are self-explanatory; however, a few categories require further definition. The Process Control category represents a broad market that includes mask and wafer inspection equipment, process monitoring equipment, surface analysis equipment, and analytical instrumentation. This market is a highly fragmented market with dozens of companies selling into a multitude of noncompetitive market niches.

Factory Automation includes CIM software for shop floor control, factory host computer systems, cell controllers and interface hardware, and wafer transport systems including automatic guided vehicles, robotics, and rail transport systems.

Other Equipment is a general, catch-all category that includes the other capital equipment used throughout the fab but not classified with the other nine major types of wafer processing equipment. Included in this segment are decontamination systems, wafer markers, gas analyzers, storage stations, and other types of equipment.

SEMS Markets and Technology © 1987 Dataquest Incorporated August

Page 4: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Introduction to the Wafer Fab Equipment Data Base

Table 1

WAFER FAB EQUIPMENT CATEGORIES

1. L i thography

Contact /Proxim i ty P r o j e c t i o n Aligners Steppers Direct-Write E-Beam Maskmaking E-Beam X-Ray

2. Automatic Photoresist Processing Equipment

3. Etch and Clean

Wet Process Dry Strip Dry Etch Ion Milling

4. Deposition

Chemical Vapor Depo s i t ion Physical Vapor Deposition Silicon Epitaxy Metalorganic CVD Molecular Beam Epitaxy

5. Diffusion

6. Rapid Thermal Processing

7. Ion Implantation

Medium Current High Current High Voltage

8. Process Control

9. Factory Automation

10, Other Equipment

Source: Dataquest August 1987

© 1987 Dataquest Incorporated August SEMS Markets and Technology

Page 5: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Introduction to the Wafer Fab Equipment Data Base

COMVEIJITIOHS

The data in the tables represent calendar year shipments, organized by company or by region. For companies with a different fiscal year, calendar year shipments have been estimated. Shipments do not include spare parts or service but do include retrofits and upgrades. Thus, for public companies, the sales reported here may be different from the sales reported in the annual reports. The compound annual growth rate (CAGR) is estimated over the years 1982 to 1986 for each major line item.

EXCHANGE RATES

Japanese-manufactured equipment sold in Japan is valued in dollars in the data base tables at the average exchange rate for each year, as shown below:

^^N/DOLLAR EXCHANGE SATE

1982

248

1983

235

1984

237

1985

238

1986

167

It should be noted that Japanese equipment sold in Japan in 1986 reflects the high yen appreciation rate rather than a true increase in the total market.

EQUIPMENT COMPANIES

Table 2 presents a list of the equipment companies found in the data base tables by region of company ownership. There are a total of 61 U.S. equipment companies, 41 Japanese companies, and 23 European companies in the data base. These 125 major companies account for nearly all of the world's wafer processing equipment in lit:hography, automatic photoresist processing equipment, dry strip and dry etch, deposition, diffusion, rapid thermal processing, and ion implantation.

SEMS Markets and Technology © 1987 Dataquest Incorporated August

Page 6: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Introduction to the Wafer Fab Equipment Data Base

Table 2

EQUIPMENT COMPANIES

U.S. Companies

AG Associates American Semiconductor Equipment Technology Anicon Applied Materials

Branson/IPC BTU/Bruce CHA Industries Circuits Processing Apparatus Crystal Specialties

CVC Products CVD Equipment Denton Vacuum Drytek Eaton

Emergent Technologies Emcore Epitaxy, Inc. Focus Semiconductor Gasonics

GCA Gemini Research General lonex Genus Hampshire Instruments

Ion Tech KLA Instruments LAM Research Kurt J. Lesker LFE

Japanese Companies

Alcan Tech Amaya Anelva BIPC BTU/Ulvac

Canon Dainippon Screen Denki Eiko Enya Ergo Plasma Systems

Hitachi Hohkoh Sangyo Japan Production Eng, JEOL Kokusai

Koyo Lindberg Nikon Nippon FMC Nippon Sanso Nissin High Voltage

Plasma Systems Ramco Samco Seiden Seiko

Shimada Sinko Seiki SPC Electronics Sumitomo Tazmo

European Companies

Advanced Semiconductor Materials AET Aixtron ASM Lithography

Balzers Cambridge Instruments Centrotherm Convac CVT Edwards High Vacuum

EEV E.T. Electrotech HVE Europa Isa Riber Leybold-Heraeus

Thomas Schwonn Helmut Seier Sitesa Karl Suss Technics

Temescal VG Instruments Wellman Furnaces

(Continued)

1987 Dataquest Incorporated August SEMS Markets and Technology

Page 7: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Introduction to the Wafer Fab Equipment Data Base

Table 2 (Continued)

EQnin4EKT COMPANIES

U.S. Companies Japanese Companies European Companies

Machine Technology TEL/LAM Materials Research Corp. TEL/Thermco Matrix TEL/Varian Micronix Tokyo Electron Ltd. Nanometrics Tokyo Ohka

Nanosil Tokuda National Electrostatics Toshiba Novellus Ulvac Optical Specialties, Inc. Ushio Pacific Western Yawata

Peak Systems Perkin-Elmer Plasma-Therm Process Products Process Technology

Psi Star Semiconductor Systems, Inc. Silicon Valley Group Spectrum CVD Spire Corporation

Sputtered Films Reid-Ashman Tamarack Scientific Tegal Tempress

Thermco Torrvac Tylan Ultratech Varian

Watkins-Johnson Veeco

Source: Dataguest August 1987

SEMS Markets and Technology ® 1987 Dataguest Incorporated August

Page 8: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Summary Data by Category

This section of the equipment data base consists of two summary tables for the worldwide fab equipment market. Both tables present sales by equipment category for the years 1982 to 1986. In Table 1, the annual sales for each equipment category are organized by region of equipment sales; in Table 2, euinual sales for each equipment category are organized by equipment vendor nationality (United States, Japan, and Europe).

For example, the total worldwide sales for contact/proximity aligners of $45 million in 1982 is the same in both Table 1 and Table 2; however, whereas Table 1 breaks the sales down by region. Table 2 breaks the sales down by nationality of the companies supplying the aligners.

In Table 2, the subtotal fab equipment line item designates that portion of the total worldwide fab equipment market for which detailed company data are available. For some of the categories in Table 2 (Wet Process, Ion Milling, Process Control, Factory Automation, and Other Equipment), detailed company data are not yet complete. For these categories, top-down estimates have been made and included in Tables 1 and 2 so that world fab equipment sales are consistent across all tables. Detailed company data are available for about 75 percent, on average, of the total worldwide wafer fab equipment market for the years 1982 to 1986.

SEMS Markets and Technology © 1987 Dataquest Incorporated August

Page 9: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Summary Data by Category

Table 1

WORLDWIDE WAFER FAB EQUIPMENT MARKET REGIONAL SHARES BY CATEGORY

(Millions of Dollars)

World Fab Equipment Market

Lithography

Contact/Proximity United States Japan Europe Rest of World

Total Cont./Prox.

Projection Aligners United States Japan Europe Rest of World

Total Projection

Steppers United States Japan Europe Rest of World

Total Steppers

Direct-Write E-Beam United States Japan Europe Rest of World

1982

1,415.8

11.0 25.6 6.2 2.2

45.0

72.0 29.1 16.5 5,0

122.6

70.5 61.8 6.4 0.7

139.4

4.5 7.8 3.8 0

1983

2,126.8

15.5 17.5 6.9 2.4

42.3

84.0 47.8 22.4 6.5

160.7

121.8 155.2 12.4 7.2

296.6

5.3 8.5 5.6 2t5

1984

3,529.5

23.7 25.1 9.2 7.6

65.6

121.0 78.1 35.0 9.5

243.6

214.9 282.2 32.0 22.5

551.6

8.9 12.0 6.8 2.5

1985

3,341.3

15.6 19.1 7.1 7.1

48.9

124.0 105.3 31.9 12.5

237.7

137.5 242.4 57.0 31.2

468.1

13.4 11.0 9.2 0

1986

2,598.2

11.1 8.4 6.0 5.2

30.7

102.6 53.2 25.4 9.8

191.0

151.5 98.1 40.5 24.2

314.3

31.0 28.0 8.5 0

CAGR 1982-1986

16.4%

0.2% (24.3%) (0.8%) 24.0%

(9.1%)

9.3% 16.3% 11.4% N/A

11.7%

21.1% 12.2% 58.6% 142.5%

22.5%

62.0% 37.6% 22.3% N/A

Total Direct-Write 16.1 21.9 30.2 33.6 67.5 43.1%

(Continued)

© 1987 Dataquest Incorporated August SEMS Markets and Technology

Page 10: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Summary Data by Category

Table 1 (Continued)

WORLDWIDE WAFER FAB EQUIPMENT MARKET REGIONAL SHARES B7 CATEGORY

(Millions of Dollars)

Lithooraphy (Continued)

Maskmaking E-Beam United States Japan Europe Rest of World

Total Maskmaking

X-Ray United States Japan Europe Rest of World

Total X-Ray

Total Lithography United States Japan Europe Rest of World

Total Lith.

Automatic Photoresist Processing Equipment United States Japan Europe Rest of World

Total Track

1992

11.6 20.6 5.2 0

37.4

0 0 0 Q.

0

169.6 144.9 38.1 7.9

360.5

39.7 27.8 7.6 1.4

76.5

1983

24.5 12.4 8.8 0

45.7

0 0 0

a 0

251.1 241.4 56.1 18f6

567.2

46.0 42.4 10.7 1.3

100.4

1984

20.6 33.0 7.6 0

61.2

0 0.8 1.0 0

1.8

389.1 431.2 91.6 42.1

954.0

84.9 68.4 23.5 8.3

185.1

1985

39.1 36.6 4.0 2,7

82.4

0 0.8 1.0 0

1.8

329.6 415.2 110.2 5315

908.5

68.5 63.1 21.6 12.5

165.7

1986

27.0 30.0

0 0

57.0

0 0.8 0

_ a 0.8

323.2 218.5 80.4 39.2

661.3

59.1 50.5 26.3 7.6

143.5

CAGR 1982-1986

23.5% 9.9%

(100.0%) N/A

11.1%

N/A N/A N/A N/A

N/A

17.5% 10.8% 20.5% 49.3%

16.4%

10.5% 16.1% 36.4% 52.6%

17.0%

(Continued)

SEMS Markets and Technology © 1987 Dataquest Incorporated August

Page 11: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Summary Data by Category

Table 1 (Continued)

HORLDHIDE HAFER FAB EQUIPMEKT MARKET REGIONAL SHARES BY CATEGORY

(Millions of Dollars)

#

1982 1983 1984 1985 1986 CAGR

1982-1986

Etch and Clean

Wet Process United States Japan Europe Rest of World

32.3 27.4 7.3 1.1

41.6 41.2 9.7 2.6

56.3 57.1 13.7 4.7

40.2 45.4 13.1 6.0

35.0 29.7 12.9 5,1

2.0% 2.0% 15.3% 46.7%

Total Wet Process 68.1 95.1 131.8 104.7 82.7 5.0%

Dry Strip United States Japan Europe Rest of World

9.0 7.0 2.0 lt5

17.0 10.9 4.0 2.0

22.0 15.6 5.0 2.0

13.8 15.0 5.0 3.0

13.2 13.9 3.0 2.0

10.0% 18.7% 10.7% 7.5%

Total Dry Strip 19.5 33.9 44.6 36.8 32.1 13.3%

Dry Etch United States Japan Europe Rest of World

47.0 42.2 4.0 0

99.0 68.1 16.0 2.0

165.0 110.3 29.0 10.0

145.4 104.5 31.4 17.0

97.7 84.6 38.8 15.0

20.1% 19.0% 76.5% N/A

Total Dry Etch 93.2 185.1 314.3 298.3 236.1 26.2%

Ion Milling United States Japan Europe Rest of World

1.7 2.8 1.6 0

1.9 2.8 1.6 _ Q

3.9 2.8 1.6 0

2.2 2.8 1.5 _ Q

3.7 1.5 1.6 0

21.5% (14.4%)

0% N/A

Total Ion Milling 6.1 6.3 8.3 6.5 6.8 2.8%

(Continued)

1987 Dataguest Incorporated August SEMS Markets and Technology

Page 12: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

#

Wafer Fab Equipment—Summary Data by Category

Table 1 (Continued)

HORLDHIDE HAFER FAB EQUI»<ENT MARKET REGIONAL SHARES B7 CATEGORY

(Millions of Dollars)

1982

Etch and Clean (Continued)

T o t a l Etch and Clean United States Japan Europe Rest of World

Total E&C

Deposition

CVD United States Japan Europe Rest of World

90.0 79.4 14.9 2.6

186.9

1963

159.5 123.0 31.3 6.6

320.4

1984

247.2 185.8 49.3 16,7

499.0

1985

201.6 167.7 51.0 26.0

446.3

1986

149.6 129.7 56.3 22.1

357.7

CAGR 1982-1986

13.5% 13.1% 39.4% 70.7%

17.6%

3 7 . 4 4 1 . 7 1 1 . 0

1 . 5

6 1 . 5 7 2 . 8 1 2 . 1

3 . 6

8 8 . 8 1 0 5 . 9

2 3 . 0 8 . 1

7 9 . 1 1 0 0 . 0

3 0 . 8 1 4 . 8

6 2 . 5 7 6 . 3 3 3 . 1 1 3 . 4

13.7% 16 .3% 31.7% 72.9%

Total CVD 91.6 150.0 225.8 224.7 185.3 19.3%

PVD United States Japan Europe Rest of World

6 2 . 9 4 5 . 7 2 0 . 7

1 . 5

6 2 . 3 6 2 . 6 2 4 . 9

4 . 9

9 8 . 5 9 7 . 5 3 2 . 5

7 . 7

1 0 9 . 6 9 9 . 7 4 3 . 8 1 4 . 9

1 0 2 . 1 7 6 . 7 4 6 . 6 1 9 . 7

12.9% 13.8% 22 .5% 90.4%

Total PVD 130.8 154.7 236.2 268.0 245.1 17.0%

Silicon Epitaxy United States Japan Europe Rest of World

19.0 23.9 3.0 IfP

Total Silicon Epi. 46.9

2 4 . 0 3 2 . 8

6 . 0 2 . 0

4 3 . 7 5 0 . 1 1 3 . 0

5 . 0

2 7 . 3 2 6 . 2 1 3 . 0

5 . 0

1 6 . 2 1 6 . 5 1 1 . 2

5 t 4

(3.9%) (8.8%) 39.0% 52.4%

64.8 111.8 71.5 49.3 1.3%

(Continued)

SEMS Markets and Technology ® 1987 Dataguest Incorporated August

Page 13: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Summary Data by Category

Table 1 (Continued)

WORLDWIDE WAFER FAB EQUIPMENT MARKET REGIONAL SHARES BY CATEGORY

(Millions of Dollars)

1982 1983 1984 1985 1986 CAGR

1982-1986

Deposition (Continued)

Metalorganic CVD United States Japan Europe Rest of World

0 2.1 0.2 0

1.2 4.8 1.4 0 ^

4.8 9.3 2.1 Q

9.4 10.8 4.7

a

10.5 15.0 4.6 0

N/A 63.5% 119.0%

N/A

Total MOCVD 2.3 7.5 16.2 24.9 30.1 90.2%

Molecular Beam Epitaxy United States 8.9 15.6 22.8 26.3 29.8 35.3% Japan 5.9 11.3 12.9 16.7 21.9 38.8% Europe 7.3 8.9 10.1 14.8 20.4 29.3% Rest of World 0 0.7 1.4 2.6 1.9 N/A

Total MBE 22.1 36.5 47.2 60.4 74.0 35.3%

Total Deposition United States Japan Europe Rest of World

1 2 8 . 2 1 1 9 . 3

4 2 . 2 4 . 0

1 6 4 . 6 1 8 4 . 3

5 3 . 3 1 1 . 3

2 5 8 . 6 2 7 5 . 7

8 0 . 7 2 2 . 2

2 5 1 . 7 2 5 3 . 4 1 0 7 . 1

3 7 . 3

2 2 1 . 1 2 0 6 . 4 1 1 5 , 9

4 0 . 4

14.6% 14.7% 28.7% 7 8 . 3 %

Total Deposition 293.7 413.5 637.2 649.5 583.8 18.7%

Diffusion United States Japan Europe Rest of World

3 9 . 3 4 7 . 0 1 6 . 2

2 . 7

4 3 . 4 5 7 . 6 1 1 . 3

9 . 3

7 7 . 6 8 2 . 6 2 9 . 5

9 . 5

7 7 . 0 9 1 . 9 3 0 . 5 1 2 . 8

5 8 . 1 7 0 . 2 2 7 . 7

9 . 6

10 .3% 10.6% 14.4% 3 7 . 3 %

Total Diffusion 105.2 121.6 199.2 212.2 165.6 12.0%

(Continued)

1987 Dataguest Incorporated August SEMS Markets and Technology

Page 14: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Summary Data by Category

Table 1 (Continued)

WORLDWIDE WAFER FAB EQUIPMENT MARKET REGIONAL SHARES BY CATEGORY

(Millions of Dollars)

Rapid Thermal Processing United States Japan Europe Rest of World

Total RTF

Ion Implantation

Medi\un Current United States Japan Europe Rest of World

Total Med. Cur.

High Current United States Japan Europe Rest of World

Total High Cur.

High Voltage United States Japan Europe Rest of World

1982

1.4 0.8 0.1 __fi

2.3

31.0 21.7 4.3 0

57.0

24.0 25.7 4.0 0

53.7

0.2 0.4 0

__Q

1983

1.4 2.2 0.3

__a 3.9

38.0 30.5 13.0 1.0

82.5

34.0 45.2 8.0 3,0

90.2

1.1 0 0 0

1984

3.3 5.1 1.7 Orl

10.2

53.0 39.7 21.9 3.5

118.1

65.4 78.1 16.7 6.7

166.9

1.1 0 0 0

1985

5.8 4.9 2.2 0.9

13.8

35.9 62.2 22.6

u.i 131.8

42.3 90.3 21.3 17.5

171.4

0.9 0.3 1.2 _ Q

1985

7.0 5.5 2.6 1.3

16.4

15.8 24.2 10.4 5.9

56.3

12.8 20.7 17.0 7.9

58.4

12.0 2.3 0.3 0

CAGR 1982-1986

49.5% 61.9% 125.8%

N/A

63.4%

(15.5%) 2.8% 24.7% N/A

(0.3%)

(14.5%) (5.3%) 43.6% N/A

2.1%

178.3% 54.9% N/A N/A

Total High Volt. 0.6 1.1 1.1 2.4 14.6 122.1%

(Continued)

SEMS Markets and Technology © 1987 Dataquest Incorporated August

Page 15: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Summary Data by Category

Table 1 (Continued)

WORLDWIDE WAFER FAB EQUIPMENT MARKET REGIONAL SHARES B7 CATEGORY

(Millions of Dollars)

1982 1983 ISM 1985 1986 CAGR

1982-1986

Ion Implantation (Continued)

Total Implantation United States Japan Europe Rest of World

5 5 . 2 4 7 . 8

8 .3 0

7 3 . 1 7 5 . 7 2 1 . 0

4 . 0

1 1 9 . 5 1 1 7 . 8

3 8 . 6 1 0 . 2

7 9 . 1 1 5 2 . 8

4 5 . 1 2 8 . 6

4 0 . 6 4 7 . 2 2 7 . 7 1 3 . 8

(7.4%) (CS-'b) 35.2%

N/A

Total Implantation 111.3 173.8 286.1 305.6 129.3 3.8%

Process Control United States Japan Europe Rest of World

1 0 1 . 9 7 7 . 7 2 1 . 5

3 . 7

1 3 5 . 8 1 2 0 . 6

2 9 . 9 9 . 9

2 2 8 . 9 2 0 8 . 0

5 2 . 1 1 9 . 5

1 6 8 . 0 1 7 6 . 5

5 2 . 0 2 6 . 0

1 6 2 . 2 1 3 1 . 1

5 7 . 5 2 2 . 7

12 .3% 14.0% 27.9% 57.4%

Total Proc. Cont. 204.8 296 .2 508.5 422.5 373.5 16.2%

Factory Automation United States Japan Europe Rest of World

8 . 5 1 3 . 5

2 . 5 0 . 5

1 8 . 0 3 0 . 5

4 . 5 1 .5

3 9 . 0 7 6 . 5

7 . 0 2 t 5

3 3 . 0 5 9 . 0

6 . 5 1.0

3 0 . 0 3 6 . 0

8 . 0 1 .5

37 .1% 27.8% 33.7% 31.6%

Total Automation 25.0 54.5 125.0 99.5 75.5 31.8%

Other Equipment United States Japan Europe Rest of World

2 3 . 0 2 0 . 3

5 . 5 0 . 8

3 2 . 4 3 2 . 7

7 . 9 2 . 3

5 2 . 5 5 4 . 3 1 3 . 6

4 . 8

4 4 . 0 5 1 . 0 1 5 . 5

7 , 2

3 8 . 1 3 3 . 2 1 4 . 6

5 .7

13.4% 13.1% 27.6% 63.4%

Total Other 49.6 75.3 125.2 117.7 91.6 16.4%

(Continued)

1987 Dataquest Incorporated August SEMS Markets and Technology

Page 16: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Summary Data by Category

#

Table 1 (Continued)

WORLDWIDE WAFER FAB EQUIPMEKT MARKET REGIONAL SHARES BY CATEGORY

(Millions of Dollars)

:al Wafer Fab Equipment United States Japan Europe Rest of World

1982

656.8 578.5 156.9 23.6

1983

925.3 910.4 226.3 64.8

1984

1,500.6 1,505.4 387.6 135t9

1985

1,258.3 1,435.5 441.7 205.8

1986

1,089.0 928.3 417.0 163.9

CAGR 1982-1986

13.5% 12.6% 27.7% 62.3%

Total Fab Equip. 1,415.8 2,126.8 3,529.5 3,341.3 2,598.2 16.4%

N/A = Not Applicable

Source: Dataquest August 1987 Ref: SUMMREG

SEMS Markets and Technology ® 1987 Dataquest Incorporated August

Page 17: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Summary Data by Category

Table 2

WORLDWIDE WAFER FAB ZQaiPtSESX MARKET COMPANY SHARES B7 CATEGORY

(Millions of Dollars)

World Fab Eguipment Market

Lithography

1982

1,415.8

1983

2,126.8

1984

3,529.5

1985

3,341.3

1986

2,598.2

CAGR 1982-1986

16.4%

Con tac t /P rox imi ty U.S. Companies Japanese Companies European Companies

Total Cont./Prox.

Projection Aligners U.S. Companies Japanese Companies European Companies

0 37.9 7.1

45.0

102.0 20.6

0

0 31.0 11.3

42.3

120.0 40.7

0

0 48.6 17.0

65.6

159.0 84.6

0

0 31.4 17.5

48.9

146.0 127.7

0

0 14.7 16.0

30.7

127.0 64.0

0

N/A (21.1%) 22.5%

(9.1%)

5.6% 32.8% N/A

Total Projection 122.6 160.7 243.6 273.7 191.0 11.7%

Steppers U.S. Companies Japanese Companies European Companies

Total Steppers

Direct-Write E-Beam U.S. Companies Japanese Companies European Companies

101.1 37.1 1.2

139.4

0 9.8 6.3

193.9 101.4

1.3

296.6

0 12.5 9.4

328.7 220.1

2.8

551.6

1.1 19.5 9.6

223.2 242.1

2.8

468.1

0 20.5 13.1

151.2 153.3 9.8

314.3

7.0 40.0 20.5

10.6% 42.6% 69.0%

22.5%

N/A 42.1% 34.3%

Total Direct-Write 16.1 21.9 30.2 33.6 67.5 43.1%

(Continued)

10 1987 Dataguest Incorporated August SEMS Markets and Technology

Page 18: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Summary Data by Category

Table 2 (Continued)

WORLDWIDE WAFER FAB EQUIPMENT MARKET COMPANY SHAKES BY CATEGORY

(Millions of Dollars)

Lithoaraphy (Continued)

Maskmaking E-Beam U.S. Companies Japanese Companies European Companies

Total Maskmaking

X-Ray U.S. Companies Japanese Companies European Companies

1982

21.1 12.2 4.1

37.4

0 0 0

1983

29.9 10.3

5.5

45.7

0 0 Q.

1984

49.3 8.0 3.9

61.2

0.8 0

lifi

1985

64.8 15.0

2,6

82.4

0.8 0

1.0

1986

36.0 21.0

0

57.0

0.8 0 0

CAGS 1982-1986

14.3% 14.5%

(100.0%)

11.1%

N/A N/A N/A

Total X-Ray 1.8 1.8 0.8 N/A

Total Lithography U.S. Companies Japanese Companies European Companies

224.2 117.6 18.7

343.8 195.9 27.5

538.9 380.8 34.3

434.8 436.7 37.0

322.0 293.0 46.3

9.5% 25.6% 25.4%

Total Lith, 360.5 567.2 954.0 908.5 661.3 16.4%

Automatic Photoresist Processing Equipment

U.S. Companies Japanese Companies European Companies

55.4 20.1

l.Q

60.7 38.2 1.5

114.7 67.4 3.0

93.3 69.3 3.1

81.0 57.2

5t3

10.0% 29.9% 51.7%

Total Track 76.5 100.4 185.1 165.7 143.5 17.0%

(Continued)

SEMS Markets and Technology 1987 Dataguest Incorporated August 11

Page 19: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Summary Data by Category

Table 2 (Continued)

HORLDHIDE HAFER FAB EQUIE ifEIilT MARKET COMPASY SHARES BT CATEGORY

(Millions of Dollars)

Dry Strip U.S. Companies Japanese Companies European Companies

1982

13.5 5.0 1.0

1983

23.0 8.9 2.0

1984

31.0 12.6 1.0

1985

22.6 13.2 1.0

1986

18.8 13.3

0

CAGR 1982-1986

8.6% 27.7%

(100.0%)

Total Dry Strip 19.5 33.9 44.6 36.8 32.1 13.3%

Dry Etch U.S. Companies Japanese Companies European Companies

62.7 30.5

0

122.4 53.3 9.4

207.6 96.4 10.3

196.3 93.1 8.9

171.9 57.4 6.8

28.7% 17.1% N/A

Total Dry Etch 93.2 185.1 314.3 298.3 236.1 26.2%

Deposition

CVD U.S. Companies 37.2 Japanese Companies 31.2 European Companies 23.2

64.5 46.5 39.0

113.9 65.8 46.1

122.4 58.2 44.1

98.1 42.9 44.3

27.4% 8.3% 17.6%

Total CVD 91.6 150.0 225.8 224.7 185.3 19.3%

PVD U.S. Companies 82.7 90.3 129.1 145.5 124.6 10.8% Japanese Companies 24.9 38.6 69.2 76.0 77.4 32.8% European Companies 23.2 25.8 37.9 46.5 43.1 16.7%

Total PVD 130.8 154.7 236.2 268.0 245.1 17.0%

Silicon Epitaxy U.S. Companies Japanese Companies European Companies

33.0 13.9

0

53.4 11.4

0

100.9 10.9

0

63.4 8.1 0

45.7 3.6 0

8.5% (28.7%)

N/A

Total Silicon Epi. 46.9 64.8 111.8 71.5 49.3 1.3%

(Continued)

12 1987 Dataquest Incorporated August SEMS Markets and Technology

Page 20: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Summary Data by Category

Table 2 (Continued)

WORLDWIDE WAFER FAB EQUIPMENT MARKET COMPANY SHARES BY CATEGORY

(Millions of Dollars)

Deposition (Continued)

Metalorganic CVD U.S. Companies Japanese Companies European Companies

1982

0 2.1 0.2

1983

0.3 4.8 2.4

1984

1.9 9.3 5.0

1985

6.9 10.5 7.5

1986

10.1 14.1 5,9

1982-1986

N/A 61.0%

133.1%

Total MOCVD 2.3 7.5 16.2 24.9 30.1 90.2%

Molecular Beam Epitaxy U.S. Companies 7.2 7.3 10.1 15.0 22.3 32.7% Japanese Companies 1.5 2.6 4.2 10.5 14.7 76.9% European Companies 13.4 26.6 32.9 34.9 37.0 28.9%

Total MBE 22.1 36.5 47.2 60.4 74.0 35.3%

Total Deposition U.S. Companies Japanese Companies European Companies

160.1 73.6 60.0

215.8 103.9 93.8

355.9 159.4 121.9

353.2 163.3 133.0

300.8 152.7 130.3

17.1% 20.0% 21.4%

Total Deposition 293.7 413.5 637.2 649.5 583.8 18.7%

Diffusion U.S. Companies Japanese Companies European Companies

49.8 47.0 8.4

48.1 57.6 15.9

101.8 85.0 12.4

108.7 91.6 11.9

84.7 69.9 11.0

14.2% 10.4% 7.0%

Total Diffusion 105.2 121.6 199.2 212.2 165.6 12.0%

Rapid Thermal Processing U.S. Companies Japanese Companies European Companies

2.2 0

0.1

3.6 0

0.3

8.2 1.6 0.4

11.1 2.3 0.4

12.4 3.3 0.7

54.1% N/A

62.7%

Total RTP 2.3 3.9 10.2 13.8 16.4 63.4%

(Continued)

SEMS Markets and Technology © 1987 Dataquest Incorporated August 13

Page 21: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Summary Data by Category

Table 2 (Continued)

WORLDWIDE WAFER FAB EQUIPMENT MARKET C0MPAN7 SHARES B7 CATEGORT

(Millions of Dollars)

Total Implantation U.S. Companies Japanese Companies European Companies

Total Implantation

Subtotal Fab Equipment* U.S. Companies Japanese Companies European Companies

Subtotal

Wet Process All Companies

Ion Milling All Companies

1982

90.7 19.6 1,0

111.3

658.6 313.4 90.2

1,062.2

68.1

6.1

1993

132.0 37.8 4,0

173.8

949.4 495.6 154.4

1,599.4

95.1

6.3

1984

222.0 58.1 6,0

286.1

1,580.1 861.3 189.3

2,630.7

131.8

8.3

1985

222.7 82.9

o

305.6

1,442.7 952.4 195.3

2,590.4

104.7

6.5

19?6

92.0 37.3

0

129.3

1,083.6 684.1 200.4

1,968.1

82.7

6.8

CAGR 1982-1986

0.4% 17.5%

(100.0%)

3.8%

13.3% 21.6% 22.1%

16.7%

5.0%

2.8%

Process Control All Companies

Factory Automation All Companies

Other Equipment All Companies

204.8

2 5 . 0

4 9 . 6

2 9 6 . 2 5 0 8 . 5 4 2 2 . 5 3 7 3 . 5 16 .2%

5 4 . 5 1 2 5 . 0 9 9 . 5 7 5 . 5 31 .8%

Total Fab Equip. 1,415.8

75.3 125.2 117.7 91.6 16.6%

2,126.8 3,529.5 3,341.3 2,598.2 16.4%

*Subtotal Fab Equipment does not include Wet Process, Ion Milling, Process Control, Factory Automation, and Other Equipment categories because detailed company data are not complete for these categories. Aggregate data for these categories are added to provide a consistent total for the worldwide wafer fab equipment market.

N/A = Not Available

Source: Dataquest August 1987 Ref: SUMMSHR

14 © 1987 Dataquest Incorporated August SEMS Markets and Technology

Page 22: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Import/Export Data

This section of the equipment data base consists of two summary tables that provide information on the import/export markets for the worldwide wafer fab equipment market. In botJi Table 1 and Table 2, the worldwide fab equipment market total in millions of dollars is listed at the beginning of the table and followed by the subtotal for fab equipment. The subto ta l fab equipment line item includes all of the front-end equipment categories for which detailed company analysis has been made and accounts for about 75 percent/ on average/ of all front-end equipment for the years 1982 through 1986. For some equipment categories (Wet Process/ Ion Milling/ Process Control/ Factory Automation, and Other Equipment)/ detailed company analysis is not yet complete. For these categories/ which account for the remaining 25 percent of wafer fab equipment/ a top-down estimate has been made and included in Tables 1 and 2 so that worldwide fab equipment sales are consistent across all tables.

The subtotal fab equipment market includes all of the major wafer fab equipment categories and accounts for the majority of all import/export activity in the worldwide fab equipment market. Relatively little import/export activity exists/ particularly between the United States and Japan/ for the remaining 25 percent of wafer fab equipment for which detailed company data are not yet complete. These equipment markets are largely supplied by domestic suppliers. Significant import/export analysis of the fab equipment market can be done/ however/ with the aid of the data in Tables 1 and 2.

Tables 1 and 2 provide data on the import/export activity for the aggregate wafer fab equipment market. In the future/ Dataquest will publish such tables for each of the major equipment categories. This analysis can now be made with the aid of the tables in the section entitled "Wafer Fab Equipment—Company Shares by Category/" although not conveniently. It is our intention to provide import/export tables and analysis at a later date/ rather than to hold up the initial publication of the equipment data base.

SEMS Markets and Technology © 1987 Dataquest Incorporated August

Page 23: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Import/Export Data

4 4 3 . 7 1 0 . 5 3 5 . 2

6 2 0 . 1 2 0 . 4 5 5 . 1

9 6 7 . 3 7 5 . 8 7 6 . 9

8 1 8 . 6 7 9 . 2 7 3 . 1

6 7 0 . 0 7 5 . 2 7 4 . 8

10.9% 63.6% 20.7%

Table 1

HORUJWIDE WAFER FAB EQUIPMENT MARKET IMPORT DATA

(Millions of Dollars)

CAGR 1982 1983 1984 1985 1986 1982-1986

World Fab Equipment Market 1,415.8 2,126.8 3,529.5 3,341.3 2,598.2 16.4% Subtotal Fab Equip.* 1,062.2 1,599.4 2,630.7 2,590.4 1,968.1 16.7% Subtotal Percent 75.0% 75.2% 74.5% 77.5% 75.7%

Subtotal Fab Equipment

United States U.S. Co. Sales Japanese Co. Sales European Co. Sales

Total U.S. Market 489.4 695.6 1,120.0 970.9 820.0 13.8%

Japan U.S. Co. Sales Japanese Co. Sales European Co. Sales

Total Japan Market 436.8 682.6 1,106.7 1,100.8 696.8 12.4%

Europe U.S. Co. Sales Japanese Co. Sales European Co. Sales

Total Europe Market 118.5 172.7 299.6 353.1 322.4 28.4%

Rest of World U.S. Co. Sales Japanese Co. Sales European Co. Sales

Total ROW Market 17-5 48.5 104.4 165.6 128.9 64.7%

1 3 1 . 5 2 9 4 . 3

1 1 . 0

1 9 8 . 6 4 5 8 . 2

2 5 . 8

3 3 5 . 3 7 4 4 . 3

2 7 . 1

2 5 5 . 4 8 1 7 . 6

2 7 . 8

1 2 7 . 9 5 3 9 . 8

2 9 . 1

(0 .7%) 16.4% 27 .5%

6 9 . 6 6 . 9

4 2 . 0

1 0 0 . 7 1 0 . 7 6 1 . 3

2 0 4 . 5 1 8 . 7 7 6 . 4

2 3 9 . 5 3 0 . 2 8 3 . 4

1 9 6 . 0 3 9 . 5 8 6 . 9

29 .5% 54.7% 19.9%

1 3 . 8 1 .7 2 . 0

3 0 . 0 6 . 3

1 2 . 2

7 3 . 0 2 2 . 5

8,9

1 2 9 . 2 2 5 . 4 1 1 . 0

8 9 . 7 2 9 . 6

9 .6

59 .7% 104 .3%

48.0%

(Continued)

1987 Dataquest Incorporated August SEMS Markets and Technology

Page 24: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Import/Export Data

Table 1 (Continued)

WORIJ>HIDE WAFER FAB EQUIPMENT MARKET IMPORT DATA

(Millions of Dollars)

Subtotal Fab Equipment

Worldwide U.S. Co. Sales Japanese Co. Sales European Co. Sales

Subtotal Fab Equip.

Wet Process All Companies

Ion Milling All Companies

Process Control All Companies

Factory Automation All Companies

Other Equipment All Companies

Total Fab Equip.

1982

(Continued)

658.6 313.4 90.2

1,062.2

68.1

6.1

204.8

25.0

49.6

1,415.8

1

2

1-993

949.4 495.6 154.4

,599.4

95.1

6.3

296.2

54.5

75.3

,126.8

1984

1,580.1 861.3 189.3

2,630.7

131.8

8.3

508.5

125.0

125.2

3,529.5

19?5

1,442.7 952.4 195.3

2,590.4

104.7

6.5

422.5

99.5

117.7

3,341.3

1986

1,083.6 684.1 200.4

1,968.1

82.7

6.8

373.5

75.5

91.6

2,598.2

CAGR 1982-1986

13.3% 21.6% 22.1%

16.7%

5.0%

2.8%

16.2%

31.8%

16.6%

16.4%

^Subtotal Fab Equipment does not include Wet Process, Ion Milling, Process Control, Factory Automation, and Other Equipment categories, as detailed company data are not complete for these categories. Aggregate data for these categories are added to provide a consistent total for the worldwide wafer fab equipment market.

Source: Dataquest August 1987 Hef: EXIMSHK

SEMS Markets and Technology © 1987 Dataquest I nco rpo ra t ed August

Page 25: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Import/Export Data

Table 2

WORLDWIDE WAFER FAB EQUIPMENT MARKET EXPORT DATA

(Millions of Dollars)

1982

World Fab Equipment Market 1,415.8

Subtotal Fab Equip.* 1,062.2 Subtotal Percent 75.0%

1983

2,126.8 1,599.4

75.2'«b

1984

3,529.5 2,630.7

74.5%

1985

3,341.3 2,590.4

77.5%

1986

2,598.2 1,968.1

75.7%

CAGR 1982-1986

16.4% 16.7%

Subtotal Fab Equipment

U.S. Equipment Companies Sales in U.S. Sales in Japan Sales in Europe Sales in ROW

Total U.S. Cos.

Japanese Equip. Cos. Sales in U.S. Sales in Japan Sales in Europe Sales in ROW

443.7 131.5 69.6 13.8

658.6

10.5 294.3 6.9 1.7

620.1 198.6 100.7 30.0

949.4

20.4 458.2 10.7 6.3

967.3 335.3 204.5 73.0

1,580.1

75.8 744.3 18.7 22.5

818.6 255.4 239.5 129.2

1,442.1

79.2 817.6 30.2 25.4

670.0 127.9 196.0 89,7

1,083.6

75.2 539.8 39.5 29.6

10.9% (0.7%) 29.5% 59.7%

13.3%

63.6% 16.4% 54.7%

104.3%

Total Japan Cos. 313.4 495.6 861.3 952.4 684.1 21.6%

European Equip. Companies Sales in U.S. Sales in Japan Sales in Europe Sales in ROW

35.2 11.0 42.0 2.0

55.1 25.8 61.3 12.2

76.9 27.1 76.4

8.9

73.1 27.8 83.4 11.0

74.8 29.1 86.9 9,6

20.7% 27.5% 19.9% 48.0%

Total Eur. Cos. 90.2 154.4 189.3 195.3 200.4 22.1%

Subtotal Fab Equip. 1,062.2 1,599.4 2,630.7 2,590.4 1,968.1 16.7%

(Continued)

© 1987 Dataquest Incorporated August SEMS Markets and Technology

Page 26: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Import/Export Data

Table 2 (Contiiiued)

WORLDWIDE WAFER FAB EQUIPMENT MARKET EXPORT DATA

(Millions of Dollars)

Wet Process All Companies

Ion Milling All Companies

Process Control All Companies

Factory Automation All Companies

Otiher Equipment All Companies

Total Fab Equip.

CAGR 1982 1983 1984 1985 1986 1982-1986

68.1 95.1 131.8 104.7 82.7 5.0%

6.1 6.3 8.3 6.5 6.8 2.8%

2 0 4 . 8 2 9 6 . 2 5 0 8 . 5 4 2 2 . 5 3 7 3 . 5 16 .2%

2 5 . 0 5 4 . 5 1 2 5 . 0 9 9 . 5 7 5 . 5 31 .8%

49.6 75.3 125.2 117.7 91.6 16.5%

1,415.8 2,126.8 3,529.5 3,341.3 2,598.2 16.4%

*Subtotal Fab Equipment does not include Wet Process, Ion Milling, Process Control, Factory Automation, and Other Equipment categories, as detailed company data are not complete for these categories. Aggregate data for these categories are added to provide a consistent total for the worldwide wafer fab equipment market.

Source: Dataquest August 1987 Ref: EXIMSHR

SEMS Markets and Technology 1987 Dataquest Incorporated August

Page 27: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Import/Export Data

(Page intentionally left blank)

1987 Dataguest Incorporated August SEMS Markets and Technology

Page 28: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

This section of the eguipment data base contains detailed company market share data by region for the major front-end eguipment categories as shown in Tables 1 through 42. All of t:he companies that participate in an eguipment segment are listed for each region, regardless of whether or not they have sales in a particular region. Altihough this approach results in a large number, of zeros in the tables, it also indicates that Dataguest has not recorded any sales for the company in that region. We believe that this format gives more positive information than eliminating a company with no sales in a given region.

At the beginning of each table, the total world market for a particular eguipment category is presented. This total is the same for each category as the total listed in Tables 1 and 2 in the service section entitled "Wafer Fab Eguipment—Summary Data by Category." Thus, all tables are completely consistent as one proceeds from t:he summary tables to the detailed tables presented here in this section.

SEMS Markets and Technology ® 1987 Dataguest Incorporated August

Page 29: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 1

TOTAL LITHOGRAPHY COMPANY SHARES HOSLDHIDE

(Millions of Dollars)

1982 1983 1984 1985 CAGR

1986 1982-1986

World Lithography Market 360.5 567.2 954.0 908.5 6 6 1 . 3 16 .4%

Contact/Proximity Canon Karl Suss

Total Cont./Prox.

Projection Aligners Canon Perkin-Elmer

37.9 7.1

45.0

20.6 102.0

31.0 11.3

42.3

40.7 120.0

48.6 17 ,0

65.6

84.6 159.0

31.4 17.5

48.9

127.7 146.0

14.7 16.0

30.7

64.0 127.0

(9.1%)

Total Projection 122.6 160.7 243.6 273.7 191.0 11.7%

Steppers ASET ASM Lithography Canon Eaton GCA Hitachi Perkin-Elmer Nikon Ultratech

Total Steppers

Direct-Write E-Beain ASM Lithography Cambridge Hitachi JEOL Perkin-Elmer Toshiba Varian

Total Direct-Write

18.1 1.2 0

7.4 45.8 8.2 17.2 28.9 12.6

139.4

0 6.3 0

9.8 0 0 0

16.1

17.1 1.3 2.4 23.3 111.3 17.1 8.9 81.9 23.3

296.6

1.8 7.6 0

12.5 0 0 0

21.9

10.7 2.8 12.6 32.0 201.2 14.5 21.8 193.0 63.0

551.6

1.8 7.8 5.0 14.5

0 0

1.1

30.2

8.4 2.8 38.8 23.9 118.0 17.4 22.2 185.9 50.7

468.1

3.8 9.3 3.0 17.5

0 0 0

33.6

11.8 9.8 60.3 2.1 71.4 8.5 27.0 84.5 38.9

314.3

6.0 14.5 10.5 29.5 7.0 0 0

67.5

22.5%

43.1%

(Continued)

© 1987 Dataquest Incorporated August SEMS Markets and Technology

Page 30: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 1 (Cont:inued)

TOTAL LITHOGRAPH!' C0MPAM7 SHAKES WORLimiDE

(Millions of Dollars)

Maskmaking E-Beam ASM Litihography Cambridge Hitachi JEOL Perkin-Elmer Toshiba Varian

Total Maskmaking

X-Ray Karl Suss Micronix

Total X-Ray

Total Lithography

19?2

1.7 2.4 0

4.2 16.8 8.0 4.3

37.4

0 0

0

360.5

1983

1.8 3.7 0

6.3 25.3 4.0

4,e

45.7

0 0

0

567.2

1984

0 3.9 0

5.5 47.5 2.5 1,8

61.2

1.0 0,8

1.8

954.0

1985

0 2.6 6.0 6.5 64.8 2.5 0

82.4

1.0 0.8

1.8

908.5

1986

0 0

10.5 10.5 36.0

0

o 57.0

0 0.8

0.8

661.3

CAGR 1982-1986

11.1%

N/A

16.4%

N/A = Not Applicable

Source; Dataquest August 1987 Sef: LITHSHR

SEMS Markets and Technology 1987 Dataquest Incorporated August

Page 31: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 2

CONTACT/PROXIMITY COMPAMY SHARES REGIONAL

(Millions of Dollars)

World Cont./Proz. Market

United States Canon Karl Suss

1982

45.0

7.5 3.5

1983

42.3

10.0 5.5

1994

65.6

15.6 8.1

19?5

48.9

7.8

7,9

1986

30.7

5.6 5.5

CAGR 1982-1986

(9.1%)

Total United States 11.0 15.5 23.7 15.6 11.1 0.2%.

Japan Canon Karl Suss

Total Japan

Europe Canon Karl Suss

Total Europe

Rest of World Canon Karl Suss

24.2 1.4

25.6

5.0 1.2

6.2

1.2 1.0

15.4 2.1

17.5

5.0

lt9

6.9

0.6

1.8

22.9 2.2

25.1

6.3

2.9

9.2

3.8 3t8

15.8 3.3

19.1

3.9 3.2

7.1

3.9 3,2

4.5 3.9

8.4

2.6 3t4

6.0

2.0 3.2

(24.3%)

(0.8%)

Total Rest of World 2.2 2.4 7.6 7.1 5.2 24.0%

Worldwide Canon Karl Suss

37.9 7.1

31.0 11.3

48.6 17.0

31.4 17.5

14.7 16.0

Total Worldwide 45.0 42.3 65.6 48.9 30.7 (9.1%)

Source: Dataguest August 1987 Ref: CONTSHR

1987 Dataguest Incorporated August SEMS Markets and Technology

Page 32: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 3

PROJECTION ALIGNER COMPIiSY SHARES REGIONAL

(Millions of Dollars)

World Projection Market

United States Canon Perkin-Elmer

Total United States

Japan Canon Perkin-Elmer

Total Japan

Europe Canon Perkin-Elmer

Total Europe

Rest of World Canon Perkin-Elmer

Total Rest of World

Worldwide Canon Perkin-Elmer

19?2

122.6

0 72.0

72.0

19.1 10.0

29.1

1.5 15.0

16.5

0 5.0

5.0

20.6 102.0

1983

160.7

0 84.0

84.0

35.8 12.0

47.8

4.4 I8t0

22.4

0.5 6.0

6.5

40.7 120.0

1984

243.6

10.0 111,0

121.0

62.1 16.0

78.1

11.0 24-0

35.0

1.5 8.Q

9.5

84.6 159tO

1985

273.7

22.0 102.0

124.0

90.3 15.0

105.3

9.9 22.0

31.9

5.5 7.0

12.5

127.7 146.0

1986

191.0

13.6 89.0

102.6

40.2 13.0

53.2

6.4 19.0

25.4

3.8 6.0

9.8

64.0 127.0

CAGR 1982-1986

11.7%

9.3%

16.3%

11.4%

18.3%

Total Worldwide 122.6 160.7 243.6 273.7 191.0 11.7%

N/A = Not Applicable

Source: Dataquest August 1987 Ref: PROJSHR

SEMS Markets and Technology © 1987 Dataquest Incorporated August

Page 33: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 4

World Stepper Market

United States ASET ASM Lithography Canon Eaton GCA Hitachi Perkin-Elmer Nikon Ultratech

STEPPER COMPMfY BE6I0NAL

SHARES

( M i l l i o n s o f D o l l a r s )

1982

1 3 9 . 4

1 5 . 4 0 0

5 .4 2 3 . 2

0 1 4 . 2

0 1 2 . 3

1983

2 9 6 . 6

1 7 . 1 0 0

9 . 2 5 9 . 1

0 1 3 . 5

1.3 2 1 . 6

1994

5 5 1 . 6

1 0 . 7 0 . 7

0 1 1 . 9 9 1 . 2

0 1 4 . 2 3 3 . 1 5 3 . 1

1985

4 6 8 . 1

7 . 6 2 . 1 6 . 3 9 . 7

4 2 . 1 0

1 6 . 4 2 0 . 9 3 2 . 4

1986

3 1 4 . 3

1 1 . 8 6 . 1

2 1 . 6 2 . 1

4 6 . 4 0

2 3 . 1 1 3 . 0 2 7 . 4

CAGH 1982-1986

22 .5%

Total United States 70.5 121.8 214.9 137.5 151.5 21.1%

Japan ASET ASM Lithography Canon Eaton GCA Hitachi Perkin-Elmer Nikon Ultratech

0 . 7 0 0

2 . 0 2 0 . 9

8 .2 0 . 8

2 8 . 9 0 . 3

0 0

2 . 4 1 0 . 6 4 2 . 6 1 7 . 1

0 . 9 8 0 . 6

1.0

0 0

1 2 . 6 1 8 . 6 8 4 . 9 1 3 . 1

0 1 5 3 . 0

0

0 0

2 5 . 3 1 2 . 7 2 9 . 5 1 6 . 7

0 1 5 6 . 6

1.6

0 0

1 8 . 0 0

6 . 4 8 . 5

0 5 8 . 5

6 . 7

Total Japan 61.8 155.2 282.2 242.4 98.1 12.2%

Europe ASET ASM Lithography Canon Eaton GCA Hitachi

0 ,3 0 .4 .5 0

16

0 ,1 0 0 ,7 0

0. 0. 7. 1.

28.

3 15

16

0 ,7 ,3 0 ,7 0

(Continued)

1987 Dataquest Incorporated August SEMS Markets and Technology

Page 34: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 4 (Continued)

STEPPER C(»IPAN7 SHARES REGIONAL

(Millions of Dollars)

i M 2 1993 1984 1985 1986 GAGS

1982-1986

Europe (Continued) Perkin-Elmer Nikon Ultratech

2.2 0 0

4.5 0

JLLI

7.6 0

_ § ^

5.8 1.4 11-5

0 3.2 1.6

Total Europe

Rest of World ASET ASM Lithography Canon Eaton GCA Hitachi Perkin-Elmer Nikon Ultratech

6.4 12.4 32.0 57.0 40.5 58.6%.

0 . 7 0 0 0 0 0 0 0 0

0 0 0

2 . 1 5 . 1

0 0 0 0

0 0 0

1 . 5 8 . 4 1.4

0 6 . 9 4 , 3

0 0 0 0

1 8 . 3 0 . 7

0 7 . 0 5 .2

0 0

5 . 4 0

1 . 9 0

3 . 9 9 . 8 3 , 2

Total Rest of World 0.7 7.2 22.5 31.2 24.2 142.5%

Worldwide ASET ASM Lithography Canon Eaton GCA Hitachi Perkin-Elmer Nikon Ultratech

1 8 . 1 1 . 2

0 7 . 4

4 5 . 8 8 .2

1 7 . 2 2 8 . 9 1 2 . 6

1 7 . 1 1 . 3 2 . 4

2 3 . 3 1 1 1 . 3

1 7 . 1 1 8 . 9 8 1 . 9 2 3 . 3

1 0 . 7 2 . 8

1 2 . 6 3 2 . 0

2 0 1 . 2 1 4 . 5 2 1 . 8

1 9 3 . 0 6 3 . 0

8 . 4 2 . 8

3 8 . 8 2 3 . 9

1 1 8 . 0 1 7 . 4 2 2 . 2

1 8 5 . 9 5 0 . 7

1 1 . 8 9 . 8

6 0 . 3 2 . 1

7 1 . 4 8 . 5

2 7 . 0 8 4 . 5 3 8 . 9

Total Worldwide 139.4 296.6 551.6 468.1 314.3 22.5%

Source: Dataguest August 1987 Ref: STEPSHR

SEMS Markets and Technology ® 1987 Dataguest Incorporated August

Page 35: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 5

DIRECT-WRITE E-BEAM COMPANY SHARES REGIONAL

(Millions of Dollars)

World Direct-Write Market

United States ASM Lith. (Philips) Cambridge Hitachi JEOL Perkin-Elmer Toshiba Varian

Total United States

Japan ASM Lith. (Philips) Cambridge Hitachi JEOL Perkin-Elmer Toshiba Varian

Total Japan

Europe ASM Lith. (Philips) Cambridge Hitachi JEOL Perkin-Elmer Toshiba Varian

Total Europe

1982

16.1

0 2.5 0

2.0 0 0 0

4.5

0 0 0

7.8 0 0 0

7.8

0 3.8 0 0 0 0 0

3.8

1983

21.9

0 3.8 0

1.5 0 0 0

5.3

0 0 0

8.5 0 0 0

8.5

1.8 3.8 0 0 0 0 0

5.6

1984

30.2

0 3.9 0

5.0 0 0 0

8.9

0 0

5.0 7.0 0 0 0

12.0

1.8 3.9 0 0 0 0

Itl

6.8

1985

33.6

3.8 2.6 0

7.0 0 0 0

13.4

0 0

3.0 8.0 0 0 0

11.0

0 6.7 0

2.5 0 0 0

9.2

1986

67.5

4.0 10.0

0 10.0 7.0 o o

31.0

0 0

10.5 17.5

o 0 o

28.0

2.0 4.5 0

2.0 o o 0

8.5

CAGR 1982-1986

43.1%

62.0%

37.6%

22.3%

(Continued)

1987 Dataguest Incorporated August SEMS Markets and Technology

Page 36: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 5 (Continued)

DIBECT-HRITE E-BEAM COMPMSO: SHARES REGIONAL

(Millions of Dollars)

Rest of World ASM Lith. (Philips) Cambridge Hitachi JEOL Perkin-Elmer Toshiba Varian

1982

0 0 0 0 0 0 0

1983

0 0 0

2.5 0 0 0

1984

0 0 0

2.5 0 0 0

1985

0 0 0 0 0 0 0

1986

0 0 0 0 0 0 0

CAGR 1982-1986

Total Rest of World 2.5 2.5 N/A

Worldwide ASM Lith. (Philips) Cambridge Hitachi JEOL Perkin-Elmer Toshiba Varian

0 6.3 0

9.8 0 0 0

1.8 7.6 0

12.5 0 0 0

1.8 7.8 5.0 14.5

0 0

1.1

3.8 9.3 3.0 17.5

0 0 0

6.0 14.5 10.5 29.5 7.0 0 0

Total Worldwide 16.1 21.9 30.2 33.6 67.5 43.1%

N/A = Not Applicable

Source: Dataguest August 1987 Ref: DWEBSHR

SEMS Markets and Technology © 1987 Dataguest Incorporated August

Page 37: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 6

MASKMAKIN6 E-BEAM COMPANY SHARES REGIONAL

(Millions of Dollars)

World Maskmaking Market

United States ASM Lith. (Philips) Cambridge Hitachi JEOL Perkin-Elmer Toshiba Varian

1982

37.4

0 1.2 0 0

8.4 P

2.0

1983

45.7

0 1.3 0

2.5 16.1

0 4te

1984

61.2

0 1.3 0 0

17.5 0

1.8

1985

82.4

0 1.3 0 0

37.8 0 0

1986

57.0

0 0 0 0

27.0 0 0

CAGR 1982-1986

11.1%

Total United States

Japan ASM Lith. (Philips) Cambridge Hitachi JEOL Perkin-Elmer Toshiba Varian

11.6 24.5 20.6 39.1 27.0

0 0 0

4.2 8.4 8.0 0

0 0 0

3.8 4.6 4.0 0

0 0 0

5.5 25.0 2.5 0

0 0

6.0 6.5 21.6 2.5 0

0 0

10.5 10.5 9.0 0 0

23.5%

Total Japan 20.6 12.4 33.0 36.6 30.0 9.9%

Europe ASM Lith. (Philips) Cambridge Hitachi JEOL Perkin-Elmer Toshiba Varian

Total Europe

1.7 1.2 0 0 0 0

2.3

5.2

1.8 2.4 0 0

4.6 0 q

8.8

0 2.6 0 0

5.0 0 0

7.6

0 1.3 0 0

2.7 0 0

4.0

0 0 0 0 0 0 0

0

• . *

(100.0%)

(Continued)

10 © 1987 Dataquest Incorporated August SEMS Markets and Technology

Page 38: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 6 (Continued)

MASKMAKIH6 E-BEAM COMPANY SHARES REGIONAL

(Millions of Dollars)

Rest of World ASM Lith. (Philips) Cambridge Hitachi JEOL Perkin-Elmer Toshiba Varian

Total Rest

Worldwide

of World

ASM Lith. (Philips) Cambridge Hitachi JEOL Perkin-Elmer Toshiba Varian

1982

0 0 0 0 0 0 0

0

1.7 2.4 0

4.2 16.8 8.0 4.3

19?3

0 0 0 0 0 0 0

0

1.8 3.7 0

6.3 25.3 4.0 4.6

19?4

3

5 47 2 1

0 0 0 0 0 0 Q

0

0 .9 0 .5 .5 .5 .8

1995

0 0 0 0

2.7 0 0

2.7

0 2.6 6.0 6.5 64.8 2.5 0

1986

10 10 36

0 0 0 0 0 0 0

0

0 0 .5 .5 .0 0 0

CAGR 1932-1986

N/A

Total Worldwide 37.4 45.7 61.2 82.4 57.0 11.1%

N/A = Not Applicable

Source: Dataguest August 1987 Ref: MMEBSHR

SEMS Markets and Technology ® 1987 Dataguest Incorporated August 11

Page 39: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 7

Wo r ld E-Beam Market

United States ASM Lith. (Philips) Cambridge Hitachi JEOL Perkin-Elmer Toshiba Varian

Total United States

Japan ASM Lith. (Philips) Cambridge Hitachi JEOL Perkin-Elmer Toshiba Varian

Total Japan

Europe ASM Lith. (Philips) Cambridge Hitachi JEOL Perkin-Elmer Toshiba Varian

Total Europe

TOTAL E-

(Mill

1982

53.5

0 3.7

0 2.0 8.4

0

2t0

16.1

0 0 0

12.0 8.4 8.0

0

28.4

1.7 5.0

0-0 0 0

2.3

9.0

BEAM COMPANY SHARES REGIONAL

ions of Dollars)

1993

67.6

0 5.1

0 4.0 16.1

0 4.6

29.8

0 0 0

12.3 4.6 4.0

0

20.9

3.6 6.2 -

0 0

4.6 0 0

14.4

1984

91.4

0 5.2

0 5.0

17.5 0

1,8

29.5

0 0

5.0 12.5 25.0 2.5

0

45.0

1.8 6.5

0 0

5.0 0

1.1

14.4

1985

116.0

3.8 3.9

0 7.0

37.8 0 0

52.5

0 0

9.0 14.5 21.6 2.5

0

47.6

0 8.0

0 2.5 2.7

0 0

13.2

1986

124.5

4.0 10.0

0 10.0 34.0

0 0

58.0

0 0

21.0 28.0 9.0

0

0

58.0

2.0 4.5

0 2.0

0 0 0

8.5

CAGR 1982-1986

23.5%

37.8%.

19.5%

(1.4%)

(Continued)

12 © 1987 Dataquest Incorporated August SEMS Markets and Technology

Page 40: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 7 (Continued)

TOTAI. E-BEAM CCMPANY SHARES REGIONAL

(Millions of Dollars)

Rest of World ASM Lith. (Philips) Cambridge Hitachi JEOL Perkin-Elmer Toshiba Varian

Total Rest

Worldwide

of World

ASM Lith. (Philips) Cambridge Hitachi JEOL Perkin-Elmer Toshiba Varian

1982

0 0 0 0 0 0 Q

0

1.7 8.7 0

14.0 16.8 8.0 4,3

1983

0 o o

2.5 0 0 0

2.5

3.6 11.3

0 18.8 25.3 4.0 4.6

1984

0 0 0

2.5 0 0 0

2.5

1.8 11.7 5.0 20.0 47.5 2.5 2,9

1985

o o o o

2.7 o o

2.7

3.8 11.9 9.0 24.0 64.8 2.5 0

1986

o o o o o o o

o

6.0 14.5 21.0 40.0 43.0

o o

1982-1986

N/A

Total Worldwide 53.5 6 7 . 6 91.4 116.0 124.5 23.5%

N/A = Not Applicable

Source: Dataguest August 1987 Ref: TEBSHR

SEMS Markets and Technology ® 1987 Dataguest Incorporated August 13

Page 41: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 8

X-RAY ALIGNER COMPANY SHARES REGIONAL

(Millions of Dollars)

World X-Ray Market

United States Hampshire Instruments Micronix Perkin-Elmer Karl Suss

Total United States

Japan Hampshire Instruments Micronix Perkin-Elmer Karl Suss

Total Japan

Europe Hampshire Instruments Micronix Perkin-Elmer Kark Suss

Total Europe

Rest of World Hampshire Instruments Micronix Perkin-Elmer Karl Suss

Total Rest of World

Worldwide Hampshire Instruments Micronix Perkin-Elmer Karl Suss

Total Worldwide

1982

0

0 0 0 0

0

0 0 0 0

0

0 0 0 0

0

0 0 0 0

0

0 0 0 0

0

1983

0

0 0 0 0

0

0 0 0 0

0

0 0 0 0

0

0 0 0 0

0

0 0 0 0

0

1984

1.8

0 0 0 0

0

0 0.8 0 0

0.8

0 0 0

1.0

1.0

0 0 0 0

0

0 0.8 0

1.0

1.8

1985

1.8

0 0 0 0

0

0 0.8 0 0

0.8

0 0 0

1.0

1.0

0 0 0 0

0

0 0.8 0

1.0

1.8

1986

0.8

0 0 0 0

0

0 0.8 0 0

0.8

0 0 0 0

0

0 0 0 0

0

0 0.8 0 0

0.8

CAGR 1982-1986

N/A

N/A

N/A

N/A

N/A

N/A

N/A " Not Applicable

Source: Dataquest August 1987 Ref: XRAYSHR

14 1987 Dataquest Incorporated August SEMS Markets and Technology

Page 42: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 9

Anrcftaaic PHOTORESIST PROCESSIHG sgniE fEiiiT (TSACK) CCXSPMSTI SHAKES

REGIONAL (Millions of Dollars)

World Track Market

United States Canon Convac Dainippon Screen Eaton GCA Machine Technology Reid-Ashman (Solitec) Semiconductor Systems Silicon Valley Group Tazmo Tokyo Electron Ltd. Veeco

1982

76.5

0 0 0

9.0 9.0 7.0 1.4 0.4 8.1 0 0

4.8

1983

100.4

0 0.1 0.1 6.0 10.8 7.1 2.6 3.0 13.9

0 0

2.4

1984

185.1

0 0.3 0.2 7.2 14.0 18.4 4.4 13.0 23.6

0 0

3.8

1985

165.7

0 1.0 1.7 6.0 6.0 15.3 4.9 7.9 21.3

0 0.2 4.2

1986

143.5

0 1.5 0.2 5.4 6.5 11.3 6.3 7.9 15.5 0.7 0.9 2.9

CAGR 1982-1986

17.0%

Total United States 39.7 46.0 84.9 68.5 59.1 10.5%

Japan Canon Convac Dainippon Screen Eaton GCA Machine Technology Reid-Ashman (Solitec) Semiconductor Systems Silicon Valley Group Tazmo Tokyo Electron Ltd. Veeco

0 0

12.4 0

7.2 0.5 0 0 0

1.0 6.7 Q

0 0

19.3 0

4.3 0.3 0 0 0

1.3 17.2

0

0 0

38.4 0

2.6 0.1 0 0 0

1.1 26.2

0

0 0

38.7 0

1.0 0.1 0 0 0

0.6 22.7

0

0.2 0

27.4 0

0.8 0.1 0 0 0

0.6 21.4

0

Total Japan 27.8 42.4 68.4 63.1 50.5 16.1%

(Continued)

SEMS Markets and Technology ® 1987 Dataquest Incorporated August 15

Page 43: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 9 (Continued)

AUTOMATIC PHOTORESIST PROCESSING EQUIPMENT (TRACK) COMPAN7 SHARES REGIONAL

(Millions of Dollars)

Europe Canon Convac Dainippon Screen Eaton OCA Machine Technology Reid-Ashman (Solitec) Semiconductor Systems Silicon Valley Group Xazmo Tokyo Electron Ltd. Veeco

Total Europe

Rest of World Canon Convac Dainippon Screen Eaton GCA Machine Technology Reid-Ashman (Solitec) Semiconductor Systems Silicon Valley Group Xazmo Tokyo Electron Ltd. Veeco

1982

0 1.0 0

3.0 1.8 0.2 0.1 0

1.5 • 0 0 0

7.6

0 0 0 0 0 0 0 0

1.4 0 0 0

1983

0 1.4 0

4.0 2.9 0.1 0.1 0

2.2 0 0 0

10.7

0 0

0.3

o o o o 0

1.0

o o 0

1984

o 2.7

o 6.1 8.9 1.7 O.l o

4.0

o o o

23.5

o o

1.5 1.2 o

o.l o o

5.5

o o o

1985

o 2.1

o 5.0 5.0 2.3

o.l 0.9 5.2

o l.O

o

21.6

o o

3.8 l.O 3.0 o.l o o

4.0

o 0.6

o

1986

0.3 3.8 1.2 6.1 4.0 1.3 0.2 0.9 7.0

o 1.5

o

26.3

o o

i.o 0.5 l.O 0.2

o o

3.1

o 1.8

o

CAGR 1982-1986

36.4<

Total Rest of World 1.4 1.3 8.3 12.5 7.6 52.6%

(Continued)

16 1987 Dataguest Incorporated August SEMS Markets and Technology

Page 44: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 9 (Contiinued)

AUTOMATIC PHOTORESIST PROCESSING EQUIPMENT (TRACK) COMPANY SHAKES REGIONAL

(Millions of Dollars)

Worldwide Canon Convac Daiuippon Screen Eaton GCA Machine Technology Reid-Ashman (Solitec) Semiconductor Systems Silicon Valley Group Tazmo Tokyo Electron Ltd. Veeco

1982

0 1.0 12.4 12.0 18.0 7.7 1.5 0.4 11.0 l.O 6.7 4.8

1983

o 1.5 19.7 10.0 18.0 7.5 2.7 3.0 17.1 1.3 17.2 2.4

1984

o 3.0 40.1 14.5 25.5 20.3 4.5 13.0 33.1 1.1 26.2 3.8

1985

o 3.1 44.2 12.0 15.0 17.8 5.0 8.8 30.5 0.6 24.5 4.2

1986

0.5 5.3 29.8 12.0 12.3 12.9 6.5 8.8 25.6 1.3 25.6 2.9

CAGR 1982-1986

Total Worldwide 76.5 100.4 185.1 165.7 143.5 n.0%

Source; Dataquest August 1987 Re£: TRACKSHR

SEMS Markets and Technology ® 1987 Dataquest Incorporated August 17

Page 45: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 10

TOTAL ETCH AND CLEAN COMPANY SHAKES WORLDWIDE

(Millions of Dollars)

World Etch & Clean Market

Wet Process

Dry Strip Alean Tech Branson/IPC Drytek E.T. Electrotech Emergent Technologies Gasonics Hohkoh Sangyo LFE Machine Technology Matrix Plasma Systems Plasma-Therm Psi Star Tegal Tokyo Ohka Yawata

1982

186.9

68.1

0 7.0 0

1.0 0 0 0

2.0 0 0

2.0 0 0

4.5 3.0 0

19?3

320.4

95.1

0 11.0 2.0 2.0 0 0 0

4.0 0 0

3.4 0 0

6.0 5.5 0

1984

499.0

131.8

0 17.0 3.0 1.0 0 0

0.4 3.0 0 0

4.2 0 0

8.0 8.0 0

19?5

446.3

104.7

0 14.3 3.0 1.0 0 0

1.1 2.0 0.3 0

3.2 0 0

3.0 8.9 0

1986

357.7

82.7

0.9 9.6 2.0 0 0

0.7 1.0 1.0 2.0 1.5 3.3 0 0

2.0 8.1 0

CAGR 1982-1986

17.6%

5.0%

Total Dry Strip 19.5 33.9 44.6 36.8 32.1 13.3%

Dry Etch Anelva Applied Materials Balzers BIPC Branson/IPC Canon Drytek Ergo Plasma Systems E.T. Electrotech GCA

13.3 31.7

0 1.8 0 0

7.0 0 0 0

19.0 46.8

0 1.9 13.0

0 15.0

0 9.4 0

38.9 69.0

0 2.8 6.0 0

24.0 0

10.3 0

39.5 69.6

0 1.9 3.0 0

23.0 0

8.9 1.2

16.2 73.4

0 1.2 3.0 0

16.0 0

6.8 2.8

(Continued)

18 1987 Dataguest Incorporated August SEMS Markets and Technology

Page 46: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 10 (Continued)

TOTAL ETCH AND CLEAN COMPANT SHAKES WORLDWIDE

(Millions of Dollars)

Dry Etch (Continued)

Hitachi Kokusai Lam Research Materials Research Perkin-Elmer Plasma Systems Plasma-Therm Ramco Sumitomo Metals Tegal TEL/Lam Tokuda Tokyo Ohka Ulvac Varian/Zylin

Total Dry Etch

Ion Milling

Total Etch & Clean

1982

0.6 2.0 1.0 0

1.0 0.6 9.0 0 0

13.0 1.0 3.5 6.4 1.3 0

93.2

6.1

186.9

1983

1.5 7.3 8.0 0

6.6 1.3 13.0 2.1 0

17.0 4.5 6.3 7.4 2.0 3.0

185.1

6.3

320.4

1984

4.9 11.5 28.0

0 15.6 1.5 12.0 3.0 0

38.0 11.0 8.7 8.9 5.2 15.0

314.3

8.3

499.0

1985

3.6 10.4 34.0

0 19.5 1.5 13.0 3.0 0

25.0 11.0 9.6 7.6 5.0 8.0

298.3

6.5

446.3

1986

10.8 3.3 26.0

0 9.2 1.7 12.5 2.0 2.4 25.0 5.4 4.3 7.2 2.9 4,0

236.1

6.8

357.7

CAGR 1982-1986

26.2%

2.8%

17.6%

Source: Dataguest August 1987 Ref: : TETCHSHR

SEMS Markets and Technology ® 1987 Dataguest Incorporated August 19

Page 47: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 11

DRY STRIP COMPANY SHARES UNITED STATES AND JAPAN (Millions of Dollars)

World Dry Strip Market

United States Alcan Tech Branson/IPC DryteIi E.T. Electrotech Emergent Technologies Gasonics HohItoh Sangyo LFE Machine Technology Matrix Plasma Systems Plasma-Therm Psi Star Tegal Tokyo Ohka Yawata

Total United States

Japan Alcan Tech Branson/lPC Drytek E.T. Electrotech Emergent Technologies Gasonics Hohkoh Sangyo LFE Machine Technology Matrix Plasma Systems Plasma-Therm Psi Star Tegal Tokyo Ohka Yawata

Total Japan

1982

19.5

0 3.0

0 0 0 0 0

2.0 0 0 0 0 0

4.0 0 0

9.0

0 2.0

0 0 0 0 0 0 0 0

2.0 0 0 0

3.0 0

7.0

1983

33.9

0 6.0 2.0 0 0 0 0

4.0 0 0 0 0 0

5.0 0 0

17.0

0 2.0 0 0 0 0 0 0 0 0

3.4 0 0 0

5.5 0

10.9

1984

44.6

0 10.0 3.0 0 0 0 0

3.0 0 0 0 0 0

6.0 0 0

22.0

0 3.0 0 0 0 0

0.4 0 0 0

4.2 0 0 0

8.0 0

15.6

1985

36.6

0 7.5 2.0 0 0 0 0

2.0 0.3 0 0 0 0

2.0 0 0

13.8

0 1.8 0 0 0 0

1.1 0 0 0

3.2 0 0 0

8.9 0

15.0

1986

32.1

0 5.0 1.0 0 0

0.7 0

1.0 2.0 1.5 0 0 0

2.0 0 0

13.2

0.9 0.6 0 0 0 0

1.0 0 0 0

3.3 0 0 0

8.1 0

13.9

CAGR 1982-1986

13.3%

10.0%

18.7%

Source: Dataquest August 1987 Ref: OSTRPSHR

20 © 1987 Dataquest I nco rpo r a t ed August SEMS Markets and Technology

Page 48: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

T a b l e 12

DRY STRIP CGMPAinr SHARES EDROPE AND ROW

( M i l l i o n s oC D o l l a r s )

World Dry Strip Market

Europe Alcan Tech Branson/IPC Drytek E.T. Electrotech Emergent Technologies Gasonics Hohkoh Sangyo LFE Machine Technology Matrix Plasma Systems Plasma-Tlierra Psi Star Tegal Tokyo Ohka Yawata

Total Europe

Rest of World Alcan Tech Branson/IPC Drytek E.T. Electrotech Emergent Technologies Gasonics Hohkoh Sangyo LFE Machine Technology Matrix Plasma Systems Plasma-Therm Psi Star Tegal Tokyo Ohka Yawata

1982

19

0

1

0

2

1

.5

0 .5 0 .0 0 0 0 0 0 0 0 0 0 .5 0 0

.0

0 .5 0 0 0 0 0 0 0 0 0 0 0 0 0 0

1983

33

1

2

1

4

2

.9

0 .0 0 .0 0 0 0 0 0 0 0 0 0 .0 0

_0

.0

0 .0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

1984

44

2

1

2

5

2

.6

0 .0 0 .0 0 0 0 0 0 0 0 0 0 .0 0 0

.0

0 .0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

1985

36

3

1

1

5

2 1

.8

0 .0 0 .0 0 0 0 0 0 0 0 0 0 .0 0 0

.0

0 .0 .0 0 0 0 0 0 0 0 0 0 0 0 0 0

1986

32

3

3

1 1

.1

0 .0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

.0

0 .0 .0 0 0 0 0 0 0 0 0 0 0 0 0 0

CAGR 1982-1986

13.3%

10.7*

Total Rest of World 1.5 2.0 2.0 3.0 2.0 7.5%

Source: Dataquest August 1987 Ref: DSTRPSHR

SEMS Markets and Technology 1987 Dataguest Incorporated August 21

Page 49: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 13

World Dry Strip Market

Worldwide Alean Tech Branson/IPC Drytek E.T. Electrotech Emergent Techno logies Gasonics Hohkoh Sangyo LEE Machine Technology Matrix Plasma Systems Plasma-Therm Psi Star Tegal Tokyo Ohka Yawata

DRY STRIP COMPANY WORLDWIDE

SHARES

(Millions of Dollars)

1982

19.5

0 7.0 0

1.0 P 0 0

2.0 0 0

2.0 0 0

4.5 3.0 0

1983

33.9

0 11.0 2.0 2.0 0 0 0

4.0 0 0

3.4 0 0

6.0 5.5 0

1984

44.6

0 17.0 3.0 1.0 0 0

0.4 3.0 0 0

4.2 0 0

8.0 8.0 0

1985

36.8

0 14.3 3.0 1.0 0 0

1.1 2.0 0.3 0

3.2 0 0

3.0 8.9 0

1986

32.1

0.9 9.6 2.0 0 0

0.7 1.0 1.0 2.0 1.5 3.3 0 0

2.0 8.1 0

CAGR 1982-1986

13.3%

Total Worldwide 19.5 33.9 44.6 36.8 32.1 13.3%

Source: Dataguest August 1987 Ref: DSTRPSHR

22 1987 Dataguest I nco rpo r a t ed August SEMS Markets and Technology

Page 50: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 14

World Dry Etch Market

United States Anelva Applied Materials BIPC Branson/IPC Drytek Ergo Plasma Systems E.T. Electrotech GCA Hitachi Kokusai Lam Research Materials Research Perkin-Elmer Plasma Systems Plasma-Therm Ramco Sumitomo Metals Tegal TEL/Lam Tokuda Tokyo Ohka Ulvac Varian/Zylin

DSI ETCH CtXSPMTI SHARES UNITED STATES

(Millions of Dollars)

1992

93.2

0 19.0

0 0

7.0 0 0 - 0 0 0

1.0 0

1.0 o

6.0 o o

12.0 o

l.O o o 0

1993

195.1

2.0 28.0

o 10.0 13.0

o l.O o o

1.0 7.0 o

6.0 o

11.0 o o

15.0 o

2.0 o o

3,0

1994

314.3

3.0 45.0

o 4.0 22.0

o 4.0 o o

1.0 23.0

o 12.0

o 10.0

o o

24.0 o

3.0 o o

14.0

1995

299.3

4.0 44.0

o 2.0 20.0

o 3.0 0.4 o

1.0 25.0

o 11.0

o 9.0 o 0

16.0 o

3.0 o o

9,0

1986

236.1

o 35.0

o o

10.0 o

1.0 1.2 o o

16.0 o

6.0 o

9.5 o o

16.0 o o o o

4,0

CAGR 1982-1986

26.201s.

Total United States 47.0 99.0 165.0 145.4 97.7 20.1%

Source; Dataguest August 1987 Ref; DETCHSHR

SEMS Markets and Technology ® 1987 Dataguest Incorporated August 23

Page 51: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 15

World Dry Etch Market

Japan Anelva Applied Materials BIPC Branson/IPC Drytek Ergo Plasma Systems E.T. Electrotech GCA Hitachi Kokusai Lam Research Materials Research Perkin-Elmer Plasma Systems Plasma-Therm Ramco Sumitomo Metals Tegal TEL/Lam Tokuda Tokyo Ohka Ulvac Varian/Zylin

Total Japan

DRY ETCH COMPANY JAPAN

SHARES

(Millions of Dollars)

1982

93.2

13.3 12.7 1.8 0 0 0 0 0

0.6 2.0 0 0 0

0.6 . 0 0 0 0

1.0 2.5 6.4 1.3 0

42.2

19?3

185.1

16.0 16.8 1.9 o 0 0

3.4 0

1.5 6.3 0 0

0.6 1.3 0

2.1 0 0

4.5 4.3 7.4 2.0 0

68.1

1984

314.3

34.9 19.0 2.8 o o o

2.3 o

4.9 10.5

o o

0.6 1.5 o

3.0 o 0

11.0 5.7 8.9 5.2 0

110.3

1985

298.3

34.5 17.6 1.9 o o o

0.9 0.4 3.6 8.4 o o

2.5 1.5 o

3.0 o o

11.0 6.6 7.6 5.0 o

104.5

1986

236.1

16.2 20.4 1.2 o o o

1.8 0.8 10.8 3.3 o o

1.2 1.7 3.0 2.0 2.4 o

5.4 4.3 7.2 2.9 o

84.6

CAGR 1982-1986

26.2%

19.0%

Source: Dataguest August 1987 Ref: DETCHSHR

24 1987 Dataguest Incorporated August SEMS Markets and Technology

Page 52: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 16

World Dry Etch Market

Europe Anelva Applied Materials BIPC Branson/IPC Drytek Ergo Plasma Systems E.T. Electrotech GCA Hitachi Kokusai Lam Research Materials Research Perkin-Elmer Plasma Systems PIasma-The rm Ramco Sumitomo Metals Tegal TEL/Lam Tokuda Tokyo Ohka Ulvac Varian/Zylin

Total Europe

DRY ETCH COMPANY EUROPE

SHARES

(Millions of Dollars)

1982

93.2

0 0 0 0 0 0 0 0 0 0 0 0 0 0

3.0 0 0

1.0 0 0 0 0 0

4.0

1983

185.1

0 2.0 0

3.0 1.0 0

5.0 o o o

l.O o 0 o

2.0 o o

2.0 o o q 0 0

16.0

1984

314.3

o 4.0 o

2.0 l.O o

4.0 o o o

2.0 o o o

2.0 o o

14.0 o o o o o

29.0

1985

298.3

o 5.0 o

1.0 1.0 o

5.0 0.4 o

1.0 3.0 o

3.0 o

4.0 o o

8.0 o o o o 0

31.4

1986

236.1

o 14.0

o 2.0 2.0 o

4.0 0.8 o o

5.0 o

2.0 o

1.0 o o

8.0 o o o o 0

38.8

CAGR 1982-1986

26.2%

76.5%

Source: Dataquest August 1987 Ref: DETCHSHR

SEMS Markets and Technology © 1987 Dataquest Incorporated August 25

Page 53: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 17

World Dry Etch Market

Rest of World Anelva Applied Materials BIPC Branson/IPC Drytek Ergo Plasma Systems E.T. Electrotech GCA Hitachi Kokusai Lam Research Materials Research Perkin-Elmer Plasma Systems Plasma-Therm Ramco Stimitomo Metals Tegal TEL/Lam Tokuda Tokyo Ohka Ulvac Varian/Zylin

RY ETCH REST

COMPANY SHARES OF WORLD

(Millions of Dollars)

1982

93.2

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

1983

185.1

1.0 0 0 0

1.0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

1934

314.3

1.0 1.0 0 o

l.O o o 0 o o

3.0 o

3.0 o o o o o o o 0 o

1.0

1985

298.3

i.o 3.0 o o

2.0 o o o o o

6.0 o

3.0 o

l.O o o

1.0 o o o o o

1986

236

4

1 4

5

1

.1

o .0 o .0 .0 o o o o o .0 o o o o o o .0 o o o o 0

CAGR 1982-1986

26.2%>

Total Rest of World 2.0 10.0 17.0 15.0 N/A

N/A = Not Available

Source: Dataguest August 1987 Ref: DETCHSHR

26 1987 Dataguest Incorporated August SEMS Markets and Technology

Page 54: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 18

World Dry Etch Market

Worldwide Anelva Applied Materials BIPC Branson/IPC Drytek Ergo Plasma Systems E.T. Electrotech GCA Hitachi Kokusai Lam Research Materials Research Perkin-Elmer Plasma Systems Plasma-Therm Ramco Sumitomo Metals Tegal TEL/Lam Tokuda Tokyo Ohka Ulvac Varian/Zylin

DRY ETCH COMPAIilT WORIJ)HIDE

SHARES

( M i l l i o n s o f D o l l a r s )

1982

9 3 . 2

1 3 . 3 3 1 . 7

1 . 8 0

7 . 0 0 0 0

0 . 6 2 . 0 1.0

0 1.0 0 . 6 9 . 0

0 0

1 3 . 0 1.0 3 . 5 6 . 4 1.3

Q

19?3

1 8 5 . 1

1 9 . 0 4 6 . 8

1.9 1 3 . 0 1 5 . 0

0 9 . 4

0 1.5 7 . 3 8 . 0

0 6 . 6 1.3

1 3 . 0 2 . 1

0 1 7 . 0

4 . 5 6 . 3 7 . 4 2 . 0 3 . 0

19?4

3 1 4 . 3

3 8 . 9 6 9 . 0

2 . 8 6 . 0

2 4 . 0 0

1 0 . 3 0

4 . 9 1 1 . 5 2 8 . 0

0 1 5 . 6

1 .5 1 2 . 0

3 . 0 0

3 8 . 0 1 1 . 0

8 .7 8 . 9 5 . 2

1 5 . 0

1985

2 9 8 . 3

3 9 . 5 6 9 . 6

1.9 3 . 0

2 3 . 0 0

8 . 9 1.2 3 . 6

1 0 . 4 3 4 . 0

0 1 9 . 5

1 .5 1 3 . 0

3 . 0 0

2 5 . 0 1 1 . 0

9 . 6 7 . 6 5 . 0 8 . 0

1986

2 3 6 . 1

1 6 . 2 7 3 . 4

1.2 3 . 0

1 6 . 0 0

6 . 8 2 . 8

1 0 . 8 3 . 3

2 6 . 0 0

9 . 2 1.7

1 2 . 5 2 . 0 2 . 4

2 5 . 0 5 . 4 4 . 3 7 . 2 2 . 9 4 , 0

CAGR 1982 -1986

26.2%

Total Worldwide 93.2 1 8 5 . 1 3 1 4 . 3 2 9 8 . 3 2 3 6 . 1 26.2%

Source: Dataguest August 1987 Ref: DETCHSHR

SEMS Markets and Technology ® 1987 Dataguest Incorporated August 27

Page 55: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 19

CHEMICAL VAPOR DEPOSITION COMPANY SHARES UNITED STATES

(Millions Of Dollars)

^

World CVD Market

APCVD Amaya Applied Materials Hitachi Kokusai Koyo Lindberg Pacific Western Tempress Watkins-Johnson

Total APCVD

LPCVD Anicon ASM BTU/Bruce Focus Semiconductor Genus Kokusai Koyo Lindberg Novellus Pacific Western Process Technology Reid-Ashman (ACS) Spectrum CVD TEL/Thermco Tempress Thermco Tylan Varian Others

Total LPCVD

1982

91.6

0 1.3 0 0 0

0.6 1.9 ItS

5.6

0 2.9 0 0 0 0 0 0 0 0

5.0 0 0

2.8 2.8 0.8 0 0

14.3

1983

150.0

0 2.9 0 0 0

0.6 2.4 2.8

8.7

0.6 2.2 1.1 0

4.2 0 0 0 0

1.3 7.4 0 0

3.6 3.1 1.2 0 0

24.7

1984

225.8

0 3.1 0 0 0

0.7 2.5 3.3

9.6

9.4 '2.2 1.4 0

9.5 0 0 0

0.3 3.2 8.6 0

0.4 4.5 4.9 2.0 0 0

46.4

1985

224.7

0 1.8 0 0 0

0.6 1.4 3.4

7.2

6.7 1.3 2.6 0

10.0 0 0 0

0.4 4.0 10.8

0 0

5.5 7.4 0.6 0 0

49.3

1986

185.3

0 1.2 0 0 0

0.4 1.3 5.6

8.5

3.3 0.7 3.3 1.5 5.8 0 0 0

0.5 4.1 4.1 0.5 0

2.5 8.0 0.4 1.1 0

35.8

CAGR 1982-1986

19.3%

11.0%

25.8%

(Continued)

28 1987 Dataguest Incorporated August SEMS Markets and Technology

Page 56: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 19 (Continued)

CHEMICAL VAPOR DEPOSITION C0MPAN7 SHARES UNITED STATES

(Millions of Dollars)

1982 1983 1£M IMS ISM. CAGR

1982-1986

PECVD Applied Materials ASM Enya E.T. Electrotech Japan Production Pacific Western Plasma-Therm Ulvac

1.5 8.8

0 0.8

0 4.6 1.8

Q

1.5 17.1

0 1.2

0 5.1 3.2

0

2. 18.

Total PECVD

Total U.S. CVD

17.5

37.4

28.1

61.5

32.8

88.8

22.6

79.1

18.2

62.5

1.0"<b

13.7%

Source; Dataguest August 1987 Ref; CVDSHR

SEMS Markets and Technology © 1987 Dataguest Incorporated August 29

Page 57: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 20

CHEMICAL VAPOS DEPOSITION COMPANY SHARES JAPAN

(Millions of Dollars)

World CVD Market

APCVD Amaya Applied Materials Hitachi Kokusai Koyo Lindberg Pacific Western Tempress Watkins-Johnson

Total APCVD

LPCVD Anicon ASM BTU/Bruce Focus Semiconductor Genus Kokusai Koyo Lindberg Novellus Pacific Western Process Technology Reid-Ashman (ACS) Spectrum CVD TEL/Thermco Tempress Thermco Tylan Varian Others

Total LPCVD

1992

91.6

5.4 3.0 2.0 1.4 0.4 0.4 0.3 o

12.9

o 1.8 o o o

9.6 0.8 o o o 0 o

5.0 o o o o

1.6

18.8

1983"

150.0

9.4 6.3 1.3 l.O 0.8 0.7 0.2 o

19.7

0.5 1.3 o o

2.7 14.3 1.5 o o o o o

7.0 0.4 o o 0

2.0

29.7

1994

225.8

14.7 7.8 0.6 1.5 1.5 0.7 0.4 Qf7

27.9

3.3 2.1 O.l

o 8.0 16.8 1.7 o o o o o

11.2 0.3 o o o

2,9

46.4

1985

224.7

10.9 6.3 0.3 0.7 0.6 0.5 0.2 l.O

20.5

4.9 2.5 0.3

o 10.0 14.5 1.9 o o o o o

15.0 o.l o o o

1.3

50.5

1986

185.3

6.0 5.4 0.4 0.7 0.4 0.4 0.3 0.5

14.1

2.0 3.0 0.4 o

4.8 16.2 1.8 o o o o o

7.0 o o o o

i.o

36.2

CAGR 1982-1986

19.3%

2.2%

17.8%

(Continued)

30 1987 Dataguest I n c o r p o r a t e d August SEMS Markets and Technology

Page 58: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 20 (Continued)

CHEMICAL VAPOR DEPOSITION COMPANY ^ARES JAPAN

(Millions of Dollars)

IVD Applied Materials ASM Enya E.T. Electrotech Japan Production Pacific Western Plasma-Therm Ulvac

Total PECVD

Total Japan CVD

1982

1.9 1.6 1.9 0.8 2.6 0.7 0

0,5

10.0

41.7

1983

1.6 8.4 3.8 0.4 4.1 3.8 o

1,3

23.4

72.8

1984

2.7 8.7 5.1 1.2 5.5 5.7 o

2.7

31.6

105.9

1985

l.O 8.8 4.2 2.4 5.9 3.8 o

2,9

29.0

lOO.O

1986

2.1 9.9 1.6 0.7 4.8 2.7 1.2 3.0

26.0

76.3

Source:

CAGR 1982-1986

27.0%

16.3%

Dataguest August 1987 Ref: CVDSHR

SEMS Markets and Technology ® 1987 Dataguest Incorporated August 31

Page 59: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 21

CHEMICAL VAPOR DEPOSITION COMPANY SHARES EDROPE

(Millions of Dollars)

World CVD Market

APCVD Amaya Applied Materials Hitachi Kokusai Koyo Lindberg Pacific Western Tempress Watkins-Johnson

Total APCVD

LPCVD Anicon ASM BTU/Bruce Focus Semiconductor Genus Kokusai Koyo Lindberg Novellas Pacific Western Process Technology Reid-Ashman (ACS) Spectrum CVD TEL/Thermco Tempress Thermco Tylan Varian Others

Total LPCVD

1982

91.6

0 0.7 0 0 0

0.1 0.1 0

0.9

0 2.7 0 0 0 0 0 0 0 0

0.4 0 0

0.4 1.5 0.5 0 0

5.5

1983

150.0

0 0.5 0 0 0

0.1 0.2 0

0.8

0 1.7 0.1 0 0 0 0 0 0 0

0.5 0 0

0.3 1.8 0.1 0 0

4.5

1984

225.8

0 0.7 0 0 0

0.2 0.5 0,4

1.8

3.5 3.3 0.5 0 0 0 0 0 0

1.2 0.6 0 0

0.2 3.5 0 0 0

12.8

1985

224.7

0 0.9 0 0 0

0.3 0.4 0

1.6

3.0 3.1 0.5 0

0.5 0 0 0 0

1.6 1.4 0 0

0.6 5.2 0.2 0 0

16.1

1986

185.3

0 0.7 0 0 0

0.4 0.5 0.4

2.0

2.5 2.2 2.0 0

0.5 0 0 0 0

1.9 0.6 0 0

0.6 4.7 0.3 0 0

15.3

CAGR 1982-1986

19.3%

22.1%

29.1%

(Continued)

32 1987 Dataguest Incorporated August SEMS Markets and Technology

Page 60: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 21 (Continued)

CHEMICAL VAPOR DEPOSITIOH C(»<PANY SHARES EUROPE

(Millions of Dollars)

PECVD Applied Materials ASM Enya E.T. Electrotech Japan Production Pacific Western Plasma-Therm Ulvac

Total PECVD

Total Europe CVD

1982

0.3 2.3 0

1.1 0

0.4 0.5 0

4.6

11.0

1993

0.3 3.5 0

2.0 0

0.4 0.6 0

6.8

12.1

19?4

0.6 3.5 0

2.8 0

0.8 0.7 0

8.4

23.0

1985

1.3 3.5 0

6.5 0

0.8 1.0 0

13.1

30.8

1986

0.7 4.5 0

8.5 0

1.3 0,8 0

15.8

33.1

Source:

CAGR 1982-1986

36.1%

31.7%

Dataquest August 1987 Ref: CVDSHR

SEMS Markets and Technology @ 1987 Dataquest Incorporated August 33

Page 61: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 22

CHEMICAL VAPOR DEPOSITION C0MPAN7 SHAKES REST OF WORLD

(Millions of Dollars)

-

World CVD Market

APCVD Amaya Applied Materials Hitachi Kokusai Koyo Lindberg Pacific Western Tempress Watkins-Johnson

Total APCVD

LPCVD Anicon ASM BTU/Bruce Focus Semiconductor Genus Kokusai Koyo Lindberg Novellas Pacific Western Process Technology Reid-Ashman (ACS) Spectriun CVD TEL/Thermco Tempress Thermco Tylan Varian Others

Total LPCVD

1982

91.6

0 0 0 0 0 0

0.2 0

0.2

0 0.2 0 0 0 0 0 0 0 0

0.1 0 0

0.4 0.1 0 0 0

0.8

1983

150.0

0 0.2 0 0 0 0

0.2 0

0.4

o 0.4 o o o o o o o o

0.2 o o

0.6 0.3 O.l o o

1.6

1994

225.8

o 0.3 o o o o

0.2 0r4

0.9

0.6 0.7 0.3 o o o o o o o

0.2 o

1.2 0.9 0.6 o o 0

4.5

1985

224.7

o 0.9 o o o o

o.l 1.5

2.5

l.O 0.9 0.3 o o o o o o

o.l 0.7 o o

1.4 1.9 1.5 o Q

7.8

1986

185.3

o 0.5 o o o o

o.l 1.6

2.2

i.o 0.4 o.l o

0.5 o o o o

0.2 0.6 o o

0.7 2.8 o o 0

6.3

CAGR 1982-1986

19.3%

82.1%

•'

67.5%

(Continued)

34 1987 Dataquest Incorporated August SEMS Markets and Technology

Page 62: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 22 (Continued)

CHEMICAL VAPOR DEPOSITION COMPANY SHARES REST OF WORLD

(Millions of Dollars)

PECVD Applied Materials ASM Enya E.T. Electrotech Japan Production Pacific Western Plasma-Therm Ulvac

Total PECVD

Total ROW CVD

1992

0.3 0.2 0 0 0 0 0 0

0.5

1.5

1983

0.6 0.4 0

0.4 0 0

0.2 9

1.6

3.6

1984

0.6 1.2 o

0.4 o o

0.5 o

2.7

8.1

1985

l.O 1.7 o

l.O o o

0.8 0

4.5

14.8

1986

0.6 1.5 o

2.0 o o

0.8 0

4.9

13.4

Source:

CAGR 1982-1986

76.9%

72.9%

Dataquest August 1987 Ref: CVDSHR

SEMS Markets and Technology © 1987 Dataquest Incorporated August 35

Page 63: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 23

CHEMICAL VAPOR DEPOSITION COMPANY SHARES WORLDWIDE

(Millions of Dollars)

World CVD Market

APCVD Amaya Applied Materials Hitachi Kokusai Koyo Lindberg Pacific Western Tempress Watkins-Johnson

Total APCVD

LPCVD Anicon ASM BTU/Bruce Focus Semiconductor Genus Kokusai Koyo Lindberg Novellus Pacific Western Process Technology Reid-Ashman (ACS) Spectrum CVD TEL/Thermco Tempress Thermco Tylan Varian Others

Total LPCVD

1982

91.6

5.4 5.0 2.0 1.4 0.4 1.1 2.5 1,8

19.6

0 7.6 0 0 0

9.6 0.8

o o 0

5.5 0

5.0 3.6 4.4 1.3 o

1.6

39.4

1983

150.0

9.4 9.9 1.3 l.O 0.8 1.4 3.0 2.8

29.6

1.1 5.6 1.2 o

6.9 14.3 1.5 o o

1.3 8.1 o

7.0 4.9 5.2 1.4 o

2.0

60.5

1984

225.8

14.7 11.9 0.6 1.5 1.5 1.6 3.6 4,8

40.2

16.8 8.3 2.3 o

17.5 16.8 1.7 o

0.3 4.4 9.4 o

12.8 5.9 9.0 2.0 o

2.9

110.1

3,985

224.7

10.9 9.9 0.3 0.7 0.6 1.4 2.1 5,9

31.8

15.6 7.8 3.7 o

20.5 14.5 1.9 o

0.4 5.7 12.9

o 15.0 7.6 14.5 2.3 o

1.3

123.7

1986

185.3

6.0 7.8 0.4 0.7 0.4 1.2 2.2 8.1

26.8

8.8 6.3 5.8 1.5 11.6 16.2 1.8 o

0.5 6.2 5.3 0.5 7.0 3.8 15.5 0.7 1.1 l.O

93.6

CAGR 1982-1986

19.3%,

8.1%

24.1%

(Continued)

36 1987 Dataquest Incorporated August SEMS Markets and Technology

Page 64: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 23 (Continued)

CHEMICAL VAPOR DEPOSITION COMPANY SHARES WORLDWIDE

(Millions of Dollars)

PECVD Applied Materials ASM Enya E.T. Electrotech Japan Production Pacific Western Plasma-Therm Ulvac

T o t a l PECVD

To t a l Worldwide CVD

1982

4.0 12.9 1.9 2.7 2.6 5.7 2.3 0r5

32.6

91.6

1983

4.0 29.4 3.8 4.0 4.1 9.3 4.0 1.3

59.9

150.0

1984

6.2 31.4 5.1 6.4 5.5 12.5 5.7 2,7

75.5

225.8

1985

5.6 22.7 4.2 13.6 5.9 8.7 5.6 2.9

69.2

224.7

1986

4.8 22.7 1.6 15.3 4.8 6.9 5.8 3.0

64.9

185.3

Source:

CAGS 1982-1986

18.8%

19.3%

Dataquest August 1987 Ref: CVDSHR

SEMS Markets and Technology ® 1987 Dataquest Incorporated August 37

Page 65: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 24

PHYSICAL VAPOR DEPOSITION COMPANY SHARES UNITED STATES

(Millions of Dollars)

World PVD Market

Sputtering Anelva Balzers CHA Industries Circuits Processing

CVC Products Edwards High Vacuum E.T. Electrotech Ion Tech Kurt J. Lesker Leybold-Heraeus Materials Research Perkin-Elraer Sputtered Films TEL/Varian Temescal Tokuda Tor r Vac Ulvac Varian Others

Total Sputtering

Evaporation Anelva Balzers CHA Industries Edwards High Vacuum Kurt J. Lesker Temescal TorrVac Ulvac Others

Total Evaporation

Total U.S. PVD

1982

130.8

0 1.4 0.3 6.0 4.0 0 0

1.7 0 0

12.3 9.9 1.0 0

1.2 0

0.2 0

12.9 0

50.9

0 3.6 4.8 0 0

3.4 0.2 0 0

12.0

62.9

1983

154.7

0 2.4 0.6 3.0 4.0 0

0.4 1.4 0.1 0

15.0 5.2 2.0 0

0.9 0

0.4 0

17.4

0

52.8

0 2.8 3.5 0

0.1 2.9 0.2 0 0

9.5

62.3

1984

236.2

1.8 5.9 0.3 3.0 6.0 0

1.7 1.2 0.9 0.9

24.2 6.7 2.0 0

1.0 0

0.4 1.5 27.5

0

85.0

0 4.5 5.7 0

0.2 2.8 0.3 0 0

13.5

98.5

1985

268.0

3.6 4.0 1.0 4.5 7.0 0

3.1 2.2 0.8 2.0

24.0 4.9 1.0 0

1.3 0

1.8 0.5

29.1

0.1

90.9

0.4 4.0 7.1 0

0.5 5.5 0.3 0.8 0.1

18.7

109.6

1986

245.1

2.6 5.6 0.7 2.0

10.0

0 1.3 2.8 0.8 3.0

16.6 4.6 1.3 0

1.3 0

1.8 3.3

25.9

1.0

84.6

2.3 1.8 5.6 0

0.3 5.7 0.2 1.4 0.2

17.5

102.1

Source:

CAGR 1982-1986

17.0%

13.5%

9.9%

12.9%

Dataquest August 1987

Ref: PVDSHR

38 1987 Dataquest Incorporated August SEMS Markets and Technology

Page 66: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 25

PH7SICAE. VAPOS DEPOSITION C(»<PAN7 SHARES JAPAN

(Millions of Dollars)

World PVD Market

Sputtering Anelva Balzecs CHA Industries Circuits Processing CVC Products Edwards High Vacuum E.T. Electrotech Ion Tech Kurt J. Lesker Leybold-Heraeus Materials Research Perkin-Elmer Sputtered Films TEL/Varian Temescal Tokuda TorrVac Ulvac Varian Others

Total Sputtering

Evaporation Anelva Balzers CHA Industries Edwards High Vacuum Kurt J. Lesker Temescal TorrVac Ulvac others

Total Evaporation

Total Japan PVD

1982

130.8

4.8 0 0 0 0 0 0 0 0 0

3.4 2.4

0 0

1.0 2.2 0

2.2 14.1

0

1983

154.7

10.5 0 0 0 0 0

0.8 0.1 0 0

2.9 6.7 , 0 0

0.5 3.4 0

7.6 14.7

0

1984

236.2

25.3 0 0 0 0 0

1.3 0.4 0 0

4.9 3.8 0 0 0

5.1 0

14.3 22.7

0

1985

268.0

27.2 0 0 0 0 0

1.7 0.3 0 0

5.4 3.8

0 0 0

5.0 0.8 16.3 21.0

0

1986

245.1

18.0 0 0

0.9 0 0

0.5 0.4 0 0

0.9 0 0 0 0

3.3 0

14.7 16.8

0

CAGR 1982-1986

17.0»

30.1 47.2 77.8 81.5 55.5 16.5%

2.8 0 0 0 0

0.8 0

12.0 0

15.6

45.7

2.1 0.3 0 0 0

0.7 0

12.3 0

15.4

62.6

1.4 0.6 0 0 0

0.8 0

16.9 0

19.7

97.5

0 0.8 0 0 0

1.4 0

16.0 0

18.2

99.7

0 0.4 0 0 0

1.8 0

19.0 0

21.2

76.7

Source:

8.0%

13.8%

Dataquest August 1987 Ref; ; PVDSHR

SEMS Markets and Technology © 1987 Dataguest Incorporated August 39

Page 67: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 26

PHYSICAL VAPOR DEPOSITION COMPANY SHARES ETTROPE

(Millions of Dollars)

World PVD Market

Sputtering Anelva Balzers CHA Industries Circuits Processing CVC Products Edwards High Vacuum E.T. Electrotech Ion Tech Kurt J. Lesker Leybold-He raeus Materials Research Perkin-Elmer Sputtered Films TEL/Varian Temescal Tokuda TorrVac Ulvac Varian others

Total Sputtering

'Evaporation Anelva Balzers CHA Industries Edwards High Vacuum Kurt J. Lesker Temescal TorrVac Ulvac Others

Total Evaporation

Total Europe PVD

1982

130.8

0 2.8 0 0

1.5 0

2.3 0 0 0

3.3 2.3 0 0

0.7 0 0

0.4 1.6 0

14.9

0 3.8 0 0 0

2.0 0 0 0

5.8

20.7

1983

154.7

0 4.0 0 0

1.5 0

2.4 0 0

1.0 3.3 1.2 0.4 0

0.7 0 0

1.3 3.6 0

19.4

0 2.8 0 0 0

2.7 0 0 0

5.5

24.9

1984

236.2

0 6.3 0 0

2.1 0

2.5 0 0

2.1 4.8 1.5 0 0

0.8 0 0

1.4 4.3 • 0

25.8

0 3.9 0 0 0

2.8 0 0 0

6.7

32.5

1985

268.0

0 6.1 0 0

2.5 0

3.9 0 0

3.0 6.4 1.0

0 0

0.8 0 0

3.3 7.9 0

34.9

0 5.6 0 0 0

3.3 0 0 0

8.9

43.8

1986

245.1

0 7.6 0 0

3.5 0

3.5 0 0

4.8 4.8 1.0 0 0 0 0 0

4.6 8.6 0

38.4

0 2.8 0 0 0

3.0 0

2.4 0

8.2

46.6

Source:

CAGR 1982-1986

17.0%

26.7«

9.0t

22.5%

Dataquest August 1987 Ref: PVDSHR

40 1987 Dataguest Incorporated August SEMS Markets and Technology

Page 68: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 27

PH7SICAL VAPOR DEPOSITION COMPANY SHARES REST OF WORLD

(Millions of Dollars)

World PVD Market

Sputtering Anelva Balzers CHA Industries circuits Processing CVC Products Edwards High Vacuum E.T. Electrotech Ion Tech Kurt J. Lesker Leybold-Heraeus Materials Research Perkin-Elmer Sputtered Films TEL/Varian Temescal Tokuda TorrVac Ulvac Varian others

Total Sputtering

Evaporation Anelva Balzers CHA Industries Edwards Higti Vacuum Kurt J. Lesker Temescal TorrVac Ulvac others

Total Evaporation

Total ROW PVD

1982

130

0

0

1

0.

0.

1

.8

.5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 .8 0

.3

0 0 0 0 0 .2 0 0 0

.2

.5

1983

154.7

1.0 0 0 0 0 0 0 0 0 0 0

0.3 0 0 0 0 0

0.4 2.7 0

4.4

0 0 0 0 0

0.5 0 0 0

0.5

4.9

1984

236

0

0

1 5

7

7,

.2

.5 0 0 0 0 0 0 0 0 0 0 .8 0 0 0 0 0 .0 .4 0

.7

0 0 0 0 0 0 0 0 0

0

.7

1985

268

0

1 1

2 9

14

14.

.0

.5 0 0 0 0 0 0 0 0 0 .9 .1 0 0 0 0 0 .4 .0 0

.9

0 0 0 0 0 0 0 0 0

0

.9

1986

245.

1

2 1 0

4 9

19

19.

Source:

.1

.2 0 0 0 0 0 0 0 0 0 .5 .3 .3 0 0 0 0 .6 .8 0

.7

0 0 0 0 0 0 0 0 0

0

.7

CAGR 1982-1986

17.0%

97.3*

N/A

90.4%

Dataquest August 1987 Ref: PVDSHR

SEMS Markets and Technology © 1987 Dataquest Incorporated August 41

Page 69: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 28

PHYSICAL VAPOR DEPOSITIOH COMPANY SHARES WORLDWIDE

(Millions of Dollars)

world PVD Market

Sputtering Anelva Balzers CHA Industries circuits Processing CVC Products Edwards High Vacuum E.T. Electrotech Ion Tech Kurt J. Lesker Leybold-Heraeus Materials Research Perkin-Elmer Sputtered Films TEL/Varian Temescal Tokuda TorrVac Ulvac Varian Others

Total Sputtering

Evaporation Anelva Balzers CHA Industries Edwards High Vacuum Kurt J. Lesker Temescal TorrVac Ulvac Others

Total Evaporation

Total Worldwide PVD

1982

130.8

5.3 4.2 0.3 6.0 5.5 0

2.3 1.7 0 0

19.0 14.6 1.0 0

2.9 2.2 0.2 2.6 29.4

0

97.2

2.8 7.4 4.8 0 0

6.4 0.2 12.0

0

33.6

130.8

1983

154.7

11.5 6.4 0.6 3.0 5.5 0

3.6 1.5 0.1 1.0 21.2 13.4 2.4 0

2.1 3.4 0.4 9.3 38.4

0

123.8

2.1 5.9 3.5 0

0.1 6.8 0.2 12.3

0

30.9

154.7

1984

236.2

27.6 12.2 0.3 3.0 8.1 0

5.5 1.6 0.9 3.0

33.9 12.8 2.0 0

1.8 5.1 0.4

18.2 59.9

0

196.3

1.4 9.0 5.7 0

0.2 6.4 0.3 16.9

0

39.9

236.2

1985

268.0

31.3 10.1 1.0 4.5 9.5 0

8.7 2.5 0.8 5.0

37.7 10.8 1.0 0

2.1 5.0 2.6 22.5 67.0 0.1

222.2

0.4 10.4 7.1 0

0.5 10.2 0.3 16.8 0.1

45.8

268.0

1986

245.1

21.8 13.2 0.7 2.9

13.5 0

5.3 3.2 0.8 7.8 24.8 6.9 1.6 0

1.3 3.3 1.8 27.2 61.1 1.0

198.2

2.3 5.0 5.6 0

0.3 10.5 0.2 22.8 0.2

46.9

245.1

Source:

CAGR 1982-1986

17.0%

19.5%

8.7%

17.0%

Dataquest August 1987 Ref: PVDSHR

42 1987 Dataguest Incorporated August SEMS Markets and Technology

Page 70: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 29

World Epitaxy Market

United States Applied Epitaxy Gemini Kokusai Shimada Sitesa Toshiba

Total

Japan Applied Epitaxy Gemini Kokusai Shimada Sitesa Toshiba

Total

Europe Applied Epitaxy Gemini Kokusai Shimada Sitesa Toshiba

Total

Materials Inc.

SILICON EPITAXY COMPANY SHARES REGIONAL

(Millions of Dollars)

1982

46.9

14.0 5.0 0 0 0 0 0

United States 19.0

Materials Inc.

Japan

Materials Inc.

Europe

8.0 2.0 0

11.1 0.8 0

2 , 0

23.9

3.0 0 0 0 0 0 0

3.0

1983

64.8

18.0 0

6.0 0 0 0 0

24.0

15.1 0

6.3 5.9 2.1 0

3P4

32.8

6.0 0 0 0 0 0 0

6.0

1984

111.8

33.7 0

10.0 0 0 0 0

43.7

25.3 0

13.9 6.3 2.5 0

2.1

50.1

8.0 0

5.0 0 0 0 0

13.0

1985

71.5

18.7 0

8.6 0 0 0 0

27.3

14.3 0

3.8 5.0 0.8 0

2.3

26.2

8.0 0

5.0 0 0 0 0

13.0

1986

49.3

12.2 0

4.0 0 0 0 0

16.2

11.1 0

1.8 2.1 0.5 0

1.0

16.5

8.0 0

3.2 0 0 0 0

11.2

CAGR 1982-1986

1.3%

(3.9%)

(8.8%)

39.0%

(Continued)

44 © 1987 Dataguest Incorporated August SEMS Markets and Techno logy

Page 71: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 29 (Continued)

SILICON EPITAZ7 C0MPAN7 SHARES REGIONAL

(Millions of Dollars)

Rest of World Applied Materials Epitaxy Inc. Gemini Kokusai Shimada Sitesa Toshiba

1982

1.0 0 0 0 0 0 0

1983

2.0 0 0 0 0 0 0

19?4

3.0 0

2.0 0 0 0 Q

1985

3.0 0

2.0 0 0 0 0

1986

3.0 0

2.4 0 0 0 0

CAGR 1982-1986

T o t a l Rest of World 1.0 2.0 5.0 5.0 5.4 52.4%

Worldwide Applied Materials Epitaxy Inc. Gemini Kokusai Shimada Sitesa Toshiba

26.0 7.0 0

11.1 0.8 0

2.0

41.1 0

12.3 5.9 2.1 0

3.4

70.0 o

30.9 6.3 2.5 o

2.1

44.0 o

19.4 5.0 0.8 0

2.3

34.3 o

11.4 2.1 0.5 o

1.0

Total Worldwide 46.9 64.8 111.8 71.5 49.3 1.3%

Source: Dataguest August 1987 Ref: EPISHR

SEMS Markets and Technology © 1987 Dataguest Incorporated August 45

Page 72: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 30

METALORGANIC CVD COMPANY SHARES UNITED STATES AND JAPAN (Millions of Dollars)

World MOCVD Market

United States Aixtcon Cambridge CVD Equipment Crystal Specialties CVT EEV Emcore Nippon FMC Nippon Sanso Samco Seiden Spire TEL/Therraco Thomas Schwonn Ulvac

Total United States

Japan Aixtron Cambridge CVD Equipment Crystal Specialties CVT EEV Emcore Nippon FMC Nippon Sanso Samco Seiden Spire TEL/Thermco Thomas Schwonn Ulvac

Total Japan

1982

2.3

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

0

0 0 0 0 0 0 0

0.6 0

1.0 0.3 0 0 0

0.2

2.1

1983

7.5

0 1.0 0

0.2 0 0 0 0 0 0 0 0 0 0 g

1.2

0 0 0 0 0 0 0

0.6 1.1 0.9 0.9 0

1.1 0

0.2

4.8

1984

16.2

0 1.9 0.2 1.2 0.5 0 0 0 0 0 0

0.5 0

0.5 0

4.8

0 •

0 0 0 0 0 0

0.8 2.3 1.1 1.3 0

2.3 0

1.5

9.3

1985

24.9

0 1.9 0.8 1.8 0 0

0.1 0 0 0 0

4.2 0

0.6 0

9.4

0 0.3 0 0 0 0 0

1.1 3.6 0.7 0.9 0

2.3 0

1.9

10.8

1986

30.1

0 1.1 1.5 2.5 0

0.2 2.3 0 0 0 0

2.9 0 0 g

10.5

0 0.4 0

0.5 0 0 0

1.5 4.6 1.0 1.3 0

3.0 0

2.7

15.0

CAGR 1982-1986

90.2%

N/A

63.5%

N/A = Not Applicable

Source: Dataquest August 1987 Ref: MOCVOSRR

46 1987 Dataguest Incorporated August SEMS Markets and Technology

Page 73: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

T a b l e 3 1

METALORGANIC CVD CC»4PAN7 SHARES EUROPE AHD ROW

( M i l l i o n s o f D o l l a r s )

world MOCVD Market

Europe Aixtron Cambridge CVD Equipment Crystal Specialties CVT EEV Emcore Nippon FMC Nippon Sanso Samco Seiden Spire TEL/Tliermco Thomas Schwonn Ulvac

Total Europe

Rest of World Aixtron Cambridge CVD Equipment Crystal Specialties CVT EEV Emcore Nippon FMC Nippon Sanso Samco Seiden Spire TEL/Thermco Thomas Schwonn Ulvac

1982

2.3

0 0.2 0 0 0 0 0 0 0 0 0 0 0 0 0

0.2

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

1983

7.5

0 0.8 0 0

0.4 0 0 0 0 0 0 0 0

0.2 g

1.4

0 0 0

0.1 0 0 0 0 0 0 0 0 0 0 g

1984

16

1

0

2

.2

0 .6 0 0 0 0 0 0 0 0 0 0 0 .5 0

.1

0 0 0 0 0 0 0 0 0 0 0 0 0 0 _0

1985

24

0 1

0 1

0

4

.9

.5

.9 0 0 .7 .0 0 0 0 0 0 0 0 .6 0

.7

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

1986

30

0 1

0 1

0

0

4

.1

.6

.4 0 0 .4 .2 0 0 0 0 0 .4 0 .6 0

.6

0 0 0 0 0 0 0 0 0 0 0 0 0 0 _0

CAGR 1982-1986

90.2%

119.0%

Total Rest of World 0 . 1 N/A

N/A = Not Applicable

Sou rce: l lataquest August 1987 Ref: HOCVDSHR

SEMS Markets and Technology © 1987 Dataquest Incorporated August 47

Page 74: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

(Page intentionally left blank)

48 © 1987 Dataguest Incorporated August SEMS Markets and Technology

Page 75: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 32

.s

World MOCVD Market

Worldwide Aixtron Cambridge CVD Eguipment Crystal Specialties CVT EEV Emcore Nippon FMC Nippon Sanso Samco Seiden Spire TEL/Thermco Thomas Schwonn Ulvac

MBTAL0R6ANIC CVD COMPANY SHARES WORLDWIDE

(Millions

19^2

2.3

0 0.2 0 0 0 0 0

0.6 0

1.0 0.3 0 0 0

0.2

of Dollars)

1363

7.5

0 1.8 0

0.3 0.4 0 0

0.6 1.1 0.9 0.9 o

1.1 0.2 0.2

1994

16.2

o 3.5 0.2 1.2 0.5 o o

O.B 2.3 1.1 1.3 0.5 2.3 i.o 1.5

1985

24.9

0.5 4.1 o.a 1.8 0.7 i.o o.i 1.1 3.6 0.7 0.9 4.2 2.3 1.2 1.9

1986

30.1

0.6 2.9 1.5 3.0 0.4 1.4 2.3 1.5 4.6 1.0 1.3 3.3 3.0 0.6 2.7

CAGR 1982-1986

90.2%

Total Worldwide 2.3 7.5 16.2 24.9 30.1 90.2%

Source; Dataguest August 1987 Ref: MOCVDSHR

SKMS Markets and Technology 1987 Dataguest Incorporated August 49

Page 76: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 33

MOLECULAR BEAM EPITAXY COMPANY SHARES SEGIONAL

(Millions of Dollars)

World MBE Market

United States Anelva CVT Eiko ISA Riber Nippon Sanso Perkin-Elmer Seiko Ulvac Varian V6 Instruments

Total United States

Japan Anelva CVT Eiko ISA Riber Nippon Sanso Perkin-Elmer Seiko Ulvac Varian VG Instruments

Total Japan

Europe Anelva CVT Eiko ISA Riber Nippon Sanso Perkin-Elmer Seiko

19?2

22.1

0 0 0

4.9 0 0 0 0

4.0 0

8.9

0.8 0 0

3.6 0 0 0

0.7 0.8 0

5.9

D 0 0

4.9 0 0 0

1983

36.5

0 0 0

6.3 0 0 0 0

4.1 5t2

15.6

1.3 0 0

7.0 0 0 0

1.3 0.8 0.9

11.3

0 0 0

6.3 0 0 0

1984

47.2

0 0 0

8.4 0

2.7 0 0

4.2 7,5

22.8

2.5 0 0

7.0 0 0 0

1.7 0.8 Or?

12.9

0 0 0

7.0 0 0 0

1985

60.4

0 0 0

6.3 0

6.0 0 0

5.8 8,2

26.3

6.3 0 0

1.4 0 0

0.8 3.4 0.8 4,0

16.7

0 0 0

7.0 0 0 0

1986

74.0

0 0 0

6.3 0

7.2 0 0

7.9 8,4

29.8

7.7 0 0

1.4 0 0

2.2 4.8 0.8 5,0

21.9

0 0 0

5.6 0 0 0

CAGR 1982-1986

35.3%

35.3%

38.8%

'

(Continued)

50 © 1987 Dataguest Incorporated August SEMS Markets and Technology

Page 77: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 33 (Continued)

MOLECULAR BEAM EPITAZ? C(»<PAN7 SHARES REGIONAL

(Millions of Dollars)

Europe (Continued) Ulvac Varian VG Instruments

1982

0 2.4 0

1983

0 2.4 0.2

1984

0 2.4 0.7

1985

0 2.4 5.4

1986

0 6.4 8.4

CAGR 1982-1986

Total Europe

Rest of World Anelva CVT Eiko ISA Riber Nippon Sanso Perkin-Elmer Seiko Ulvac Varian VG Instruments

7 . 3

0 0 0 0 0 0 0 0 0 0

8 . 9

0 0 0

. 7 0 0 0 0 0 £1

1 0 . 1

0 0 0

.4 0 0 0 0 0

Ji

1 4 . 8

0 0 0

,4 0 0 0 0 0

L2

2 0 . 4

0 0 0 0 0 0 0 0 0

1 ^

29.3%

T o t a l R e s t o f World 0.7 1.4 2.6 1.9 N/A

Anelva CVT Eiko ISA Riber Nippon Sanso Perkin-Elmer Seiko Ulvac Varian VG Instruments

0.8 0 0

13.4 0 0 0

0.7 7.2 0

1.3 o o

20.3 0 o o

1.3 7.3 6.3

2.5 o 0

23.8 o

2.7 0

1.7 7.4 9.1

6.3 o o

16.1 o

6.0 0.8 3.4 9.0 18.8

7.7 o o

13.3 o

7.2 2.2 4.8 15.1 23.7

Total Worldwide

N/A = Not Applicable

22.1 36.5 47.2 60.4 74.0 35.3%

Source: Dataquest August 1987 Sef: MBESHR

SEMS Markets and Technology © 1987 Dataquest Incorporated August 51

Page 78: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 34

DIFFaSION FURNACE COMPANY SHARES UNITED STATES AND JAPAN (Millions of Dollars)

World Diffusion Market

United States ASM BTU/Bruce BTU/Ulvac Denki Gasonics Helmut Seier Kokusai Koyo Lindberg Pacific Western Process Technology Reid-Ashinan (ACS) Silicon Valley Group TEL/Tiiermco Tempress Thermco Tylan Others

Total United States

1982

105.2

1.0 13.2

0 Q

2.9 0 0 0 0 0

0.4 0 0 0

17.3 4.5 0

1983

121.6

1.0 24.0

0 0

3.7 0 0 0

1.0 0

0.6 0 0 0

8.5 4.6 0

1984

199.2

0.7 29.7

0 0

8.4 0.1 0 0

1.8 0

0.5 0

1.2 0

30.0 5.2 0

1985

212.2

0.4 27.1

0 0

11.7 0.5 0 0

1.1 0 0 0 0 0

35.0 1.2 0

1986

165.6

0.2 18.4

0 0

14.0 0.2 0 0

0.6 0

0.2 0.3 0 0

22.5 1.7 0

CAGR 1982-1986

12.0%

39.3 43.4 77.6 77.0 58.1 10.3%

Japan ASM BTU/Bruce BTU/Ulvac Denki Gasonics Helmut Seier Kokusai Koyo Lindberg Pacific Western Process Technology Reid-Ashman (ACS) Silicon Valley Group TEL/Tliermco Tempress Thermco Tylan Others

Total Japan

0 0 0

0.5 0 0

19.3 7.2 0 0 0 0

20.0 0 0 0 0

47.0

0 0 0

0.5 0 0

22.7 8.4 0 0 0 0

26.0 0 0 0 0

57.6

0 0

1.7 1.1 0 0

25.3 9.7 0 0 0 0

44.8 0 0 0 0

82.6

0 0

4.6 0.6 0

0.3 16.8 9.6 0 0 0 0

60.0 0 0 0 0

91.9

0 0

2.3 0.6 0

0.3 12.0 6.0 0 0 0 0

49.0 0 0 0 0

70.2 10.6%

Source: Dataquest August 1987 Ref: DIFFSHR

52 1987 Dataguest Incorporated August SEMS Markets and Technology

Page 79: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 35

DIFFHSION FOBNACE CGtSPMSa SHARES EUROPE AND SOW

(Millions of Dollars)

World Diffusion Market

Europe ASM BTO/Bruce BTU/Ulvac Denki Gasonics Helmut Seier Kokusai Koyo Lindberg Pacific Western Process Technology Reid-Ashman (ACS) Silicon Valley Group TEL/Thermco Tempress Thermco Tylan others

Total Europe

Rest of World ASM BTU/Bruce BTU/Ulvac Denki Gasonics Helmut Seier Kokusai Koyo Lindberg Pacific Western Process Technology Reid-Ashman (ACS) Silicon valley Group TEL/Thermco Tempress Thermco Tylan Others

Total Rest of World

1982

105

5

9

1

16

0

2

2.

.2

.5 0 0 0 0 0 0 0 0 0 0 0 0 0 .2 0 .5

.2

.4 0 0 0 0 0 0 0 0 0 0 0 0 0 .3 0 0

,7

1983

121

6

4

0

11

8

1

9,

.6

.0 0 0 0 0 0 0 0 0 0 0 0 0 0 .4 0 .9

.3

.0 0 0 0 0 0 0 0 0 0 0 0 0 0 .3 0 0

,3

1964

199.2

8.5 4.2

0 0 0 0 0 0 0 0

0.1 0 0 0

15.0 0

1.7

29.5

1.4 1.6 0 0 0 0 0 0 0 0 0 0

1.2 0

5.0 0.3 0

9.5

1985

212

7 4

16 0 1

30

1 1

7, 2,

12.

.2

.5

.9 0 0 0 0 0 0 0 0 0 0 0 0 .4 .1 .6

.5

.6

.5 0 0 0 0 0 0 0 0 0 0 0 0 .0 .7 0

,8

1986

165.6

8.0 4.2

0 0 0 0 0 0 0 0 0 0 0 0

13.0 0.8 1.7

27.7

0.6 1.1 0 0 0 0 0 0 0 0

0.1 0 0 0

7.8 0 0

9.6

CAGR 1982-1986

12.0«

14.4%

37.3%

Source: Dataquest August 1987 Ref : DIFFSHR

SEMS Markets and Technology © 1987 Dataquest Incorporated August 53

Page 80: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

(Page intentionally left blank)

54 © 1987 Dataguest Incorporated August SEMS Markets and Technology

Page 81: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 36

DIFFUSION FDSNACE COMPANY SHARES WOELDHIDE

(Millions of Dollars)

World Diffusion Market

Worldwide ASM BTU/Bruce BTU/Ulvac Denki Gasonics Helmut Seier Kokusai Koyo Lindberg Pacific Western Process Technology Reid-Ashinan (ACS) Silicon Valley Group TEL/Thermco Tempress Thermco Tylan Others

1982

105.2

6.9 13.2

0 0.5 2.9 0

19.3 7.2 0 0

0.4 0

20.0 0

28.8 4.5 1.5

;983

121.6

15.0 24.0

0 0.5 3.7 0

22.7 8.4 1.0 0

0.6 o

26.0 o

14.2 4.6 0.9

1984

199.2

10.6 35.5 1.7 1.1 8.4 O.l 25.3 9.7 1.8 o

0.6 o

47.2 o

50.0 5.5 1.7

1985

212.2

9.5 33.5 4.6 0.6 11.7 0.8 16.8 9.6 1.1 o o o

60.0 o

58.4 4.0 1.6

1986

165.6

8.8 23.7 2.3 0.6 14.0 0.5 12.0 6.0 0.6 o

0.3 0.3 49.0

o 43.3 2.5 lr7

CAGS 1982-1986

12.0%

Total Worldwide 105 .2 121 .6 199 .2 212.2 165 .6 12.0%

Source: Dataquest August 1987 Sef: DIFFSHR

SEMS Markets and Technology © 1987 Dataquest Incorporated August 55

Page 82: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 37

RAPID THERMAL PROCESSING COMPKSY SHARES REGIONAL

(Millions of Dollars)

World RTP Market

United States AET AG Associates Dainippon Screen Eaton Kokusai Koyo-Lindbe rg

Nanos i l Peak Systems Process Products TEL/Thermco Varian

T o t a l United States

Japan AET AG Associates Dainippon Screen Eaton Kokusai Koyo-Lindberg Nanosil Peak Systems Process Products TEL/Thermco Varian

Total Japan

Europe AET AG Associates Dainippon Screen

Eaton Kokusai

1982

2.3

0 1.0 0 0 0 0 0 0 0 0

0.4

1.4

0 0.5 0 0 0 0 0 0 0 0

0.3

0.8

0.1 0 0 0 0

1983

3.9

0 1.0 0 0 0 0 0 0 0 0

iL.4

1.4

0 1.8 0 0 0 0 0 0 0 0

Ot4

2.2

0.3 0 0 0 0

1984

10.2

0 2.3 0

0.7 0 0 0 0 0 0

0.3

3.3

0 3.3 1.2 0 0 0 0 0 0

0.4 0t2

5.1

0.4 0.4 0

0.8 0

1985

13.8

0 4.5 0

0.7 0 0 0 0

0.5 0

0.1

5.8

0 2.4 1.9 0 0 0 0 0 0

0.4 0.2

4.9

0.4 1.2 0

0.5 0

1986

16.4

0 5.4 0

0.2 0 0 0

0.7 0.5 0

0.2

7.0

0 1.6 1.8 0.2 0.2 0.9 0

0.2 0

0.4 0.2

5.5

0.7 1.6 0

0.3 0

CAGR 1982-1986

63.4%

49.5%

61.9%

(Continued)

56 © 1987 Dataquest Incorporated August SEMS Markets and Technology

Page 83: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 37 (Cont inued)

RAPID THERMAL PROCESSING COMPAMY SHARES REGIONAL

( M i l l i o n s o f D o l l a r s )

Europe (Continued) Koyo-Lindberg Nanosil Peak Systems Process Products TEL/Thermco Varian

Total Europe

Rest of World AET AG Associates Dainippon Screen Eaton Kokusai Koyo-Lindberg Nanosil Peak Systems Process Products TEL/Thermco Varian

Total Rest of World

Worldwide AET AG Associates Dainippon Screen Eaton Kokusai Koyo-Lindberg Nanosil Peak Systems Process Products TEL/Thermco Varian

1982

0 0 0 0 0 0

0.1

0 0 0 0 0 0 0 0 0 0

g

0

0.1 1.5 0 0 0 0 0 0 0 0

0.7

1983

0 0 0 0 0 0

0.3

0 0 0 0 0 0 0 0 0 0

g

0

0.3 2.8 0 0 0 0 0 0 0 0

0.8

1984

0

1

0

0

0 6 1 1,

0. 0.

0 0 0 0 0 .1

.7

0 .1 0 0 0 0 0 0 0 0 0

.1

.4

.1

.2

.5 0 0 0 0 0 .4 .6

1985

0 0 0 0 0

0.1

2.2

0 0.7 0

0.2 0 0 0 0 0 0

g

0.9

0.4 8.8 1.9 1.4 0 0 0 0

0.5 0.4 0.4

CAGR 1986 1982-1986

0 0 0 0 0 0

2.6 125.8%

0 1.0 0 0 0 0 0

0.3 0 0 0

1.3 N/A

0.7 9.6 1.8 0.7 0.2 0.9 0

1.2 0.5 0.4 0.4

Total Worldwide

N/A = Not Applicable

2.3 3.9 10.2 13.8 16.4 63.4%

Source: Dataquest August 1987 Ref: RTPSHR

SEMS Markets and Technology © 1987 Dataguest Incorporated August 57

Page 84: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 38

ION IMPLANTATION COMPANY SHARES UNITED STATES

(Millions of Dollars)

World Implanter Market

Medium Current Balzers Eaton Hitachi Nissin TEL/Varian Olvac Varian Veeco Others

Total Medium

High Current

Current

Applied Materials Balzers Eaton Hitachi Nissin TELAarian Ulvac Varian Veeco

Total High Current

High Voltage Eaton General lonex HVE Europa National Electrostatics Varian Veeco

Total High Voltage

Total United States

1982

111.3

0 9.0 0 0 0 0

20.0 2.0 0

31.0

2.0 0

17.0 0 0 0 0

5.0 0

24.0

0 0 0

0.2 0 0

0.2

55.2

1983

173.8

0 10.0

0 0 0 0

23.0 5.0 0

38.0

0 0

28.0 0 0 0 0

6.0 0

34.0

0 0 0

1.1 0 0

1.1

73.1

1984

286.1

0 13.0

0 0 0 0

35.0 5.0 0

53.0

0 0

50.4 0 0 0 0

10.0 5.0

65.4

0 0 0

1.1 0 0

1.1

119.5

1985

305.6

0 14.8

0 0 0 0

19.1 2.0 0

35.9

0 0

35.1 0 0 0 0

4.2 3.0

42.3

0 0 0

0.9 0 0

0.9

79.1

1986

129.3

0 6.6 0 0 0 0

9.2 0 0

15.8

0 0

8.8 0 0 0 0

4.0 0

12.8

2.0 3.6 0

2.5 3.9 0

12.0

40.6

CAGR 1982-1986

3.8%

(15.5%)

(14.5%)

178.3%

(7.4%)

Source: Dataquest August 1987' Ref : IMPLSHR

58 1987 Dataquest Incorporated August SEMS Markets and Technology

Page 85: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 39

ION IMPLANTATION COMPANY SHARES JAPAN

(Millions of Dollars)

World Implanter Market

Medium Current Baizers Eaton Hitachi Nissin TEL/Varian Ulvac Varian Veeco

Total Medium Current

High Current Applied Materials Balzers Eaton Hitachi Nissin TEL/Varian Ulvac Varian Veeco

Total High Current

High Voltage Eaton General lonex HVE Europa National Electrostatics Varian Veeco

Total High Voltage

Total Japan

1982

111.3

0 0 0

2.8 4.6 8.5 5.8 0

21.7

0 0

7.7 2.3 1.4 0 0

14.3 0

25.7

0 0 0

0.4 0 0

0.4

47.8

1983

173.8

0 0 0

4.6 11.3 12.2 2.4 0

30.5

0 0

17.6 3.4 2.7 2.1 1.5 17.9

0

45.2

0 0 0

0 0 0

0

75.7

1984

286.1

0 0 0

12.6 16.9 9.7 0.5 0

39.7

0 0

33.3 3.4 2.7 10.5 2.3

25.9 0

78.1

0 0 0

0 0 0

0

117.8

1985

305.6

0 1.1 0

23.9 26.4 9.0 1.8 0

62.2

0 0

23.8 6.3 3.6

10.5 3.2

39.9 3.0

90.3

0 0 0

0.3 0 0

0.3

152.8

1986

129.3

0 1.5

0 12.3 5.2 5.2 0 0

24.2

2.2 0

3.9 5.2 2.1 5.0 2.3 0 0

20.7

0 1.2 0

1.1 0 0

2.3

47.2

CAGR 1982-1986

3.8%

2.8%

(5.3%)

N/A

(0.3%)

N/A = Not Available

Source: Dataquest August 1987 Ref: IMPLSHR

SEMS Markets and Technology 1987 Dataguest Incorporated August 59

Page 86: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 40

ION IMPLANTATION COMPANY SHARES EUSOPE

(Millions of Dollars)

World Implanter Market

Medium Current

Balzers Eaton Hitachi Nissin TEL/Varian Ulvac Varian Veeco

Total Medium Current

High Current Applied Materials

Balzers Eaton Hitachi Nissin TEL/Varian Ulvac Varian Veeco

Total High Current

High Voltage

Eaton General lonex HVE Europa

National Electrostatics

Varian

Veeco

Total High Voltage

Total Europe

1982

111.3

0 1.3

0 0 0 0

2.0 1.0

4.3

0 1.0 2.0 0 0 0 0

1.0 0

4.0

0 0 0

0 0 0

0

8.3

1983

173.8

4.0 2.0 0 0 0 0

6.0 1.0

13.0

0 0

5.0 0 0 0 0

3.0 0

8.0

0 0 0

0 0 0

0

21.0

1984

286.1

6.0 2.9 0 0 0 0

12.0 1.0

21.9

0 0

11.7 0 0 0 0

5.0 0

16.7

0 0 0

0 0 0

0

38.6

1985

305.6

0 6.0 0 0 0 0

16.6 0

22.6

0 0

16.1 0 0 0 0

4.2 1.0

21.3

0 0 0

1.2 0 0

1.2

45.1

1986

129.3

0 3.5 0 0 0 0

6.9 0

10.4

2.2 0

11.8 0 0 0 0

3.0 0

17.0

0 0 0

0.3 0 0

0.3

27.7

CAGR

1982-1986

3.8ft

24.7%

43.e«

N/A

35.2%

N/A = Not Applicable

Source: Dataquest August 1987 Ref: IHPLSHR

60 1987 Dataquest Incorporated August SEMS Markets and Technology

Page 87: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 41

ION IMPLAMTAXION COMPANY SHARES REST OF WORLD

(Millions of Dollars)

World Implanter Market

Medium Current Balzers Eaton Hitachi Nissin TEL/Varian Ulvac Vacian Veeco

Total Medium Current

High Current Applied Materials Balzers Eaton Hitachi Nissin TEL/Varian Ulvac Varian Veeco

Total High Current

High Voltage Eaton General lonex HVE Europa National Electrostatics Varian Veeco

Total High Voltage

Total Rest of World

1982

111.3

0 0 0 0 0 0 0 0

0

0 0 0 0 0 0 0 0 0

0

0 0 0

0 0 0

0

0

1983

173

1

1

1

2

3.

4.

.8

0 0 0 0 0 0 .0 0

.0

0 0 .0 0 0 0 0 .0 0

.0

0 0 0

0 0 0

0

.0

1984

286.1

0 0.5 0 0 0 0

3.0 0

3.5

0 0

2.7 0 0 0 0

4.0 0

6.7

0 0 0

0 0 0

0

10.2

1985

305.6

0 0.6 0 0 0 0

10.5 0

11.1

0 0

12.3 0 0 0 0

5.2 0

17.5

0 0 0

0 0 0

0

28.6

1986

129.3

0 1.9 0 0 0 0

4.0 0

5.9

0 0

5.9 0 0 0 0

2.0 0

7.9

0 0 0

0 0 0

0

13.8

CAGR 1982-1986

3.8%

N/A

N/A

N/A

N/A

N/A = Not Applicable

Source: Dataquest August 1987 Ref: IMPI^HR

SEMS Markets and Technology ® 1987 Dataquest Incorporated August 61

Page 88: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Shares by Category

Table 42

ION IMPLANTATION COMPANY SHARES WORLDWIDE

(Millions of Dollars)

World Implanter Market

Medium Current Balzers Eaton Hitachi Nissin TEL/Varian Ulvac Varian Veeco others

Total Medium Current

High Current Applied Materials Balzers Eaton Hitachi Nissin TEL/Varian 01 vac Varian Veeco

Total High Current

High voltage Eaton General lonex HVE Europa National Electrostatics Varian Veeco

Total High Voltage

Total Worldwide

1982

111.3

0 10.3

0 2.8 4.6 8.5 27.8 3.0 0

57.0

2.0 1.0 26.7 2.3 1.4 0 0

20.3 0

53.7

0 0 0

0.6 0 0

0.6

111.3

1983

173.8

4.0 12.0

0 4.6 11.3 12.2 32.4 6.0 0

82.5

0 0

51.6 3.4 2.7 2.1 1.5 28.9

0

90.2

0 0 0

1.1 0 0

1.1

173.8

1984

286.1

6.0 16.4

0 12.6 16.9 9.7 50.5 6.0 0

118.1

0 0

98.1 3.4 2.7 10.5 2.3

44.9 5.0

166.9

0 0 0

1.1 0 0

1.1

286.1

1985

305.6

0 22.5

0 23.9 26.4 9.0

48.0 2.0 0

131.8

0 0

87.3 6.3 3.6

10.5 3.2 53.5 7.0

171.4

0 0 0

2.4 0 0

2.4

305.6

1986

129.3

0 13.5

0 12.3 5.2 5.2

20.1 0 0

56.3

4.4 0

30.4 5.2 2.1 5.0 2.3 9.0 0

58.4

2.0 4.8 0

3.9 3.9 0

14.6

129.3

CAGR 1982-1986

3.8«

(0.3%)

2.1«

N/A

6.2«

N/A = Not Applicable

Source: Dataquest August 1987 Ref: IMPLSHR

62 © 1987 Dataquest Incorporated August SEMS Markets and Technology

Page 89: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment Data Base

The following is a list of material found in this section:

• Introduction to the Wafer Fab Equipment Data Base

• Wafer Fab Equipment—Summary Data by Category

• Wafer Fab Equipment—Impcwt/E^qxjrt Data

• Wafer Fab Equipment—Company Shares by Categoiy

• Wafer Fab Equipment—Company Rankings

• Wafer Fabrication Equipment Forecast

SEMS Markets and Technology © 1987 Dataquest Incorporated October

Page 90: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Rankings

This section of the wafer fab equipment data base presents the ranking of manufacturers of wafer fab equipment by 1986 revenue as shown in Table 1. The Subtotal Fab Equipment total on line 2 of the table is the sum of the revenue of all the companies as listed in the table. This total represents the world market for the following equipment categories: lithography, automatic photoresist processing, dry strip, dry etch, deposition, diffusion, rapid thermal processing, and ion implantation. It represents approximately 75 percent of the total wafer fab equipment market shown on line 1. The difference between the Subtotal Fab Equipment and the World Fab Equipment Market totals represents the other areas of the wafer fab equipment market for which detailed company data are not available. These areas include wet process, ion milling, process control, factory automation, and other equipment.

Table 1 includes only company sales of wafer fab equipment; it does not include company sales of assembly and test equipment. For instance, sales of back-end equipment by General Signal and Advanced Semiconductor Materials are not included. The revenue reported in Table 1 includes only equipment sales, retrofits, and upgrades; it does not include service and spare parts. Thus, the revenue given here may differ somewhat when compared with the revenue reported in the annual reports of the public companies.

More detailed information on some of the companies listed in Table 1 may be found in the Industry Econometrics notebook, which contains approximately 20 company profiles.

SEMS Markets and Technology © 1987 Dataquest Incorporated October

Page 91: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Rankings

Table 1

Semiconductor Wafer Fab Equipment Companies Ranked by Worldwide Sales

(Millions of Dollars)

World Fab Equipment Market Subtotal Fab Equipment Subtotal Percent

Perkin-Elmer Projection Aligners E-Beam Steppers Dry Etch Molecular Beam Epitaxy Sputtering X-Ray

1982

1,415.8 1,062.2

75.0%

102.0 16.8 17.2 1.0 0.0 14.6

o.o

1983

2,126.8 1,599.4

75.2%

120.0 25.3 18.9 6.6 0.0 13.4 0.0

1984

3,529.5 2,630.7

74.5%

159.0 47.5 21.8 15.6 2.7 12.8 0.0

1985

3,341.3 2,590.4

77.5%

146.0 64.8 22.2 19.5 6.0 10.8 0.0

1986

2,598.2 1,968.1

75.7%

127.0 43.0 27.0 9.2 7.2 6.9 0.0

1986 Rank

1

Total 151.6 184.2 259.4

Canon

269.3 220.3

Projection Aligners Steppers Contact/Proximity Resist Processing Equip.

Tota l

Applied Materials Dry Etch Silicon Epitaxy APCVD PECVD Ion Implantation

20.6 0.0 37.9 0.0

58.5

31.7 26.0 5.0 4.0 2.0

40.7 2.4 31.0 0.0

74.1

46.8 41.1 9.9 4.0 0.0

84.6 12.6 48.6 0.0

145.8

69.0 70.0 11.9 6.2 0.0

127.7 38.8 31.4 0.0

197.9

69.6 44.0 9.9 5.6 0.0

64.0 60.3 14.7 0.5

139.5

73.4 34.3 7.8 4.8 4.4

Total 68.7 101.8 157.1 129.1 124.7

(Continued)

© 1987 Dataquest Incorporated October SEMS Markets and Technology

Page 92: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Rankings

Table 1 (Continued)

Semiconductor Wafer Fab Equipment Companies Ranked by Worldwide Sales

(Millions of Dollars)

Varian Sputtering Ion Implantation Molecular Beam Epitaxy Dry Etch LPCVD Rapid Thermal Processing E-Beam

1982

29.4 48.1 7.2 0.0 o.o 0.7 4.3

1983

38.4 61.3 7.3 3.0 o.o 0.8 4.6

1984

59.9 95.4 7.4 15.0 o.o 0.6 2.9

1985

67.0 101.5 9.0 8.0 o.o 0.4

o.o

1986

61.1 33.0 15.1 4.0 1.1 0.4 0,0

1986 Rank

4

Total 89.7 115.4 181.2 185.9 114.7

GCA Steppers Resist Processing Equip. Dry Etch

Total

Nikon Steppers

General Signal Ultratech Steppers

Drytek Dry Etch Dry Strip

Total Drytek

45.8 18.0 OrO

63.8

28.9

12.6

7.0 ILil

7.0

111.3 18.0 OfO

129.3

81.9

23.3

15.0 2.0

17.0

201.2 25.5 0.0

226.7

193.0

63.0

24.0 3.0

27.0

118.0 15.0 1.2

134.2

185.9

50.7

23.0 3.0

26.0

71.4 12.3 2.8

86.5

84.5

38.9

16.0 2.0

18.0

Semiconductor Systems Inc. Resist Processing Equip. 0.4 3.0 13.0 8.8 8.8

(Continued)

SEMS Markets and Technology © 1987 Dataquest Incorporated October

Page 93: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Rankings

Table 1 (Continued)

Semiconductor Wafer Fab Equipment Companies Ranked by Worldwide Sales

(Millions of Dollars)

Tempress LPCVD APCVD

Total Temprei

Circuits Proc. Sputtering

ss

Apparatus

1982

3.6 2.5

6.1

6,Q

19?3

4.9 3.0

7.9

3.0

19?4

5.9 3.6

9.5

3tO

1985

7.6 2.1

9.7

4t5

1986

3.8 2.2

6.0

2,9

1986 Rank

Total General S igna l 32.1 54.2 115.5 99.7 74.6

Ulvac Sputtering Evaporation Ion Implantation Molecular Beam Epitaxy PECVD Dry Etch Metalorganic CVD

2, 12, 8, 0.7 0.5 1.3 0.2

9. 12, 13. 1. 1. 2,

18. 16, 12. 1. 2. 5,

22.5 16.8 12. 3. 2, 5,

.2

.4

.9

.0 _ 0 ^ - 1 ^ jL.a

27.2 22.8 7.5 4.8 3.0 2.9 2.7

Total 25.8 40.1 58.2 64.7 70.9

Eaton Ion Implantation Resist Processing Equip. Steppers Rapid Thermal Processing

37.0 12.0 7.4 o.o

63.6 10.0 23.3 o.o

114.5 14.5 32.0 1.5

109.8 12.0 23.9 1.4

45.9 12.0 2.1 0.7

Total 56.4 96.9 162.5 147.1 60.7

TEL/Thermco Diffusion LPCVD Metalorganic CVD Rapid Thermal Processing

Total

10 20.0 5.0 o.o o.o

25.0

26.0 7.0 1.1 QrO

34.1

47.2 12.8 2.3 0.4

62.7

60.0 15.0 2.3 p.4

77.7

49.0 7.0 3.0 0.4

59.4

(Continued)

© 1987 Dataquest Incorporated October SEMS Markets and Teclinology

Page 94: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Rankings

Table 1 (Continued)

Semiconductor Wafer Fab Equipment Companies Raidced by Worldwide Sales

(NGllions of Dollars)

Thermco Diffusion LPCVD

Total

Anelva Sputtering Dry Etch Molecular Beam Evaporation

Total

Hitachi E-Beam Steppers Dry Etch

Epitaxy

Ion Implantation APCVD

Total

EJEOL E-Beam

Advanced Semiconductor Materials Diffusion LPCVD PECVD

1982

28.8 4.4

33.2

5.3 13.3 0.8 2,8

22.2

0.0 8.2 0.6 2.3 2.0

13.1

14.0

6.9 7.6 12.9

1983

14.2 5r2

19.4

11.5 19.0 1.3 2.1

33.9

o.o 17.1 1.5 3.4 1.3

23.3

18.8

15.0 5.6 29.4

1984

50.0 9,0

59.0

27.6 38.9 2.5 1.4

70.4

5.0 14.5 4.9 3.4 0.

28.4

20.0

10.6 8.3 31.4

1985

58.4 14t5

72.9

31.3 39.5 6.3 0.4

77.5

9.0 17.4 3.6 6.3 0T3

36.6

24.0

9.5 7.8 22.7

1986

43.3 15,5

58.8

21.8 16.2 7.7 2.3

48.0

21.0 8.5 10.8 5.2 0,4

45.9

40.0

8.8 6.3 22.7

1986 Rank

11

12

13

14

15

Total 27.4 50 .0 50 .3 40 .0 37.8

(Continued)

SEMS Markets and Technology © 1987 Dataquest Incorporated October

Page 95: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Rankings

Table 1 (Continued)

Semiconductor Wafer Fab Equipment Companies Ranked by Worldwide Sales

(Millions of Dollars)

1982 1983 1984 1985 1986

Kokusai LPCVD Diffusion Dry Etch S i l i c o n Epitaxy APCVD Rapid Thermal

Total

Dainippon Screen

Processing

Resist Processing Rapid Thermal

Total

BTU/Bruce Diffusion LPCVD

Total

E.T. Electrotech PECVD Dry Etch Sputtering Dry Strip

Total

Tegal Dry Etch Dry Strip

Equip. Processing

9.6 19.3 2.0 11.1 1.4 0,0

43.4

12.4 0.0

12.4

13.2 0.0

13.2

2.7 0.0 2.3 1.0

6.0

13.0 4.5

14.3 22.7 7.3 5.9 1.0 OtO

51.2

19.7 0.0

19.7

24.0 1.2

25.2

4.0 9.4 3.6 2tO

19.0

17.0 $,0

16.8 25.3 11.5 6.3 1.5 O.Q

61.4

40.1 1.2

41.3

35.5 2.3

37.8

6.4 10.3 5.5 1.0

23.2

38.0 8.0

14.5 16.8 10.4 5.0 0.7 0.0

47.4

44.2 1.9

46.1

33.5 3.7

37.2

13.6 8.9 8.7 ItO

32.2

25.0 3.0

16.2 12.0 3.3 2.1 0.7 0,2

34.5

29.8 1.8

31.6

23.7 5.8

29.5

15.3 6.8 5.3 0.0

27.4

25.0 2.0

1986 Rank

16

17

18

19

20

Total 17.5 23.0 46.0 28.0 27.0

(Continued)

© 1987 Dataquest Incorporated October SEMS Markets and Technology

Page 96: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Rankings

Table 1 (Continued)

Semiconductor Wafer Fab Equipment Companies Ranked by Worldwide Sales

(Millions of Dollars)

1982 1983 1984 1985 1986

1986 Rank

LAM Research Dry Etch

Silicon Valley Group Resist Processing Equip. Diffusion

1.0

11.0 OtQ

8.0

17.1 0.0

28.0

33.1 0.0

34.0

30.5 Q.O

26.0

25.6 0.3

21

22

Total 11.0 17.1 33.1 30.5 25.9

Tokyo Electron Limited Resist Processing Equip. 6.7 17.2 26.2 24.5 25.6

23

Materials Research Sputtering

VG Instruments Molecular Beam Epitaxy

Plasma-Therm Dry Etch PECVD

Total

Balzers Sputtering Evaporation Ion Implantation

19.0

0.0

9.0 2.3

11.3

4.2 7.4 1.0

21.2

6.3

13.0 4,0

17.0

6.4 5.9 4.0

33.9

9.1

12.0 5,7

17.7

12.2 9.0 6.0

37.7

18.8

13.0 5,6

18.6

10.1 10.4 0.0

24.8

23.7

12.5 5.8

18.3

13.2 5.0 0.0

24

25

26

27

Total 12.6 16.3 27.2 20.5 18.2

Cambridge Instruments E-Beam Metalorganic CVD

8.7 0.2

11.3 1.8

11.7 3.5

11.9 4,1

14.5 2.9

28

Total 8.9 13.1 15.2 16.0 17.4

(Continued)

SEMS Markets and Technology © 1987 Dataquest Incorporated October

Page 97: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Rankings

Table 1 (Continued)

Semiconductor Wafer Fab Equipment Companies Ranked by Worldwide Sales

(Millions of Dollars)

Karl Suss Contact/Proximity X-Ray

Total

Machine Technology Resist Processing Equip. Dry Strip Sputtering

Total

ASM Lithography Steppers E-Beam

Total

Tokyo Ohka Dry Etch Dry Strip

Total

Gasonics Diffusion Dry Strip

1982

7.1 0.0

7.1

7.7 0.0 0.0

7.7

1.2 1.7

2.9

6.4 3.0

9.4

2.9 0.0

1983

11.3 OtO

11.3

7.5 0.0 0.0

7.5

1.3 3.6

4.9

7.4 5.5

12.9

3.7 o.o

1984

17.0 1.0

18.0

20.3 0.0 P.O

20.3

2.8 1.8

4.6

8.9 8.0

16.9

8.4 o.o

1985

17.5 IrO

18.5

17.8 0.3 o.o

18.1

2.8 3.8

6.6

7.6 8.9

16.5

11.7 0.0

1986

16.0

o.o 16.0

12.9 2.0 0.9

15.8

9.8 6.0

15.8

7.2 8.1

15.3

14.0 0.7

1986 Rank

29

30

31

32

33

Total 2.9 3.7 8.4 11.7 14.7

Nissin High Voltage Ion Implantation 4.2 7.3 15.3 27.5 14.4

34

CVC Products Sputtering 5.5 5.5 8.1 9.5 13.5

35

(Continued)

© 1987 Dataquest Incorporated October SEMS Markets and Technology

Page 98: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Rankings

Table 1 (Continued)

Semiconductor Wafer Fab Equipment Companies Ranked by Worldwide Sales

(Millions of Dollars)

ISA Riber Molecular Beam

Branson/IPC Dry Strip Dry Etch

Total

Reid-Ashman Resist Process LPCVD Diffusion

Total

Temescal Evaporation Sputtering

Epitaxy

ing Equip.

1982

13.4

7.0 0.0

7.0

1.5 5.5 0.4

7.4

6.4 2.9

1993

20.3

11.0 13,0

24.0

2.7 8.1 0.6

11.4

6.8 1 ^

19?4

23.8

17.0 06tO

23.0

4.5 9.4 Q.6

14.5

6.4 1.8

1985

16.1

14.3 Q3tO

17.3

5.0 12.9 o.o

17.9

10.2 2.1

1986

13.3

9.6 3t0

12.6

6.5 5.3 0.3

12.1

10.5 1.3

1986 Rank

36

37

38

39

Total 9.3 8.9 8.2 12.3 11.8

American Semiconductor Equipment Technologies Steppers 18.1 17.1 10.7 8.4 11.8

40

Genus LPCVD o.o 6.9 17.5 20.5 11.6

41

Gemini Silicon Epitaxy o.o 12.3 30.9 19.4 11.4

42

TEL/Varian Ion Implantation 4.6 13.4 27.4 36.9 10.2

43

(Continued)

SEMS Markets and Technology © 1987 Dataquest Incorporated October f

Page 99: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Rankings

Table 1 (Continued)

Semiconductor Wafer Fab Equipment Companies Ranked by Worldwide Sales

(Millions of Dollars)

AG Associates Rapid Thermal Processing

Pacific Western PECVD APCVD LPCVD Diffusion

Total

Koyo Lindberg Diffusion LPCVD Rapid Thermal Processing APCVD

Total

Anicon LPCVD

Watkins-Johnson APCVD

Leybold-Heraeus Sputtering Evaporation

Total

Tokuda Dry Etch Sputtering

1982

1.5

5.7 1.1 0.0 0.0

6.8

7.2 0.8 o.o Ot4

8.4

o.o

1.8

o.o o.o

o.o

3.5 2.2

1983

2.8

9.3 1.4 o.o i.o

11.7

8.4 1.5 o.o 0.8

10.7

1.1

2.8

i.o o.o

1.0

6.3 3.4

1984

6.1

12.5 1.6 0.3 1.8

16.2

9.7 1.7 o.o 1,5

12.9

16.8

4.8

3.0 o.o

3.0

8.7 5.1

1985

8.8

8.7 1.4 0.4 1,1

11.6

9.6 1.9 o.o o,$

12.1

15.6

5.9

5.0 o,o

5.0

9.6 5.0

1986

9.6

6.9 1.2 0.5 0.6

9.2

6.0 1.8 0.9 Ot4

9.1

8.8

8.1

7.8 o.o

7.8

4.3 3.3

1986 Rank

44

45

46

47

48

49

50

Tota l 5.7 9.7 13.8 14.6 7 . 6

(Continued)

10 © 1987 Dataquest Incorporated October SEMS Markets and Technology

Page 100: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Rankings

Table 1 (Continued)

Semiconductor Wafer Fab Equipment Companies Ranked by Worldwide Sales

(Millions of Dollars)

CHA Industries Evaporation Sputtering

1992

4.8 0.3

1983

3.5 0.6

1984

5.7 0.3

1985

7.1 1.0

1986

5.6 0.7

1986 Rank

51

Total 5.1 4.1 6.0 8.1 6.3

Process Technology LPCVD 0.0 1.3 4.4 5.7 6.2

52

Amaya APCVD 5.4 9.4 14.7 10.9 6.0

53

TEL/Lam Dry Etch 1.0 4.5 11.0 11.0 5.4

54

Convac Resist Processing Equip, 1.0 1.5 3.0 3.1 5.3

55

Plasma Systems 56 Dry Strip Dry Etch

Total

Japan Production Engineering PECVD

General lonex Ion Implantation

Nippon Sanso Metalorganic CVD

2.0 Ot6

2.6

2.6

0.0

0.0

3.4 1^

4.7

4.1

0.0

1.1

4.2 1.5

5.7

5.5

0.0

2.3

3.2 1,5

4.7

5.9

0.0

3.6

3.3 1,7

5.0

4.8

4.8

4.6

57

58

59

National Electrostatics Ion Implantation 0.6 1.1 1.1 2.4 3.9

60

(Continued)

SEMS Markets and Technology © 1987 Dataquest Incorporated October 11

Page 101: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Rankings

Table 1 (Continued)

Semiconductor Wafer Fab Equipment Companies Ranked by Worldwide Sales

(Millions of Dollars)

Spire Metalorganic CVD

Tylan Diffusion LPCVD

Total

Ion Tech Sputtering

Crystal Specialties Metalorganic CVD

Veeco Resist Processing Equip. Ion Implantation

Total

Enya PECVD LPCVD

Total

Sumitomo Dry Etch

BTU/Ulvac Diffusion

Emcore Metalorganic CVD

1982

0.0

4.5 1.3

5.8

1.7

0.0

4.8 3.J1

7.8

1.9 1^

3.3

0.0

0.0

0.0

1983

0.0

4.6 1.4

6.0

1.5

0.3

2.4 6.0

8.4

3.8 1 ^

5.6

0.0

0.0

0.0

19?4

0.5

5.5 2.0

7.5

1.6

1.2

3.8 11.0

14.8

5.1 2 ,9

8.0

0.0

1.7

0.0

1985

4.2

4.0 2.3

6.3

2.5

1.8

4.2 9.0

13.2

4.2 1.3

5.5

0.0

4.6

0.1

1986

3.3

2.5 0.7

3.2

3.2

3.0

2.9 OtO

2.9

1.6 1,0

2.6

2.4

. 2.3

2.3

1986 Rank

61

62

63

64

65

66

67

68

69

(Continued)

12 © 1987 Dataquest Incorporated October SEMS Markets and Technology

Page 102: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Rankings

Table 1 (Continued)

Semiconductor Wafer Fab Equipment Companies Ranked by Worldwide Sales

(Millions of Dollars)

Seiko Molecular Beam Epitaxy

Rainco Dry Etch

Torrvac Sputtering Evaporation

Total

Sputtered Films Sputtering

Wellman Furnaces Diffusion

Nippon FMC Metalorganic CVD

CVD Equipment Metalorganic CVD

Focus Semiconductor LPCVD

Matrix Dry Strip

1982

0.0

0.0

0.2 0,2

0.4

l.O

1.2

0.6

o.o

o.o

o.o

19?3

o.o

2.1

0.4 0.2

0.6

2.4

0.8

0.6

o.o

o.o

o.o

1984

o.o

3.0

0.4 0.3

0.7

2.0

1.5

0.8

0.2

o.o

O.o

1985

0.8

3.0

2.6 OT3

2.9

l.O

1.4

1.1

0.8

o.o

o.o

1986

2.2

2.0

1.8 0.2

2.0

1.6

1.5

1.5

1.5

1.5

1.5

1986 Rank

70

71

72

73

74

75

76

77

78

EEV Metalorganic CVD o.o o.o o.o l.O

79 1.4

Seiden Metalorganic CVD 0.3 0.9 1.3 0.9

so 1.3

(Continued)

SEMS Markets and Technology © 1987 Dataquest Incorporated October 13

Page 103: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Rankings

Table 1 (Continued)

Semiconductor Wafer Fab Equipment Companies Ranked by Worldwide Sales

(Millions of I oUars)

1982 1983 1984 1985 1986

1986 Rank

Tazmo Resist Processing Equip. 1.0 1.3 1.1 0.6 1.3

81

BIPC Dry Etch

Peak Systems Rapid Thermal Process;

Kurt J. Lesker Sputtering Evaporation

Total

Toshiba Silicon Epitaxy E-Beam

ing

1.8

0.0

0.0 0.0

0.0

2.0 8.0

1.9

0.0

0.1

SL^

0.2

3.4 4.0

2.8

0.0

0.9 Q.2

1.1

2.1 2.5

1.9

0.0

0.8 0.5

1.3

2.3 2.5

1.2

1.2

0.8 0,3

1.1

1.0 0.0

82

83

84

85

Total 10.0 7.4 4.6 4.8 1.0

LEE Dry Strip 2.0 4.0 3.0 2.0 1.0

86

Hohkoh Sangyo Dry Strip 0.0 0.0 0.4 1 .1 1.0

87

Samco Meta lorgau ic CVD 1.0 0.9 1 .1 0.7 1.0

88

Alean Tech Dry S t r i p 0.0 0.0 0.0 0.0 0.9

89

Micronix X-Ray 0.0 0.0 0.8 0.8 0.8

90

(Continued)

14 © 1987 Dataquest Incorporated October SEMS Markets and Technology

Page 104: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Rankings

Table 1 (Continued)

Semiconductor Wafer Fab Equipment Companies Ranked by Worldwide Sales

(Millions of Dollars)

1982 1983 1984 1985 1986

1986 Rank

AET Rapid Thermal Processing 0.1 0.3 0.4 0.4 0.7

91

Thomas Schwonn Metalorganic CVD 0.0 0.2 1.0 1.2 0.6

92

Denki Diffusion 0.5 0.5 1.1 0.6 0.6

93

Aixtron Metalorganic CVD 0.0 0.0 0.0 0.5 0.6

94

Helmut Seier Diffusion

Shimada Silicon Epitaxy

0.0

0.8

0.0

2.1

0.1

2.5

0.8

0.8

0.5

0.5

95

96

Process Products Rapid Thermal Processing 0.0 0.0 0.0 0.5 0.5

97

Spectrum CVD LPCVD 0.0 0.0 0.0 0.0 0.5

98

CVT Metalorganic CVD 0.0 0.4 0.5 0.7 0.4

99

Denton Vacuum Sputtering Evaporation

0.0 0.0

0.0 0.0

0.0 0.0

0.1

(La

100 0.1 0.2

Total 0.0 0.0 0.0 0.2 0.3

Centrotherm Diffusion 0.3 0.1 0.2 0.2

101 0.2

(Continued)

SEMS Markets and Technology © 1987 Dataquest Incorporated October 15

Page 105: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Rankings

Table 1 (Continued)

Semiconductor Wafer Fab Equipment Companies Ranked by Worldwide Sales

(Millions of Dollars)

1982 1983 1984 198? 1986

1986 Rank

Sinko Seiko LPCVD 0.2 0.2 0.0 0.0

N/A 0.0

Epitaxy Inc. Silicon Epitaxy JLsJl 0.0 0.0 0.0

N/A 0.0

Subtotal Fab Equipment 1,062.2 1,599.4 2,630.7 2,590.4 1,968.1

N/A = Not Appropriate

Source: Dataguest October 1987 Ref: COMRANK

COMPIVNY RANKINGS

While the data in Table 1 are self-explanatory, a few interesting observations can be made.

Table 1 lists 103 companies that manufacture the following equipment: lithography, automatic photoresist processing, dry strip, dry etch, deposition, diffusion, rapid thermal processing, and ion implantation (defined here as Subtotal Fab Equipment). However, as Table 2 shows, in 1986 the top 10 companies provided 52.6 percent of the world requirement for these categories of equipment. Similarly, the top 20 companies provided almost 72 percent of this equipment, with the bottom 73 companies accounting for only 17.3 percent of this market. Clearly, the market for the critical equipment used in semiconductor processing is dominated by relatively few companies, considering the total number of companies that participate in this market. Table 3 shows that for the 103 companies listed in Table 1, 48 or 46.6 percent have revenue of less than $5 million, while nearly 78 percent of the companies have revenue of less than $25 million. Although there are a few dominant companies, there are many more small companies participating in the industry.

16 © 1987 Dataquest Incorporated October SEMS Markets and Technology

Page 106: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Rankings

Table 2

Worldwide Revenue of Ranked Companies in Key Equipment Areas (Millions of Dollars)

Companies by Rank

1-10 11-20 21-30 31-103

1986 Revenue (M$)

$1,035.8 380.5 211.7 340.1

$1,968.1

Percent of Subtotal Fab

Source:

Equipment

52.6% 19.3 10.8 17.3

100.OV

Dataguest October 1987

Table 3

Revenue Breakdown of Wafer Fab Equipment Companies (Millions of Dollars)

0 to $5 $5 to $10 $10 to $25 $25 to $50 $50 to $100 $100 to $200 $200 +

Number of Companies

48 12 20 12 7 3 1

Percent of Companies

46.6% 11.7 19.4 11.7 6.8 2.9 1.0

Cumulative Percent

46.6% 58.3% 77.7% 89.4% 96.2% 99.1% 100.0%

103 100.0%

Note: Columns may not add to totals shown because of rounding.

Source: Dataguest October 1987

SEMS Markets and Technology © 1987 Dataquest Incorporated October 17

Page 107: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Rankings

Table 4 shows the top 10 wafer fab equipment companies ranked by 1985 and 1986 revenue. In 1986, the top 10 companies accounted for $1,035.8 million compared with $1,491.5 million in 1985, a decline of 30.6 percent. In contrast, the world wafer fab equipment market went from $3,341.3 million in 1985 to $2,598.2 million in 1986, a decline of 22.2 percent. Thus, the revenue of the top 10 companies declined substantially more than the total market. Table 3 shows that Eaton and Nikon experienced declines in excess of 50 percent. Only one company, Ulvac, showed an increase in 1986 sales, although Applied Materials' sales were off by only 3.4 percent.

The top 10 companies accounted for 44.6 percent of the total wafer fab equipment market in 1985, but they accounted for only 39.9 percent in 1986. Even though the revenue of the top 10 companies declined substantially more than the market in 1986, 9 of the top 10 in 1985 are still in the 1986 top 10. Anelva, which was on the 1985 list, was displaced by Ulvac in 1986. Note that only Applied Materials, GCA, and TEL/Thermco are purely semiconductor equipment market participants; the other companies in the top 10 participate in other businesses as well.

Table 4

Top 10 Wafer Fab Equipment Companies

1986 Rank

1 2 3 4 5 6 7 8 9 10

1985 Rank

1 2 7 3 6 3 8 12 5 9

Total Top

Company

Perkin-Elmer Canon Applied Materials Varian GCA Nikon General Signal Ulvac Eaton TEL/Thermco

10

World Wafer Fab Equipment Market

Percent Top 10 Companies Provide of World Fab Equipment Market

1986 Revenue (M$)

$ 220.3 139.5 124.7 114.7 86.5 84.5 74.6 70.9 60.7 59.4

$1,035.8

$2,598.2

39.9%

1985 Revenue (M$)

$ 269.3 197.9 129.1 185.9 134.2 185.9 99.7 64.7 147.1 77.7

$1,491.5

$3,341.3

44.6%

Percent Change

(18.2%) (29.5%) (3.4%) (38.3%) (35.5%) (54.5%) (25.2%) 9.6%

(58.7%) (23.6%)

(30.6%)

(22.2%)

Source; Dataquest October 1987

18 © 1987 Dataquest Incorporated October SEMS Markets and Technology

Page 108: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Rankings

Table 5 presents the combined market share of the top 10 equipment companies by equipment category. It is evident that the top 10 have high market share in several categories. For instance, in 1985 the top 10 provided 89 percent of the world's lithography equipment but their share dropped to 81 percent in 1986 because of the increase in company revenue for direct-write E-beam equipment manufactured by companies not in the top 10. Ion implantation is another area where the top 10 dominate. In 1985, they had a 73 percent share of the world market and in 1986 a 70 percent share. In the equipment data base, TEL/Varian is considered a separate company, but if TEL/Varian implanter revenue is included in Varian's sales, then the top 10 companies provided 85 and 78 percent of the 1985 and 1986 world implanter market, respectively.

Table 5

Market Share by Equipment Category for the Top 10

1985 1986

Lithography Resist Processing Equipment Dry Strip Dry Etch Chemical Vapor Deposition Physical Vapor Deposition Silicon Epitaxy Meta lorgan ic CVD Molecular Beam Epitaxy Diffusion Rapid Thermal Processing Ion Implantation

Source;

89% 22% 0

42% 19% 45% 62% 17% 30% 28% 16% 73%

81% 34% 0

46% 16% 49% 70% 19% 37% 30% 9% 70%

Dataguest October 1987

In areas where equipment and process technology is just emerging, such as metalorganic CVD, rapid thermal processing, and the newer dry strip technologies, the top 10 have little presence; it is the smaller, start-up companies that are developing the equipment in these areas. Surprisingly, the top 10 have low market share in the key process area of chemical vapor deposition. However, CVD process and equipment technology is rapidly changing from the more traditional methods, and several of the top 10 have introduced new-generation CVD equipment. Their market share in CVD should increase in the future, although there are several start-ups active in this area as well.

SEMS Markets and Technology © 1987 Dataquest Incorporated October 19

Page 109: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Wafer Fab Equipment—Company Rankings

(Page intentionally left blank)

20 © 1987 Dataquest Incorporated October SEMS Markets and Teclinology

Page 110: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

SUMMARY

In 1985, silicon and epitaxial wafer sales to the worldwide semiconductor industry by merchant silicon companies was $1,266.5 million. Silicon wafers accounted for $1,020.9 million (80.6 percent) of this figure, and epitaxial wafer sales accounted for $245.6 million (19.4 percent). These sales represented 1,038 million square inches of silicon wafers and 85 million square inches of epitaxial wafers. The average selling price of silicon wafers ranged from $0.90 to $1.00 per square inch as compared with $2.80 to $2.90 per square inch for epitaxial wafers. Several semiconductor manufacturers have captive production of silicon material, which accounted for an additional 177 million square inches of silicon that year. When merchant wafer sales are added to captive silicon production, total silicon consumption amounted to 1,300 million square inches in 1985.

Table 1 summarizes worldwide silicon and epitaxial wafer sales (and market share) for the top six merchant silicon companies in 1985. The market is strongly dominated by four Japan-based silicon companies, Monsanto of the United States, and Wacker of West Germany.

Table 1

WORLDWIDE MERCHANT SILICON COMPANY MARKET SHARE, 1985 (Millions of Dollars)

Company

Shin-Etsu Handotai Wacker Osaka Titanium Company Monsanto Japan Silicon Komatsu Electronic Metals Others

Silicon and Epitaxial Wafer Sales

$ 310.0 205.0 160.0 137.0 128.0 116.0 210.5

Percent Share

24.5% 16.2 12.6 10.8 10.1 9.2 16.6

Total $1,266.5 100.0%

Source: Dataquest February 1987

SEMS Markets and Technology © 1987 Dataquest Incorporated February

Page 111: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

INTRODUCTION

This study is Dataquest's analysis of the worldwide silicon and epitaxial wafer markets. It presents an overview of merchant silicon company products, market focus, and manufacturing activities. Market share for merchant silicon companies is tabulated by region of silicon and epitaxial wafer sales in 1985. The sales of these companies are estimated in U.S. dollars and converted to millions of square inches using an average selling price for each region. Captive silicon production is included in Dataquest's estimate of worldwide silicon consumption. Historical and forecast semiconductor device production revenue and silicon consumption are summarized by region. The study also presents Dataquest's historical and forecast wafer size distribution for the United States. Please note that the regional designation "United States" includes Canadian semiconductor manufacturing activities.

Definitions and Conventions

Silicon Producers

Dataquest defines companies that produce silicon and epitaxial wafers as either merchant silicon companies or captive silicon producers. Merchant silicon companies are suppliers such as Monsanto Electronic Materials Company in the United States, Shin-Etsu Handotai (also known as SEH) in Japan, and Wacker in Western Europe. These three merchant silicon companies, along with about 20 more companies worldwide, produce most of the silicon consumed by the semiconductor industry today. The name Monsanto is used throughout this study to represent Monsanto Electronic Materials Company, unless otherwise noted.

Silicon is also produced to a lesser extent by both merchant and captive semiconductor manufacturers. Dataquest refers to these semiconductor manufacturers collectively as captive silicon producers because they grow single-crystal silicon to produce wafers for their own internal consumption. Captive producers with significant internal silicon production include AT&T, IBM, Motorola, and Texas Instriiments in the United States, Philips in Europe, and Hitachi in Japan. Dataquest estimates that the U.S. captive silicon producers meet from 50 to 75 percent of their silicon requirements with captive silicon operations, whereas this amount is approximately 30 percent for Hitachi and Philips.

Semiconductor manufacturers with captive silicon production tend to be established, vertically integrated companies. In the early years of the semiconductor industry, the high cost of silicon provided sufficient economic justification for some semiconductor manufacturers to develop this internal capability. However, high-quality, low-cost silicon wafers have become readily available from a number of merchant silicon

© 1987 Dataquest Incorporated February SEMS Markets and Technology

Page 112: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

companies, and in response to such competitive factors, some semi­conductor manufacturers have shut down their silicon operations. Fairchild closed its silicon wafer plant in Healdsburg, California, in June 1985, and later sold its silicon production equipment to Pentagood Training, Ltd., a Hong Kong firm, for $3.5 million. This equipment, in turn, was sold to the People's Republic of China. Delco, another semiconductor manufacturer with internal silicon production, discontinued its captive silicon operations in the spring of 1986. Although Motorola continues to produce single-crystal silicon, the company closed its polysilicon facility in the fall of 1986. Dataquest believes that this 100-metric-ton plant has not as yet been sold.

Merchant or Captive? - Some captive silicon producers, such as Motorola and Fairchild (when it was actively producing silicon), have sold small amounts of material on the merchant silicon market. Dataquest estimates that merchant sales for these companies in 1985 amounted to less than 10 percent of their total captive silicon production, and thus continues to identify these companies as captive rather than merchant silicon producers.

Dataquest identifies Toshiba Ceramics, a subsidiary of Toshiba Corporation, as a merchant silicon company even though a substantial amount of its silicon production is consumed by its semiconductor parent. However, because Toshiba Ceramics is actively marketing its material on the merchant market, Dataquest considers Toshiba Ceramics to be a merchant rather than a captive silicon producer. Toshiba Corporation is considered to be a customer of Toshiba Ceramics.

Silicon Products

Dataquest defines the merchant silicon wafer market in two product segments: silicon wafers and silicon epitaxial wafers. (Silicon wafers grown by both Czochralski and float zone methods are included.) In the silicon and epitaxial wafer markets analysis, Dataquest does not include sales of polysilicon, single-crystal silicon ingots, silicon materials used in solar applications, or compound semiconductor material substrates such as gallium arsenide.

Several different units are used to describe silicon wafers, including million square inches (MSI), million square centimeters, and wafer or slice equivalents. For the purpose of comparison, all silicon and epitaxial wafer quantities in this study will be defined in units of MSI. The conversion factor between square centimeters and square indhes is 6.45 square centimeters per square inch. Wafer or slice equivalents can be translated into MSI by multiplying the number of wafers of a given size by the area of that wafer size as measured in square inches. As an example, 150,000 100mm equivalents are equal to 1.83 MSI.

SEMS Markets and Technology © 1987 Dataquest Incorporated February

Page 113: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Although polysilicon production is not a topic of this study, several merchant silicon companies produce polysilicon in addition to single-crystal silicon ingots and wafers. Information regarding poly plant locations and capacities for these companies is included in the merchant silicon company profiles.

SILICON AMD EPITAXIAL WAFERS

Silicon Wafers

In the early days of the semiconductor industry, silicon was considered to be one of several materials with semiconductor potential. With the development of planar processing in 1960, polysilicon price reductions, and inexpensive plastic packaging for silicon transistors, silicon took the market from germanium as the basic element used to manufacture semiconductor devices. The history of silicon manufacturing in the United States, and the technology of single crystal growth and wafer production can be found in two service sections located behind the Wafer Fabrication Materials tab in this binder. These sections are entitled "Silicon History" and "Silicon Technology."

Bpiha arjal Wafers

Epitaxial processing produces a layer of single-crystal material that has the same crystallographic orientation as the underlying substrate. It is possible to design the epitaxial layer to meet well-defined chemical, physical, and electrical specifications. The epitaxial layer of material is produced by a chemical vapor phase deposition reaction upon a polished substrate, which also has been manufactured to tightly controlled specifications. The epitaxial layer is typically the electrically active layer of transistors, diodes, and other discrete devices. Epi layers are an important part of bipolar device fabrication, and are used for isolation and buried layers. Epi is also increasingly being utilized in MOS integrated circuits.

CMOS Epi

An area where epitaxy has become important is in CMOS device fabrication. CMOS circuits are especially vulnerable to a condition known as latch-up. In CMOS construction, n-type and p-type wells are in sufficiently close proximity to create parasitic n-p-n-p (silicon-controlled rectifier type) switching structures. Latch-up occurs when such parasitic devices turn on due to a transient condition such as

1987 Dataguest Incorporated February SEMS Markets and Technology

Page 114: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

forward biasing produced by a voltage spike. In latch-up, the CMOS device presents a near short-circuit condition across the power supply. The adverse effects of this can range from the interruption of further circuit operation until the condition is removed to physical destruction of the chip. With CMOS design rules approaching the 1 micron regime and gate oxide thicknesses approaching 100 angstroms, latch-up becomes more of a problem. High-resistivity epi layers on low-resistivity substrates is now used as a technique for latch-up hardening (prevention).

Although CMOS epi has been touted as a solution to the problem of latch-up, most semiconductor manufacturers have found the additional cost of an epitaxial wafer (typically 2.5 to 3.0 times the cost of a silicon wafer) prohibitive. To meet the market prices of low-end products, a manufacturer using epi material would have to give up considerable margin and hence profitability. This may be one of the reasons that Texas Instruments reportedly began its 256K DRAM production on epitaxial wafers and later converted to a non-epi process. Although it has been reported that AT&T and IBM have shown new designs in CMOS epi, Japanese semiconductor manufacturers continue to design without epi for their advanced CMOS devices. Dataguest estimates that epitaxial wafer consiunption in Japan by application is approximately 60 percent for discrete devices, 35 percent for bipolar ICs, and 5 percent for MOS integrated circuits.

Kpifra giai Services—Japanese Style

Semiconductor manufacturers in the United States have three sources of epitaxial silicon wafers: larger merchant silicon companies (such as Monsanto, SEH America, and Wacker), small custom epitaxial houses, or internal epitaxial wafer production. Japan has no equivalent to the United States' small custom epitaxial houses, so Japanese silicon companies have taken on the responsibility for providing custom epitaxial wafer specifications. For example, in the case of buried layers for bipolar IC applications, a Japanese silicon wafer company will obtain the photomask from a customer and perform the lithography, selective diffusion, and deposition of the epitaxial layer. This "fabricated" epitaxial wafer with its buried layer is then returned to the customer. This allows the Japanese semiconductor manufacturer to minimize investment in equipment and processes, and instead devote resources to design and new process development. Dataquest believes that the practice of providing photomasks to silicon manufacturers for buried layer processing is far more prevalent in Japan than in the United States because of the close relationship between vendor and customer in Japan.

SEMS Markets and Technology © 1987 Dataquest Incorporated February

Page 115: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

WORLDWIDE MERCHANT SILICON MARKET

Table 2 contains a list of merchant silicon manufacturers that were active in the world market in 1985. This list, organized by region in which the company headquarters are based, summarizes whether a company offers silicon and/or epitaxial wafers. Fifteen of the 23 companies are located in the United States, 3 in Europe, and 5 in Japan. (The acquisition by Japanese manufacturers of U.S. silicon companies NEK, Siltec, and U.S. Semiconductor will be discussed in a later section.) -Fourteen manufacturers supply epitaxial wafers, 16 supply silicon wafers, and 7 provide both types of wafers. (Dynamit Nobel began manufacturing epitaxial wafers in late 1986, so is not included in the count of epitaxial wafer suppliers for 1985.) Table 2 also includes five new merchant silicon companies that entered the market in 1986/1987; two are located in Japan, two in Europe, and one in Korea.

Table 2 shows the percentage of silicon substrate material (based on square inches) each company produces internally and the percentage purchased from other silicon vendors. This is an important distinction since several United States-based epitaxial wafer manufacturers purchase some or all of their silicon substrate from other companies. For example, in 1985, Cincinnati-Milacron, an epitaxial silicon wafer company, internally produced 60 percent of its silicon substrate and purchased 40 percent from other merchant silicon companies. This practice must be noted when evaluating total wafer consumption because of the potential problem of double-counting million square inches of silicon based on company sales alone.

Two U.S. captive silicon companies, Fairchild and Motorola, also sold a small amount of their internally produced wafers on the merchant market in 1985. Dataquest estimates that Fairchild's merchant silicon wafer sales were approximately $0.2 million, whereas Motorola's Semiconductor Materials Group sold approximately $2.1 million of silicon and epitaxial wafers. Both companies have sold material on the merchant market in order to ensure that internal production methods continue to produce material of competitive quality and cost. Although these companies are not listed in Table 2, their sales have been included as part of the total United States-based silicon manufacturers' sales during 1985 that are presented in the next section. (Note: As mentioned previously, Fairchild closed its silicon operations in June 1985.)

1987 Dataquest Incorporated February SEMS Markets and Technology

Page 116: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 2

HOSIDWIDE MERCHANT SILICON COMPANIES

U.S. Companies Cincinnati Mi lacron Crysteco, Inc. Epitaxy, Inc. General Instriunents Power Semiconductor Div. Gensil M/A-Com Monsanto Electronic Materials Company NBK Co rpo r a t ion Pensilco Recticon Silicon Services Siltec Spire Corporation U.S. Semiconductor Virginia Semiconductor

Japanese Companies Japan Silicon Komatsu Electronic Metals Osaka Titanium Company Shin-Etsu Handotai Toshiba Ceramics

Substrate Grown

60% 100% 0

0 100% 50%

100% 100% 100% 100% 0

100% 0 0

100%

100% 100% 100% 100% 100%

Substrate Purchased

40% 0

100%

100% 0

50%

0 0 0 0

100% 0

100% 100% 0

0 0 0 0 0

Silicon Epitaxial Wafers Wafers

X X

X

X X

X

X X X X X

X X

X X X X X

X X X X X

European Companies Dynamit Nobel Silicon Tops i l Semiconductor Materials A/S Wacker

100%

100% 100%

0 0

X X

(X-1986)

X

New Entrants—1986 Lucky Advanced Materials (Korea) Nittetsu Denshi (Japan) Okmetic (Finland) Rhone-Siltec (France) Showa Denko (Japan)

100% 100% 100% 100% 100%

0 0

0 0

X X

(X-1987) X X

Source; Dataquest February 1987

SEMS Markets and Technology © 1987 Dataquest Incorporated February

Page 117: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Tables 3, 4, and 5 present the combined and separate sales of silicon and epitaxial wafers by regionally based merchant companies to given regions of the world. For example, in tJiese tables, Shin-Etsu Handotai's worldwide sales, which include the sales of Shin-Etsu's U.S. s\ibsidiary, SEH America, are included under the heading "Japan-Based Companies." Similarly, the worldwide sales of Europe-based Wacker Chemitronic include the sales of its U.S. affiliate, Wacker Siltronic. The activities of each of the regionally based merchant silicon companies are discussed in the sections to follow. Market share analysis for silicon and epitaxial wafer sales in each of the four regions of the world are presented in a later section.

Table 3

HORIJ)WIDE MERCHANT SILICON AND EPITAXIAL WAFER MARKET, 1985 (Millions of Dollars)

Region of Sales

United States Japan Europe Rest of World

Total

Percent

United States-Based

Company Sales

$216.3 7.9 18.8 12.7

$255.7

20.2%

Japan-Based Company Sales

$ 84.9 632.1 10.4 26.6

$754.0

59.5%

Europe-Based Company Sales Total

$ 86.7 8.6

130.0 31.5

$256.8

20.3%

Source:

$ 387.9 648.6 159.2 70.8

$1,266.5

100.0%

Dataquest February 1987

© 1987 Dataquest Incorporated February SEMS Markets and Technology

Page 118: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 4

WORLDWIDE MERCHANT SILICON WAFER MARKET, 1985 (Millions of Dollars)

Region of Sales

United States Japan Europe Rest of World

Total

Percent

United States-Based

Company Sales

$150.5 7.7 13.2 7.7

$179.1

17.5%

Japan-Based Company Sales

$ 74.6 502.6 8.1 22.7

$608.0

59.6%

Europe-Based Company Sales Total

$ 79.4 8.1

116.0 30.3

$233.8

22.9%

Source:

$ 304.5 518.4 137.3 60.7

$1,020.9

100.0%

Dataguest February 1987

Table 5

WORLDWIDE MERCHANT EPITAXIAL WAFER MARKET, 1985 (Millions of Dollars)

Region of S^l^s

United States Japan Europe Rest of World

Total

Percent

United States-Based

Company Sales

$65.8 0.2 5.6 5.0

$76.6

31.2%

Japan-Based Company Sales

$ 10.3 129.5 2.3 3.9

$146.0

59.4%

Europe-Based Company Sales

$ 7.3 0.5 14.0 1.2

$23.0

9.4%

Source;

Total

$ 83.4 130.2 21.9 10.1

$245.6

100.0%

Dataguest February 1987

SEMS Markets and Technology 1987 Dataguest Incorporated February

Page 119: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

MERCHAMT SILICON COMPANY ACTIVITIES

United States Silicon CompaTiios

Fifteen United States-based merchant silicon companies (and two captive silicon producers) supplied the semiconductor industry with wafers in 1985. These manufacturers accounted for $255.7 million in silicon and epitaxial wafer sales, or 20.2 percent of the world's $1,266.5 million silicon and epitaxial wafer market in 1985. Three of the fifteen merchants account for almost 80 percent of the United States-based silicon and epitaxial wafer manufacturers' sales; these are Monsanto, Cincinnati Milacron, and Siltec. The remaining 12 companies are of two categories; small silicon wafer manufacturers and small custom epitaxial houses. (Note: Monsanto is the only United States-based silicon manufacturer to supply both silicon and epitaxial wafers to the semiconductor industry.) In particular, these smaller silicon and epitaxial houses are pursuing niche markets by providing relatively small quantities of material that meet customers' specific requirements. The smaller companies, for the most part, have chosen not to compete with the larger silicon companies for commodity wafer sales.

Cincinnati Milacron

Cincinnati Milacron is the largest epitaxial wafer supplier in the United States, with epi wafer sales of approximately $42 million in 1985. Cincinnati Milacron's manufacturing facility is in Maineville, Ohio. Dataquest estimates that approximately 70 percent of the company's epi business is for discrete semiconductor devices, with the remaining 30 percent directed at CMOS applications. Cincinnati Milacron builds its own epitaxial reactors, which are not for sale on the commercial epitaxial reactor market. In 1985, the Maineville manufacturing facility was expanded. It now has production capacity to generate $125 million in epitaxial wafer sales per year. The company is also a major manufacturer of processing systems for the metalworking and plastics industries. It also builds robots and metrology and inspection systems for industrial automation applications. In 1985, total sales for the company and its subsidiaries were $732.2 million.

Crysteco. Inc.

Crysteco, Inc., of Wilmington, Ohio, supplies silicon wafers to the semiconductor industry. The company grows 100 percent of its substr'ate material. All material grown is Czochralski silicon, though Dataquest believes that Crysteco provides some slice and polish services for float zone ingots. Dataquest's estimate for Crysteco's wafer sales in 1985 is $9 million, which places Crysteco as the third-largest United States-based supplier of silicon wafers (after Monsanto and Siltec).

10 © 1987 Dataquest Incorporated February SEMS Markets and Technology

Page 120: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Rpil^a-^Yf Inc.

Epitaxy, Inc., is an epitaxial wafer company in Santa Clara, California; the company was established in 1972. Dataquest estimates that Epitaxy, Inc., had epitaxial wafer sales of about $6 million in 1985, of which 55 percent was outside of the United States. Epitaxy, Inc., does not grow any substrate material, but rather purchases it from other merchant silicon manufacturers. Like the other small custom epi houses, it is pursuing niche market applications and has opted not to compete in the CMOS epi wafer market.

General Instruments—Power Semiconductor Division

The Power Semiconductor Division of General Instruments (Westbury, New York) manufactures epitaxial wafers. Most of its epi wafers are used in-house, but a small number are sold on the merchant market. Dataguest estimates that the Power Semiconductor Division sold approximately $2.5 million worth of epitaxial wafers in 1985. Major applications for its epitaxial wafers include microwave and radio frequency (RF) discrete devices.

Gens11

Gensil is a small silicon wafer company located in Garland, Texas. It manufactures silicon wafers to custom specifications for discrete device applications (such as zener diodes, which require tight resistivity profiles), and provides silicon substrate suitable for epitaxial deposition. Wafers are available from 1 inch to 100mm diameter. Gensil is owned by General Semiconductor Industries, a Tempe, Arizona, semiconductor device company that manufactures discrete devices such as diodes, and switching and power transistors. Dataquest estimates that Gensil's 1985 worldwide silicon wafer sales were $2.5 million. Approximately 50 percent of Gensil's wafers are sold to its parent company.

M/A-COM

M/A-Com Semiconductor Products (Burlington, Massachusetts) produces epitaxial silicon wafers for the semiconductor industry. It offers epi wafers up to 125mm diameter. These wafers are used in a wide range of device products including MOS (including DRAMs and MOSFETs), linear and digital ICs, RF power transistors, Schottky rectifiers, microwave diodes, transistors, and photovoltaic devices.

In 1985, M/A-COM produced 50 percent of its silicon substrate wafer material, and purchased 50 percent from other companies. In 1986, however, M/A-COM decided to curtail its single crystal growth operations and purchase all of its silicon substrate. Dataquest estimates that M/A-COM's 1985 worldwide epitaxial wafer sales were $6.0 million.

SEMS Markets and Technology © 1987 Dataquest Incorporated February 11

Page 121: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

MnTis Tit;n Electronic Materials CompaTiy

Monsanto Electronic Materials Company (headquartered in Palo Alto, California) is the largest United States-based silicon company, with silicon and epitaxial wafer sales of $137 million in 1985, or 10.8 percent of the $1,266.5 million world market. Monsanto Electronic Materials Company is one of the operating groups of the Monsanto Company, a St. Louis-based chemical giant with sales of $6.75 billion in 1985. (Please note that the name Monsanto is used throughout this study to -represent Monsanto Electronic Materials Company, unless otherwise noted.) Table 6 summarizes Monsanto's silicon facilities and plant activities. In Table 6, polysilicon refers to the location of a polysilicon plant; single-crystal ingot refers to the growth of silicon ingots at a given location; and wafers refers to the slicing, lapping, and polishing activities associated with wafer preparation.

Monsanto's polysilicon and single crystal operations are United States-based. Polysilicon capacity (as of January 1987) is approximately 210 metric tons at the Saint Peters plant. Both the Saint Peters plant and the newer Spartanburg facility grow single-crystal silicon ingots and produce wafers; epitaxial wafer production is done in Spartanburg. Wafer capacity at Monsanto's U.S. facilities is approximately 325 MSI. Monsanto's overseas facilities obtain single-crystal silicon from the U.S. plants to produce wafers for their respective local markets.

Three new offshore wafer facilities, in Korea, Japan, and the United Kingdom, became operational in 1986. Each new plant has a 20 MSI wafer capacity, which can be expanded for future requirements. This offshore wafer manufacturing is part of Monsanto's strategy to better penetrate overseas markets.

Table 6

MONSANTO ELECTRONIC MATERIALS COMPANY SILICON PLANT LOCATIONS

Single-Crystal Technical

Location Country Polysilicon Ingots Wafers Center

Saint Peters, Missouri United States X X X X Spartanburg, South Carolina United States X X Kuala Lumpur Malaysia X Gumi South Korea X Utsonomiya, Tochigi Japan X X Milton Keynes United Kingdom X X

Source: Dataquest February 1987

12 © 1987 Dataquest Incorporated February SEMS Markets and Technology

Page 122: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Korean Activities - In Korea, Monsanto's manufacturing facility for silicon wafers is at Gumi, adjacent to the country's developing semiconductor industry. The silicon facility, a 50-50 joint venture between Monsanto and Dongbu Industrial Company, is named Korsil Co., Ltd. Other Monsanto activities in Korea include an agreement with Samsung to increase silicon wafer sales to Samsung in the latter half of 1986. Monsanto has been working with Samsung engineers to customize wafer specifications to Samsung's processing requirements.

•TapaTiftse Activities - Monsanto and Hitachi announced an agreement in July 1986 for a cooperative program in which Monsanto would begin to supply wafers to Hitachi. Both companies would work together to customize the wafers to Hitachi's specific requirements. This agreement represents the first volume sales of Monsanto wafers to Hitachi; prior to April 1986, the company had supplied only test wafers. Dataquest believes that this is a significant move toward opening up the Japanese silicon market to foreign companies. Dataquest estimates that foreign silicon company wafer market share was approximately 2.5 percent of 1985's $648.6 million merchant silicon sales in Japan. However, with the political pressures of trade imbalance between the United States and Japan, U.S. government officials have urged Japan to buy more wafers from the United States. Dataquest expects that the Japanese government will respond favorably, and foreign-based silicon company market share in Japan will increase, albeit slowly, over the next few years.

MBK Corporation

NBK Corporation (Santa Clara, California) is a small silicon wafer manufacturer located in the Silicon Valley. Although NBK does not produce epitaxial wafers directly, the company supplies a small amount to customers through subcontractors. NBK was purchased by Kawasaki Steel for $9.36 million in the third quarter of 1985. The acquisition is part of the Japanese steelmaker's strategy for diversification into electronic materials. Kawasaki Steel invested $8.3 million in NBK in 1986 to upgrade to 150mm capability and improve silicon wafer quality control with a class 10 clean room environment; as a result, an incremental amount of capacity was added, on the order of 10 to 15 percent. Dataquest estimates that NBK's wafer sales in 1985 were $7 million, of which more than 95 percent were in the United States.

In 1986, Kawasaki Steel sent samples of NBK's wafers to Japanese semiconductor manufacturers. Dataquest expects that with Kawasaki Steel's backing, NBK's wafer sales to Japan will increase as a percent of total sales.

SEMS Markets and Technology © 1987 Dataquest Incorporated February 13

Page 123: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

PensilCO

Pensilco is a small silicon wafer manufacturer in Bradford, Pennsylvania. It specializes in small-diameter wafers, which range from 1 inch to 100mm in diameter. It provides customized silicon wafers, especially for zener diode fabrication. The company started its silicon operations in 1958 under the name of Allegheny Electronics; the Pensilco name was adopted in 1976. Dataguest estimates that Pensilco's worldwide silicon wafer sales were $3.3 million in 1985.

Recticon

Recticon is a small merchant silicon company in Pottstown, Pennsylvania. Dataguest estimates that Recticon's 1985 worldwide silicon wafer sales were $5.0 million. Rockwell International sold Recticon to Walker International in the first guarter of 1986. (Walker plans to use the acguisition to move out of its traditional business, photo processing.) Recticon has established a niche business supplying very thin and smaller-size wafers, but currently can produce from 1-inch to 125mm diameter wafers. In addition, Recticon specializes in growing single-crystal silicon with unusual crystal orientations. At the Semicon Southwest show in Dallas (October 1986), Recticon displayed a single-crystal ingot of 2-1-1 orientation. Recticon has attracted interest from semiconductor R&D groups that want to use silicon wafers with this orientation as a substrate for gallixun arsenide-on-silicon processing.

Silicon Services

Silicon Services (Santa Clara, California) is a small, epitaxial wafer supplier in the Silicon Valley. Its primary product is epitaxial wafers for power transistors; other application markets include epitaxial wafers for bipolar processing and a small amount of CMOS. Silicon Services does not grow its substrate material. Instead, it purchases from other merchant silicon companies or obtains the material directly from its customers (as in the case of bipolar applications where the customer has already prepared a buried layer, followed by ion implantation). Silicon Services supplies epitaxial wafers between 2 inches and 125mm. Dataguest estimates that Silicon Services' epitaxial wafer sales were $1.0 million in 1985.

Siltec

Siltec Silicon is a merchant silicon wafer company with manufacturing facilities in Salem, Oregon. Dataguest estimates that its 1985 worldwide silicon wafer sales were $24.8 million. In mid-1985, Siltec's silicon production facilities were relocated and consolidated in Salem from operations in Menlo Park and Mountain View, California. Siltec Silicon is one of two business units that make up Siltec Corporation,

14 © 1987 Dataguest Incorporated February SEMS Markets and Technology

Page 124: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

headquartered in Menlo Park, California. (Siltec Corporation's second business unit is Cybeq Systems, which manufactures production, transport, and test equipment used in silicon production operations.) Siltec has polished wafer capacity of 70 MSI per year. The company was founded in 1969 by Robert Lorenzini, a recognized pioneer in the field of crystal growing.

Overseas Activities - Over the past several years, Siltec expanded its activities overseas through joint venture and technology licensing strategies. In 1984, Siltec entered into an agreement with Rhone-Poulenc of France to manufacture silicon wafers in France for distribution to semiconductor manufacturers in Western Europe. The joint venture, Rhone-Siltec, began pilot production in September 1985 at a facility near Mantes-la-Jolie (100 kilometers west of Paris.) Rhone-Siltec has single crystal growth and polished wafer operations; current wafer capacity is approximately 20 MSI.

In October 1985, Siltec announced the licensing of its silicon technology to Lucky Advanced Materials of Korea, an affiliate of the Lucky-Goldstar group. Lucky Advanced Materials agreed to pay Siltec $4 million plus royalties for the technology. The Lucky Advanced Materials silicon facility is in Gumi and started production in February 1987. Wafer capacity at this facility is on the order of 20 MSI. The Lucky Advanced Materials plant is the only facility in Korea that has single crystal silicon growth and wafer production operations.

Siltec announced plans in mid-1985 to form a joint venture with Toyo Soda Manufacturing Co. of Japan to market silicon wafers in that region. However, plans were canceled at the end of 1985 in response to the deepening recession in the industry and weak demand for wafers in Japan.

Acquisition - In September 1986, Siltec agreed to an acquisition by Mitsubishi Metal Corporation of Japan for approximately $33 million. The acquisition includes both Siltec Silicon and Cybeq Systems. Mitsubishi Metal Corporation is a multibillion dollar, multinational corporation headquartered in Tokyo. (Mitsubishi Metal and Mitsubishi Electric Corporation, the semiconductor manufacturer, are both members of the same industrial group, the Mitsubishi Group.) Mitsubishi Metal's wholly owned subsidiary, Japan Silicon (also known as Nippon Silicon or JASIL), is a major silicon manufacturer in Japan. The Siltec acquisition is being financed 60 percent by Mitsubishi Metal, 30 percent by Mitsubishi Mining and Cement, and 10 percent by Mitsubishi Corporation. Mitsubishi Metal is also a major supplier of galli\im arsenide wafers to the semiconductor industry.

SEMS Markets and Technology © 1987 Dataquest Incorporated February 15

Page 125: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Spire Corporation

Spire Corporation is a small epitaxial silicon company in Bedford, Massachusetts. Dataguest estimates that Spire Corporation had epitaxial wafer sales of $0.6 million in 1985. Spire provides 2-inch, 3-inch, and 100mm epi wafers and focuses on meeting custom epitaxial specifications for microwave devices and discretes. The company has chosen not to pursue the MOS epitaxial wafer market. Spire is currently designing its own silicon epitaxial reactor, based on experience and technology developed in the production of its gallium arsenide epitaxial reactor. Spire's silicon epitaxial reactor will handle 200mm wafers and is expected to be available by March 1987. (Spire also supplies gallium arsenide epitaxial wafers to the semiconductor industry.)

U.S Semiconductor

U.S. Semiconductor (Fremont, California) manufactures epitaxial wafers in the Silicon Valley. U.S. Semiconductor started its production with 125mm epitaxial wafers in April 1984 and currently supplies the semiconductor industry with 3-inch to 125mm epitaxial wafers. The company, founded in 1984, was privately held until its recently announced acguisition by Japanese silicon manufacturer Osaka Titanium Co., Ltd. (OTC). The acquisition took place in December 1986 for an undisclosed sum. Dataguest estimates that U.S. Semiconductor had epitaxial wafer sales of $5.2 million in 1985.

Virginia Semiconductor

Virginia Semiconductor, a small silicon wafer manufacturer in Fredericksburg, Virginia, specializes in small-diameter wafers (from 1 to 3 inches) and offers very thin wafers of three-mil (0.003 inch) thickness. Founded in 1978, Virginia Semiconductor started production of float zone and Czochralski single-crystal ingots in June 1979. Dataguest estimates that Virginia Semiconductor's 1985 silicon wafer sales were $1.5 million.

Japanese Silicon Companies

Five Japan-based merchant silicon companies supplied the semi­conductor industry with wafers in 1985. These five manufacturers accounted for $754.0 million in silicon and epitaxial wafers sales. This amounted to 59.5 percent of the world's $1266.5 million silicon and epitaxial wafer market that year. Dataguest estimates that Shin-Etsu Handotai's worldwide silicon and epitaxial sales were $310 million, or approximately 41 percent of the Japan-based silicon company sales. Japanese merchant silicon companies differ from the majority of their Europe- and United States-based competitors in that all five silicon companies p rovide the semiconductor industry with both epitaxial and silicon wafers.

16 © 1987 Dataguest I nco rpo ra t ed February SEMS Markets and Technology

Page 126: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

•Ti!*P?" RiT^n^T^

Japan Silicon is a major Japanese silicon and epitaxial wafer manufacturer. Dataquest estimates that Japan Silicon ranks as the world's fifth largest silicon company with worldwide sales of $128 million (10.1 percent of the world market) in 1985. Japan Silicon is a subsidiary of Mitsubishi Metal Corporation, the Japanese company that recently acquired the United States-based firm, Siltec Corporation.

Japan Silicon is known by several names in the industry: Nippon Silicon, Nihon Silicon, and JASIL. The history of Japan Silicon is thus: Japan Electronic, then part of Mitsubishi Metal, merged with Chisso Denshi to form a silicon operation called Toyo Silicon (Toyo means Asia in Japanese). Seven or eight years ago, Toyo Silicon's name was changed to Japan Silicon, which is often shortened to JASIL. Japan Silicon has been active in the sales and promotion of its products in the United States for the last 18 months to two years.

Table 7 gives the location of Japan Silicon's manufacturing facilities and plant activities. Both the Ikuno and Noda facilities have single crystal silicon operations and wafer production. The Noda Plant produces 3-inch to 200mm wafers, whereas the Ikuno Plant produces 125mm and 150mm wafers. Yamagata Silicon obtains ingots of single-crystal silicon from its sister plants and produces 100mm to 150mm diameter wafers. Epitaxial wafer activity takes place at the Noda Plant. Japan Silicon wafer capacity for polished wafers (3 inches to 200mm) is 20 MSI per month, diffused wafer capacity (3 inches to 125mm) is 900,000 square inches per month, and epitaxial wafer capacity (3 inches to 150mm) is 2 MSI per month.

Table 7

JAPAN SILICON PLANT LOCATIONS

Single-Crystal

Location Polysilicon Ingots Wafers

Ikuno Plant Asaki District, Hyogo Prefecture X X Noda Plant Noda City, Chiba Prefecture X , X Yamagata Silicon Yonezawa City, Yamagata Prefecture X

Source: Dataquest February 1987

SEMS Markets and Technology © 1987 Dataquest Incorporated February 17

Page 127: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Japan Silicon obtains most of its polysilicon materials from Hi-Silicon Co., Ltd., located in Yokkaichi City, Mie Prefecture. Hi-Silicon was formed in 1967 as a joint venture between Mitsubishi Metal and Osaka Titanium Company. Through this joint venture, Japan Silicon and OTC are entitled to equal amounts of polysilicon capacity at the Hi-Silicon facility, which has a total capacity of 1,080 metric tons. Mitsubishi Metal Corporation (Japan Silicon's parent) also has a 12.25 percent equity position in Dow Coming's polysilicon subsidiary. Hemlock Semiconductor.

Komatsu Electronic Metals Co., Ltd.

Komatsu Electronic Metals, ranking sixth overall in worldwide sales, is a major supplier of silicon and epitaxial wafers to the Japanese market. Its 1985 sales were $116 million (9.2 percent worldwide market share). Komatsu Electronic Metals was incorporated in 1960 as an affiliate of Komatsu, Ltd.

Table 8 contains the locations and plant activities of Komatsu's silicon facilities. The Hiratsuka facility produces polysilicon, single-crystal silicon, and some wafers. The Kyushu Komatsu plant in Miyazaki produces most of the company's polished wafers. Komatsu's Nagasaki Plant was opened in 1985 and produces epitaxial wafers. Expansion to produce single-crystal silicon at Nagasaki is to be completed in the spring of 1987. Komatsu supplies both Czochralski and float zone material to the semiconductor industry. It ranks as one of the three major float zone suppliers in the world (Shin-Ktsu Handotai and Wacker are the others).

Komatsu both produces polysilicon for its own needs and purchases it from the merchant market to supplement its supply. Its polysilicon capacity is approximately 100 metric tons as of January 1987. Komatsu manufactures polysilicon by a proprietary method that employes monosilane gas. This method, known as the Komatsu method, uses crude silica as a source of silane gas that is refined to high purity, then decomposed into very high-purity polycrystalline silicon material. Union Carbide Corporation is the only polysilicon producer in the world that has licensed the Komatsu method. In addition to its internal usage of silane in polysilicon production, Komatsu Electronic Metals is also a major supplier of high-purity silane to the semiconductor industry for processing applications such as epitaxy and chemical vapor deposition.

18 © 1987 Dataquest Incorporated February SEMS Markets and Technology

Page 128: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 8

KOMATSU ELECTRONIC METALS SILICON PLANT LOCATIONS

Single-Crystal

Location Polysilicon Ingots Wafers

Head Office and Plant Hiratsuka City, Kanagawa Prefecture X Ht' X Nagasaki Plant Omura City, Nagasaki Prefecture X Kyushu Komatsu Electric Co., Ltd. Kiyotake City, Miyazaki Prefecture X

Source: Dataquest February 1987

Osaka Titanitiin Company

Osaka Titanivim Company (OTC) is the Japanese semiconductor industry's second largest silicon supplier and ranks third in the world with sales of $160 million (12.6 percent of the world market) in 1985. OTC is part of the Osaka Titanium Group along with Hi-Silicon Co. and Kyushu Electronic Metals Co. OTC started research on silicon for semiconductor applications in 1957 and built its first polysilicon facility in 1960. Hi-Silicon was established in 1967 as a joint venture between Mitsubishi Metal and Osaka Titani\im Company in which both companies share equally the polysilicon capacity of the Hi-Silicon plant. (Hi-Silicon currently has poly capacity of 1,080 metric tons per year.) In 1984, OTC completed a new poly facility in Amagasaki with a current capacity of 720 metric tons per year. Between these two facilities, OTC's effective polysilicon capacity is 1,260 (540 + 720) metric tons per year.

Kyushu Electronic Metals was established in 1973 with OTC and Sumitomo Metal Industries as the major stockholders. All of OTC's wafers are produced at the Kyushu Electronic Metals facilities in the Saga Prefecture. Kyushu Electronic Metal's first wafer facility was built in 1975; its newest silicon plant, in Imari City, was completed in 1984. The Imari Plant is manufacturing 125mm, 150mm, and 2G0mm wafers.

OTC produces both silicon (Czochralski and float zone) and epitaxial wafers. Its epitaxial wafer capacity is on the order of 22 MSI per year; its total wafer capacity is about 250 MSI per year. Table 9 shows the plant locations and activities of silicon facilities within the Osaka Titanium Group.

SEMS Markets and Technology © 1987 Dataquest Incorporated February 19

Page 129: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 9

OSAKA TITANIUM GROUP SILICON PLANT LOCATIONS

Single-Crystal

Location Polysilicon Ingots Wafers

Osaka Titanium Company Head Office and Plant Amagasaki, Hyogo Prefecture

Kyushu Electronic Metal Co., Ltd. Head Office and Plant Kishima District, Saga Prefecture Imari Plant Imari City, Saga Prefecture

Hi-Silicon Co., Ltd. Head Office and Plant Yokkaichi City, Mie Prefecture

Source: Dataguest February 1987

Shin-Etsu Handotai

Shin-Etsu Handotai (also known as SEH) is the largest silicon and epitaxial wafer company in the world with 1985 sales of $310 million, or 24.5 percent of the $1,266.5 million market. SEH is also the largest merchant silicon company in Japan with 1985 silicon and epitaxial wafer sales of $226.6 million, or 34.9 percent of the $648.6 million market. Shin-Etsu Handotai was formed as a joint venture between Shin-Etsu Chemical and Dow Corning in 1967. In 1979, Shin-Etsu Chemical acquired full ownership of Shin-Etsu Handotai. Shin-Etsu Handotai's subsidiaries and affiliates include SEH America, SEH Europe, and SEH Malaysia.

Like several of the major silicon merchant companies, Shin-Etsu Handotai's silicon manufacturing is vertically integrated, from polysilicon to polished wafers. Polysilicon facilities are at the Naoetsu factory (part of Shin-Etsu Chemical) and the Isobe factory. Polysilicon capacity is currently about 150 metric tons per year. Shin-Etsu Handotai has a 24.5 percent equity position in Hemlock Semiconductor, the Dow Corning polysilicon subsidiary, and obtains additional polysilicon from other vendors to supplement its needs.

20 © 1987 Dataquest Incorporated February SEMS Markets and Technology

Page 130: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Shin-Etsu Handotai is a major manufacturer of both Czochralski and float zone material. Float zone i n g o t s are grown at the I sobe and Saigata facilities; Czochralski ingots, at I s o b e , Shirakawa, and Takefu, as well as Vancouver, Washington, and Livingston, Scotland. Shin-Etsu Hando tai produces epitaxial wafers at the Isobe and Shirakawa factories in Japan, and overseas at Vancouver and Livingston. Shin-Etsu Handotai's world headquarters are in Tokyo, and its RS<D centers are at Isobe and Vancouver. In addition to silicon p r o d u c t s , Shin-Etsu Handotai manufactures gallium arsenide and gallium phosphide through a joint venture with Furukawa Mining known as Iwaki Handotai. Table 10 summarizes Shin-Etsu Handotai's silicon plant locations and activities.

Table 10

SHIN-ETSU HANDOTAI SILICON PLANT LOCATIONS

Single-Crystal

Location Polysilicon Ingots Wafers

Isobe Plant Annaka City, Gunma Prefecture X X X Nagano Plant X Naoetsu Plant Jyoetsu City, Niigata Prefecture X X Saigata Plant Kubiki Town, Niigata Prefecture X Shirakawa Plant Nishishirakawa, Fukushima Prefecture X X Takefu Plant Takefu District, Fukui Prefecture X Kuala Lumpur, Malaysia X Vancouver, Washington, United States X ' X Livingston, Scotland, United Kingdom X X

Source: Dataguest February 1987

SEMS Markets and Technology © 1987 Dataquest Incorporated February 21

Page 131: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Toshiba Ceramics

Toshiba Ceramics supplies both silicon and epitaxial wafers to the semiconductor industry. Dataguest estimates that Toshiba Ceramics had worldwide wafer sales of $40 million in 1985, of which 98 percent were to Japanese semiconductor manufacturers. Toshiba Ceramics makes major sales of silicon and epitaxial wafers to its parent company, Toshiba Corporation, the semiconductor manufacturer. However, Dataguest still considers Toshiba Ceramics to be a merchant silicon manufacturer because the company has active marketing and sales of wafers to the merchant market in addition to supplying its semiconductor parent.

Toshiba Ceramics has a silicon manufacturing facility (Oguni Plant) in the Nish ioki tama District, Yamagata Prefecture. Activities at this facility include the growth of Czochralski single-crystal silicon ingots and wafer production. Toshiba Ceramics has a joint venture with the polysilicon manufacturer, Tokuyama Soda, known as Tokuyama Ceramics (Tokuyama, Yamaguchi Prefecture). This facility produces all of Toshiba Ceramics' epitaxial wafers. In addition to silicon and epitaxial wafers, Toshiba Ceramics manufactures a diverse product mix for the semiconductor industry including ceramic materials and quartz, graphite, and silicon carbide products. Table 11 shows Toshiba Ceramics' silicon plant locations and activities.

Table 11

TOSHIBA CERAMICS SILICON PLANT LOCATIONS

Location

Single-Crystal

Polysilicon Ingots Wafers

Oguni Plant Nishiokitama District, Yamagata Prefecture Tokuyama Ceramics Tokuyama, Yamaguchi Prefecture

X

X

Source: Dataguest February 1987

22 1987 Dataguest Incorporated February SEMS Markets and Technology

Page 132: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

European Silicon Companies

Three Europe-based merchant silicon companies supplied the semiconductor industry with wafers in 1985. During that year, these manufacturers accounted for $256.8 million in silicon and epitaxial wafer sales, or 20.3 percent of the world's $1,266.5 million market for those products. (This is very close to 1985 United States-based silicon company sales of $255.7 million.) Dataquest estimates that Wacker had worldwide silicon and epitaxial sales of $205 million, or approximately 80 percent of the Europe-based silicon company sales. Of the three Europe-based silicon companies, only Wacker supplied both silicon and epitaxial wafers. (Dynamit Nobel began epitaxial wafer production in the latter half of 1986 at its Novara facility.)

Dynamit Nobel Silicon

Dynamit Nobel Silicon (also known as DNS) is a subsidiary of Dynamit Nobel AG, one of West Germany's largest chemical and plastics producers. Dynamit Nobel AG was established by the Swedish engineer Alfred Nobel, the inventor of dynamite and founder of the Nobel Foundation.

Prior to DNS becoming a wholly owned subsidiary of Dynamit Nobel AG in October 1980, it had been known as Smiel and was owned by Montedison. Today the company is completely dedicated to the merchant manufacture of s i l i c o n for the semiconductor industry. Corporate headquarters are in Novara, Italy. Table 12 presents a summary of DNS plant locations and activities.

Table 12

DYNAMIT NOBEL SILICON PLANT LOCATIONS

L<?Q9ti<?n

Merano Novara Research Triangle Park, North Carolina Sunnyvale, California

Country

Italy Italy

United States United States

Polysilicon

X

Single-Crystal Inaots

Z

Technical Wafers Center

X

X

Source: Dataquest February 1987

SEMS Markets and Technology 1987 Dataquest Incorporated February 23

Page 133: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Dynamit Nobel Silicon reported that polysilicon capacity at Merano, Italy, was expanded from 450 to 700 metric tons per year at the end of 1985. Dataguest believes that current polysilicon capacity is still on the order of 700 metric tons per year. Both Czochralski and float zone ingots are grown at the Merano facility. Dynamit Nobel Silicon's European silicon wafer operations are at Novara, Italy, where epitaxial wafer production started in the latter half of 1986.

DNS' North Carolina facility was originally a joint venture between Dynamit Nobel Silicon and W.R. Grace. The two companies formed Dynamit Nobel Grace Silicon in late 1984 to produce silicon wafers, polysilicon and single-crystal ingots at a new facility in North Carolina. They initially invested $35 million in the Research Triangle Park plant, which was opened in May 1985; this facility began wafer production in January 1986. In March 1986, Dynamit Nobel Silicon bought out the one-third interest held by Grace for approximately $10.8 million. The North Carolina facility currently produces Czochralski polished wafers.

In addition to supplying both float zone and Czochralski silicon wafers to the semiconductor industry, DNS sells some single-crystal ingots and polysilicon. Dataguest believes that Dynamit Nobel Silicon's sales of poly are split almost equally between Japan and the United States. Dataguest estimates that the company's 1985 worldwide wafer sales were $43 million.

Topsil Semiconductor Materials A/S

Topsil is a merchant silicon manufacturer in Frederikssund, Denmark. Topsil produces its own polysilicon, grows float zone single-crystal ingots, and manufactures wafers. The company pioneered the neutron transmutation doping (NTD) technique in 1974. This technique transforms silicon atoms into phosphorous by exposing a wafer to a flux of thermal neutrons. Although the technique can form only phosphorous-doped materials, its advantage is that it can provide an extremely uniform distribution of phosphorous, thus producing wafers with well-defined resistivity profiles.

Wacker

Wacker is the world's second largest supplier of silicon and epitaxial wafers to the semiconductor industry with 1985 sales of $205 million, or 16.2 percent of the world market share. Wacker is the name used in this study to refer to the collective silicon operations of Wacker-Chemie GmbH of West Germany, which is owned equally by Hoechst AG and Dr. Alexander Wacker Familien Gesselschaft mbH. Wacker-Chemie first started its research into high-purity silicon materials in 1953 and established Wacker-Chemitronic at Burghausen, West Germany, in 1968.

24 © 1987 Dataguest Incorporated February SEMS Markets and Technology

Page 134: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Wacker Siltronic, established in 1978/ is a U.S. subsidiary of Wacker-Chemie and an affiliate of Wacker-Chemitronic. Wacker's silicon plant locations and activities are shown in Table 13.

Table 13

WACKER SILICON PLANT LOCATIONS

Location

Wacker Chemitronic Burghausen, West Germany Wacker Siltronic Portland/ Oregon

Polysilicon

X

Single-Crystal Ingots

X

X

Wafers

X

X

Source: Dataquest February 1987

Wacker's Burghausen facility has a polysilicon capacity of approximately 3,200 metric tons per year. In addition, extensive single crystal growth operations, both Czochralski and float zone, and wafer production, silicon and epitaxial, occur at Burghausen. Wacker is a recognized leader in the field of float zone silicon material. In addition to producing semiconductor substrate materials (silicon and gallium arsenide), Wacker-Chemitronic established a sxibsidiary, Heliotronic GmbH, in 1977, to research and manufacture solar-grade silicon.

Wacker Siltronic produces silicon crystal ingots and wafers at its Portland facility. Plans t o build a polysilicon facility there have been put on hold due to the poor economic conditions within the semiconductor industry.

Wacker Chemicals East Asia, established in Tokyo in 1982, serves as a marketing and sales arm for Wacker-Chemie in Japan and the Pacific Rim. Dataquest estimates that Wacker's Japanese silicon and epitaxial wafer sales were $5.5 million in 1985. This represents 0.9 percent of the Japanese silicon market. Dataquest believes that Wacker's presence in Rest of World (ROW) is siibstantially larger, with silicon and epitaxial wafer sales of $28.5 million, or 40.2 percent of the ROW silicon market.

SEMS Markets and Technology © 1987 Dataquest Incorporated February 25

Page 135: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Hew Entrants

Japan

Two new Japanese companies entered the merchant silicon market in 1986, Nittetsu Denshi (a subsidiary of Nippon Steel) and Showa Denko. Both Showa Denko and Nittetsu Denshi started sampling 125mm polished wafers in the third quarter of 1986, and industry sources expect both companies to be significantly competive by late 1987 or 1988.

Nittetsu Denshi - Nittetsu Denshi (also referred to as Nittetsu Shoji, Nittetsu Electronics, and NSC Electron) was established as a wholly owned subsidiary of Nippon Steel in June 1985. The silicon wafer facility is in Hikari City, Yamaguchi Prefecture. Sampling began in the fall of 1986, and production-level wafers are expected in the second quarter of 1987. Nippon Steel, Nittetsu Denshi's parent, is one of several Japanese steel manufacturers diversifying from its traditional sunset industry, steel, into the sunrise industries, such as electronic materials. In addition to financial backing, the steelmaker can provide its new venture with a strong background in support technologies for silicon manufacturing, such as crystal growth control and precision measurement. To speed its entry into the silicon market, Nippon Steel agreed to provide Hitachi with a stable supply of silicon wafers from its new subsidiary in exchange for technological assistance.

Showa Denko - Showa Denko began actively sampling silicon wafers in 1986. In addition to its new venture into silicon wafers, Showa Denko provides a broad mix of electronic materials to semiconductor manufacturers, including compound semiconductor materials (gallium arsenide, gallium phosphide, and indium phosphide) and high-purity specialty gases (such as silane, hydrogen chloride, boron trichloride, nitrogen trifluoride, and fluorocarbon etchants).

Showa Denko manufactures silicon at its Chichibu City facility in Saitama Prefecture. (Single-crystal ingots of gallium arsenide are also produced at this facility.) Dataguest estimates that Showa Denko's wafer capacity was approximately 9.0 million square inches at the end of 1986. The company's expansion plans call for wafer capacity of approximately 35 to 40 MSI by late 1987 or early 1988. Showa Denko manufactures both Czochralski and magnetic Czochralski (MCZ) material. The company obtained its MCZ technology from Sony Corporation, a pioneer in this field. The MCZ growth method has received much attention the last few years because of its ability to provide very high-purity material with tight oxygen control.

Showa Denko has ten years of experience in compound semiconductor material manufacturing and an existing distribution network in electronic materials, factors that Showa Denko hopes will provide a competitive advantage.

26 © 1987 Dataquest Incorporated February SEMS Markets and Technology

Page 136: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Europe

Otanetic - Okmetic is a new merchant silicon company in Espoo, Finland. The company was founded in 1985. Production of 3-inch through 125mm Czochralski wafers will begin in 1987.

Rhone-Siltec - In 1984, Siltec entered into an agreement with Ehone-Poulenc of France to manufacture silicon wafers in France for distribution to semiconductor manufacturers in Western Europe. Rhone-Poulenc is one of tihe world's largest chemical companies, and its entrance into the silicon wafer business is another indication of the growing interest of chemical manufacturers in the field of electronic materials. The joint venture, Rhone-Siltec, began pilot production in September 1985 at a facility near Mantes-la-Jolie (100 kilometers west of Paris). Rhone-Siltec has single crystal growth and polished wafer operations; current wafer capacity is approximately 20 MSI.

Korea

Lucky Advanced Materials - In October 1985, Siltec announced the licensing of its silicon technology to Lucky Advanced Materials of Korea, an affiliate of the Lucky-Goldstar group. Lucky Advanced Materials agreed to pay Siltec $4 million plus royalties for the technology. The Lucky Advanced Materials silicon facility is located in Gumi and began production in February 1987. Wafer capacity at this facility is on the order of 20 MSI. The Lucky Advanced Materials plant is the only facility in Korea that has single crystal silicon growth and wafer production operations.

MARKET ANALYSIS—COMPAMY MARKET SHARE BY REGION

This section presents Dataquest's estimates of worldwide and regional silicon and epitaxial wafer shipments by the merchant silicon companies in 1985. Our estimates of company sales include wafers sold directly t o semiconductor manufacturers and those sold to epitaxial silicon wafer houses that do not grow all of their own material. The potential problem of double-counting million square inches that arises from sales to epi houses is corrected in our final analysis of total million square inch consumption by the semiconductor industry. The company market share that we publish, however, reflects sales to both sets of customers: semiconductor manufacturers and epitaxial silicon wafer companies.

SEMS Markets and Technology © 1987 Dataquest Incorporated February 27

Page 137: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Tables 14 through 18 present 1985 merchant silicon and epitaxial wafer sales. Tables 14 through 17 are each devoted to silicon and epitaxial wafer sales in a given region of the world. Table 18 presents merchant silicon company market share for wafer sales worldwide. The total market for silicon and epitaxial wafers in 1985 was $1,266.5 million. Of this total, $255.7 million or 20.2 percent was produced by United States-based merchant silicon manufacturers, $754.0 million or 59.5 percent was produced by Japan-based merchant silicon manufacturers, and $256.8 million or 20.3 percent was produced by Europe-based merchant silicon manufacturers.

28 © 1987 Dataguest I nco rpo r a t ed February SEMS Markets and Technology

Page 138: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

United States

Figure 1 shows the market share of the r e g i o n a l l y based silicon companies in the $387.9 million U.S. wafer market in 1985. Dataguest estimates that United States-based companies supplied approximately 56 percent ($216.3 million) of the silicon and epitaxial wafers sold in the United States in 1985. In particular. United States-based epitaxial manufacturing accounted for almost 79 percent of epitaxial wafer sales. This, in part, is due to the presence of the many U.S. small epitaxial silicon manufacturers. In 1985, Europe-based and Japan-based silicon companies had essentially equal share of the U.S. market; Wacker and Shin-Etsu Handotai sales dominated each of these groups. Additional sales of epitaxial and silicon wafers by United States-based companies outside of the United States amounted to $39.4 million, or 15.4 percent of total United States-based company sales of $255.7 million.

Table 14 presents figures for silicon and epitaxial wafer sales to semiconductor manufacturers in the United States in 1985.

Figure 1

REGIONALLY BASED SILICON COMPANY MARKET SHARE IN THE UNITED STATES, 1985

1985 $387.9 Million

Source: Dataquest February 1987

SEMS Markets and Technology © 1987 Dataquest Incorporated February 29

Page 139: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 14

U.S. SILICON AND EPITAXIAL WAFER MARKET, 1985 (Millions of Dollars)

Company Silicon Percent Epitaxial Percent Total Percent Wafers Share Wafers Share Sales Share

.S.-Based Companies Cincinnati Milacron Crysteco Epitaxy, Inc. General Instruments Power Semicond. Div. Gensil Fairchild M/A-COM Monsanto Motorola NEK Corporation Pensilco Recticon Silicon Services Siltec Spire Corporation U.S. Semiconductor^ Virginia Semiconductor

Total

8.6

1.8 0.2

98, 1, 6, 3, 5,

23.8

J ^

$150.5

2.8%

0.6 0.1

32.2 0.6 2.2 1.1 1.6

7.8

0.4

49.5%

$39.1

2.7

2.1

4.8 10.4 0.3

0.8

0.6 5.0

$65.8

46.8%

3.2

2.5

5.8 12.5 0.4

1.0

0.7 6.0

$39.1 10.1% 8.6 2.2 2.7 0.7

2. 1. 0. 4.

108. 2. 6. 3. 5. 0, 23.8 0.6 5.0

1.4

.1

.8

.2

.8

.4

.1

.7

.3

.0 ,8

0 0 0 1

27 0 1 0 1 0 6 0 1

.^^

78.9% $216.3 55.7%

Japan-Based Companies Japan Silicon Komatsu Electronic Metals Osaka Titanium Company Shin-Etsu Handotai Toshiba Ceramics

$ 0.9

4 . 6

1,4.5 53.9

0 .7

0.3%

1.5

4 . 8 17.7

0 . 2

$ 0 . 4

1.0

1 .1 7 . 8 O T I

0.4%

1.2

1.3 9 .4 0 . 1

$ 1.3

5 .6

15.6 61.7

0 . 7

0.3%

1.4

4 . 0 15.9

0 . 2

Total $ 74.6 24.5% $10.3

Europe-Based Companies Dynamit Nobel Silicon $ 18.9 6.2% Topsil 2.2 0.7 Wacker 58.2 19.1 $ 7.4

Total $ 79.4 26.0% $ 7.3

12.3% $ 84.9 21.9%

8.8%

$18.9 2.2

65.6

8.8% $ 86.7

4.9% 0.6 16.9

22.4%,

Total Sales— United States $304.5 100.0% $83.4 100.0% $387.9 100.0%

Note: Columns may not add to totals shown because of rounding.

Source: Dataguest February 1987

30 © 1987 Dataquest Incorporated February SEMS Markets and Technology

Page 140: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Japan

Figure 2 shows the market share of the regionally based silicon companies in the $648.6 million Japanese wafer market in 1985. Dataguest estimates that Japan-based compsuiies sold $632.1 million wort:h of silicon and epitaxial wafers, with non-Japanese silicon companies accounting for only 2.5 percent of the Japanese market in 1985. This is partly because silicon specifications are much tighter in Japan than in other regions, and thus local vendors can work closely with their customers to meet these requirements. In addition, Japan-based silicon companies have a tendency to develop long-term relationships with their semiconductor manufacturer customers, making it difficult for an outside vendor to penetrate the market. Additional sales of epitaxial and silicon wafers by Japan-based silicon companies in other regions of the world amounted to $121.9 million, or 16.2 percent of total Japan-based silicon and epitaxial wafer sales in 1985.

Table 15 presents figures for silicon and epitaxial wafer sales by merchant silicon companies to semiconductor manufacturers in Japan in 1985.

Figure 2

REGIONALLY BASED SILICON COMPANY MARKET SHARE IN JAPAN, 1985

Europe-Based Companies 1.3% ynited States-Based

Companies 1.2%

1985 $648.6 Million

Source: Dataquest February 1987

SEMS Markets and Technology © 1987 Dataguest Incorporated February 31

Page 141: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 15

JAPANESE SILICON AND EPITAXIAL WAFER MARKET, 1985 (Millions of Dollars)

Company

U.S.-Based Companies Cincinnati Milacron Crysteco Epitaxy, Inc. General Instruments Power Semicond. Div. Gensil Fairchild M/A-COM Monsanto Motorola NBK Corporation Pensilco Recticon Silicon Services Siltec Spire Corporation U.S. Semiconductor Virginia Semiconductor

Silicon Percent Epitaxial Percent Total Wafers

$ 0.1

7.5

0.1

Share

0.0

1.4%

0.0

Wafers

$ 0.1

0.1

Share Sales

0.0

0.1%

Percent Share •

0.1

0.1

7.6

0.1

0.0

0.0

1.2%

0.0

Total 7.7 1.5% $ 0.2 0.1% $ 7.9 1.2%

Japan-Based Companies Japan Silicon Komatsu Electronic Metals Osaka Titanium Company Shin-Etsu Handotai Toshiba Ceramics

$ 88.4

83.7

123.3 174.0 33.3

17.0%

16.2

23.8 33.6 6.4

$ 33.3

23.8

14.0 52.7 5.9

25.5%

18.2

10.7 40.4 4.6

$121.6

107.5

137.2 226.6 39.3

18.7%

16.6

21.2 34.9 6.1

Total $502.6 97.0% $129.5 99.5% $632.1 97.5%

Europe-Based Companies Dynsunit Nobel Silicon

psil icker

Total

Total Sales--Japan

$ 2.6 5.5

$ 8.1

$518.4

0.5% ItO

1.5%

100.0%

$ 0,5

$ 0.5

$130.2

0.4%

0.4%

100.0%

$ 2.6 5.9

$ 8.6

$648.6

0.4% 0.9

1.3%

100.0%

Note: Columns may not add to totals shown because of rounding.

Source; Dataguest February 1987

32 © 1987 Dataquest Incorporated February SEMS Markets and Technology

Page 142: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Europe

Figure 3 shows the market share of the regionally based silicon companies in the $159.2 million European wafer market in 1985. Dataguest estimates that Europe-based companies supplied more than 80 percent, or $130.0 million, of the silicon and epitaxial wafers sold in Europe in 1985. United States-based companies represented 11.9 percent and Japan-based companies, an additional 6.6 percent of the European silicon and epitaxial market. Europe-based silicon companies sold $126.8 million worth of wafers outside of Europe, or 49.4 percent of total Europe-based silicon company sales. Most of these sales were to the United States.

Table 16 presents figures for silicon and epitaxial wafer sales to semiconductor manufacturers in Europe in 1985.

Figure 3

REGIONALLY BASED SILICON C0MPAN7 MARKET SHARE IN EUROPE, 1985

Japan-Based Companies

6.6%

United Statas-Baeed Companies

11,9%

1985 $159.2 MUllon

Source: Dataquest February 1987

SEMS Markets and Technology © 1987 Dataguest Incorporated February 33

Page 143: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 16

EUKOPEAN SILICON AND EPITAXIAL WAFER MARKET, 1985

(Millions of Dollars)

Company

U.S.-Based Companies Cincinnati Milacron Crysteco Epitaxy, Inc. General Instruments Power Semicond. Div. Gensil Fairchild M/A-COM Monsanto Motorola NBK Corporation Pensilco Recticon Silicon Services Siltec Spire Corporation U.S. Semiconductor Virginia Semiconductor

Total

Japan-Based Companies Japan Silicon Komatsu Electronic Metals Osaka Titanium Company Shin-Etsu Handotai Toshiba Ceramics

S; Llicon Wafers

$

$

$

-0.3 -

-0.5

- • .

-12.4

---.-, •».•

---

Otl

13.2

2.7

-

2.9 2.5 -

Percent Share

-0.2% -

-0.4 --9.0 --------

0.1

9.7%

2.0%

-

2.1 1.8 -

Epi teixial Wafers

$

$

$

1.7 -0.6

0.3 --0.9 2.1 -.------0.1

_

5.6

1.1

_

-1.3 -

Percent Share

7.6% -

2.7

1.1 --

4.1 9.5 .—. ------0.5

.

25.5%

5.0%

-

-5.9 -

T( 3tal Sales

$

$

$

1.7 0.3 0.6

0.3 0.5 -

0.9 14.5

-— -----0.1

0,1

18.8

3.8

-

2.9 3.8 -

Percent Share

1.1% 0.2 0.4

0.2 0.3 _

0.6 9.1 _ .~ .--_ _ -0.1

.0

11.9%

2.4%

_

1.8 2.4

• • _

Total $ 8.1 5.9% $ 2.3 10.9% $ 10.4

Europe-Based Companies

Dynamit Nobel Silicon $ 21.5

Topsil 3.5

Wacker 91.0

Total $116.0

Total Sales—Europe $137.3

6.6%

15.6% 2.6

66.2

84.4%

100.0%

--

$14.0

$14.0

$21.9

--

63.6%

63.6%

100.0%

$ 21.5 3.5

105.0

$130.0

$159.2

13.5% 2.2

65.9

81.5%

100.0%

Note: Columns may not add to totals shown because of rounding.

Source: Dataguest February 1987

34 1987 Dataguest Incorporated February SEMS Markets and Technology

Page 144: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

ROW

Figure 4 shows the market share of the regionally based s i l i c o n companies in the $70.8 million ROW wafer market in 1985. Dataguest estimates that Europe-based companies accounted for the majority of ROW sales, with 44.4 percent of regional share, or $31.5 million. Japan-based silicon companies accounted for a 37.6 percent share, whereas United States-based silicon companies represented only 18.0 percent of silicon and epitaxial wafers sold in ROW. The three major suppliers to ROW are Wacker (40.2 percent share), Shin-Etsu Handotai (25.4 percent share), and Monsanto (9.3 percent share). Both Monsanto and Shin-Etsu have established wafer production facilities in Kuala Lumpur, while Monsanto opened an additional wafer production facility in Korea in 1986. Wacker depends on its Wacker Chemicals Far East operations to supply sales and marketing support for customers in the Pacific Rim and Japan.

Table 17 presents figures for silicon and epitaxial wafer sales to semiconductor manufacturers in ROW in 1985.

Figure 4

REGIONALLY BASED SILICON COMPANY MARKET SHARE IN ROW, 1985

Japan-Based / Companies /

37.6% /

Europe-Based Companies

44.4%

1985 $70.8 Million

^Jnlted States-Based \ Companies

\ 18.0%

SQurce: Dataqucit February 1987

SEMS Markets and Technology © 1987 Dataguest Incorporated February 35

Page 145: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 17

ROW SILICON AND EPITAXIAL WAFER MARKET, 1985 (Millions of Dollars)

Company

U.S.-Based Companies Cincinnati Milacron Crysteco Epitaxy, Inc. General Instruments Power Semicond. Div. Gensil Fairchild M/A-COM Monsanto Motorola NBK Corporation Pensilco Recticon Silicon Services Siltec Spire Corporation U.S. Semiconductor Virginia Semiconductor

Silicon Wafers

-

_

Percent Share

-

_

Epitaxial Wafers

$ 1.3

2.7

Percent Share

12.7%

26.5

Total Sales

$ 1.3

2.7

Percent Share

1.8%

3.8

$ 0.3

6.2

0.2

0.5%

10.2

0.3

0.3 0.4

3.0 3.9

0.3

0.3 6.6

0.2

0.4

0.4 9.3

0.3

0.2 2.0 0.2 0.3 1.0 1.6 - - 1.0 1.4

0.1 1.0 0.1 0.1

0.1 0.2 -_ - 0.1 0.1

Total $ 7.7 12.8% $ 5.0 49.0% $12.7 18.0%

Japan-Based Companies Japan Silicon Komatsu Electronic Metals Osaka Titanium Company Shin-Etsu Handotai Toshiba Ceramics

$ 0.9

2.7

4.4 14.7

-

1.5%

4.5

7.2 24.2

-

$ 0.4

0.3

-3.3 _

3.9%

2.9

-32.4

-

$ 1.3

3.0

4.4 18.0

_

1.8%

4.2

6.2 25.4

_

Total $22.7 37.4% $ 3.9 39.2% $26.6 37.6%

Europe-Based Companies Dynamit Nobel Silicon $ 2.6 Topsil Wacker

Total

Total Sales- -ROW

$ 2.6 0.4 27.3

$30.3

$60.7

4.2% 0.7

44.9

49.8%

100.0%

--

$ 1.2

$ 1.2

$10.1

--

11.8%

11.8%

100.0%

$ 2.6 0.4 28.5

$31.5

$70.8

3.6% 0.6

4Q.2

44.4%

100.0%

Note: Columns may not add to totals shown because of rounding.

Source: Dataguest February 1987

36 © 1987 Dataguest Incorporated February SEMS Markets and Technology

Page 146: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Worldwide Silicon an« Bp a rial Sales

Figure 5 shows the 1985 market share of the regionally based silicon companies in the $1,266.5 million silicon and epitaxial wafer market. Japan-based silicon companies dominated, with $754.0 million in sales, or 59.5 percent of the market. Europe-based silicon compauaies ran slightly ahead of United States-based companies, capturing 20.3 percent ($256.8 million) of the market, just a tenth of a percent more than the 20.2 percent ($255.7 million) taken by United States-based companies. The sales of the top six merchant silicon companies accounted for $1,056 million, or 83.4 percent of the 1985 worldwide merchant silicon and epitaxial wafer market. These companies, in order of decreasing market share, are Shin-Etsu Handotai, Wacker, Osaka Titanium Company, Monsanto, Japan Silicon, and Komatsu Electronic Metals. Worldwide market share for each of these silicon manufacturers has been identified in Tables 1 and 18.

Table 18 presents figures for worldwide silicon and epitaxial wafer sales to semiconductor manufacturers in 1985.

Figure 5

REGIONALLY BASED SILICON COMPANY MARKET SHARE WORLDWIDE, 1985

1985 $1,266.5 Million

United States-Based Companies

20.2%

Source: Dataquest February 1987

SEMS Markets and Technology © 1987 Dataquest I n c o r p o r a t e d February 37

Page 147: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 18'

HORLDHIDE SILICON AMD EPITAXIAL HAFER MARKET, 1985 (Millions of Dollars)

Company

U.S.-Based Companies Cincinnati Milacron Crysteco Epitaxy, Inc. General Instruments Power Semicond. Div.

Gensil Fairchild M/A-COM Monsanto Motorola NBK Corporation Pensilco

Recticon Silicon Services Siltec Spire Corporation U.S. Semiconductor Virginia Semiconductor

Silicon Wafers

-$ 9.0

-

-2.5 0.2 -

124.0 1.8 7.0 3.3 5.0 -

24.8 --

1.5

Percent Share

-0.9% -

-0.2 0.0 -

12.1 0.2 0.7 0.3 0.5 -

2.4 --

0.1

Epitaxial Wafers

$ 42.0 -

6.0

2.5 --

6.0 13.0 0.3 ---

1.0 -

0.6 5.2

-

Percent Share

17.1% _ 2.4

1.0 -_

2.4 5.3 0.1 ---

0.4 -

0.2 2.1

-

Total Sales

$ 42.0 9.0 6.0

2.5 2.5 0.2 6.0

137.0 2.1 7.0 3.3 5.0 1.0

24.8 0.6 5.2

1.5

Percent Share

3.3% 0.7 0.5

0.2 0.2 0.0 0.5 10.8 0.2 0.6 0.3 0.4 0.1 2.0 0.0 0.4

0,1

Total $ 179.1 17.5% $ 76.6 31.2% $ 255.7 20.2%

Japan-Based Companies Japan Silicon Komatsu Electronic Metals Osaka Titanium Company Shin-Etsu Handotai Toshiba Ceramics

Total

$ 93.0

91.0

145.0 245.0 34.0

9.1%

8.9

14.2 24.0 3.3

$ 35.0

25.0

15.0 65.0 6.0

14.3%

10.2

6.1 26.5 2.4

$ 128.0

116.0

160.0 310.0 40.0

10.1%

9.2

12.6 24.5 3.2

608.0 59.6% $146.0 59.4% $ 754.0 59.5%

Europe-Based Companies Dynamit Nobel S i l i c o n $ 43.0 Tops i l 8.8 Wacker 182.0

To ta l $ 2 3 3 . 8

4 .2% 0 . 9

1 7 , 8

22 .9%

$ 23.0

$ 23.0

$ 43.0 3.4% 8.8 0.7

9.4% 205.0 16.2

9.4% $ 256.8 20.3%

Tota l Worldwide Sa les $1,020.9 100.0% $245.6 100.0% $1,266.5 100.

Note: Columns may not add to t o t a l s shown because of rounding.

Source: Dataquest February 1987

38 © 1987 D a t a q u e s t I n c o r p o r a t e d F e b r u a r y SEMS Markets and T e c h n o l o g y

Page 148: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

MARKET ANALYSIS—WAFER PRICING

Dataguest surveyed tihe merchant silicon companies to establish 1985 average selling prices (ASPs) for silicon and epitaxial wafers. The silicon wafer prices reflect the ASP for a polished CZ wafer. Table 19 presents t:hese estimates (as measured in dollars) for the United States, Europe, and ROW combined, on a per wafer basis and per square inch. In addition. Table 19 specifically breaks out the price of 100mm through 150mm silicon wafers in Japan (in yen and dollars—exchange rate, ¥238/$l) because of the predominance of these sizes in Japan's wafer consumption mix and our knowledge of specific pricing differences between Japan and the United States. Epitaxial wafer prices vary considerably depending upon the level of custom specifications and the tJiickness of the epitaxial layer required.

Table 19

AVERAGE SELLING PRICES OF S I L I C O N AND EPITAXIAL WAFERS, 1985

Wafer Diameter 2 i n . Wafer Area (Square Inches) 3.14

3 i n . lOOnun 125inin ISOnun 200min 7.07 12.17 19.02 27.39 48.70

U .S . , Europe, ROW Per Wafer ($) Per Square Inch

($/SI)

Polished S i l i c o n Wafer P r i ce s

$ 3.40 $ 5.60 $10.30 $21.00 $ 37.80 $100-$200

$ 1.08 $ 0.79 $ 0.85 $ 1.10 $ 1.38 $2.05-4.10

Japan* Per Wafer (Yen) Per Wafer ($) Per Square Inch ($/SI)

Worldwide Per Wafer Per Square Inch ($/SI)

$16.80

$ 5.35

¥2,250 ¥4,600 ¥ 9,000 $ 9.50 $19.30 $ 37.80

$ 0.78 $ 1.02 $ 1.38

Epitaxial Wafer Prices

$21.70 $32.00 $54.70 $105.70

$ 3.07 $ 2.63 $ 2.88 $ 3.86

N/A

*¥238 = $1 N/A = Not Applicable

Source; Dataquest February 1987

SEMS Markets and Technology © 1987 Datagues t I n c o r p o r a t e d February 39

Page 149: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

The regional ASP per square inch of silicon and epitaxial wafers is dependent upon the wafer size mix in the different regions of the world. Table 20 is Dataquest's estimate of the wafer size distribution (by percent MSI) for the four regions of the world in 1985. (Dataquest's forecast for the U.S. wafer size distribution is presented in a later section.)

A regional ASP per square inch can be calculated by taking the sum of the products of per-square-inch price of a given size wafer (Table 19) multiplied by the appropriate percentage of the wafer size mix consumed in a given region (Table 20). For example, the ASP per square inch for polished CZ silicon wafers in the United States can be calculated as follows:

United States Average Polished CZ

Wafer Price

(0% * $1.OS/Square Inch) (7% * $0.79/Square Inch)

$0.85/Square Inch) $1.10/Square Inch)

(55% * (30% *

+ (8% * $1.38/Sguare Inch)

= $0.96/Square Inch

Table 20

WAFER SIZE DISTRIBUTION BY REGION, 1985 (Percent of Million Square Inches)

United States Japan Europe ROW

2 in. 3 in. 100mm 125mm 150mm 200mm

0 7% 55 30 8 0

0 6% 42 40 12 0

2% 23 58 15 2 0

2% 19 52 21 6 0

Total 100% 100% 100% 100%

Source: Dataquest February 1987

40 © 1987 Dataquest Incorporated February SEMS Markets and Technology

Page 150: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

The regional ASPs per square inch for polished CZ silicon wafers and epitaxial wafers are presented in Table 21. Japan's ASP per square inch for polished wafers was generated using the Japanese silicon wafer prices, which were broken out separately in Table 19. (Average 2-inch and 3-inch silicon wafer prices in Japan are assumed to be the same as for the U.S., Europe, and ROW.) It is assumed that the wafer size distribution is the same for both silicon and epitaxial wafers in a given region.

Table 21

SILICON AND EPITAXIAL WAFERS AVERAGE SELLING PRICE BY REGION, 1985

(Dollars per Square Inch)

United States Japan Europe ROW

Silicon Wafers (Polished CZ) Silicon Wafers (Average) Epitaxial Wafers

$0.96 $0.94 $2.84

$0.95 $0.89 $0.93 $0.98 $1.02 $0.96 $2.91 $2.85 $2.89

Source: Dataquest February 1987

In addition. Table 21 presents a weighted ASP per square inch for all types of silicon wafers sold by merchant silicon companies (i.e., polished, test, Czochralski, float zone). Note that the silicon wafer ASP per square inch in the United States is lower than the ASP for polished CZ wafers, whereas the European silicon wafer ASP per square inch is higher than its CZ counterpart. We believe that the U.S. market is more competitive because of the large number of vendors fighting to gain share. While the usage of lower-priced nonprime wafers in the United States and Europe reduces the ASP, the average price for silicon wafers in Europe is higher than the price of CZ wafers because of the proportionately higher use of float zone material in that region. (Float zone is typically more costly than Czochralski material.) In Japan, semiconductor manufacturers typically use prime wafers as test wafers, so there is little price differential in that regard. The ASP for silicon wafers in Japan and ROW is slightly higher than for polished CZ wafers because of the use of float zone material in discrete fabrication.

SEMS Markets and Technology © 1987 Dataquest Incorporated February 41

Page 151: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

In particular, it is difficult to establish an average selling price for float zone material since there is a wide range in prices. For some applications, such as IC fabrication, the price of float zone material may be less than or equal to the price of a polished Czochralski wafer, on the order of $1.00 per square inch. In other applications, such as the fabrication of power devices and other discretes, thicker wafers of float zone material, as thick as 1000 microns (two to three times thicker than a polished wafer), may be required. The cost of such wafers will be substantially higher, with the price equal to or greater than epitaxial silicon. Dataquest estimates that the average selling price for float zone wafers is on the order of $2.00 per square inch.

Effects of Yen Appreciation

In addition to domestic competition, in 1986 Japanese silicon companies also had to face the pressures of restricted markets overseas because of the yen's appreciation. Silicon wafer pricing has always varied from region to region. However, Japanese silicon companies found it difficult to compete in the U.S. and European markets in 1986 due to large differences in wafer pricing affected by exchange rate factors. For example, in 1984, the average U.S. price of a 100mm wafer was $10.50. At an exchange rate of ¥237/$l, a Japanese silicon company would receive ¥2,490 for a 100mm wafer. In 1986, the U.S. average selling price of a 100mm wafer came down to $10.00. However, at the 1986 exchange rate of ¥167/$1, a Japanese silicon company would now only receive ¥1,750 for a 100mm wafer sold in the United States.

Because of the high yen, Japanese silicon companies exporting silicon wafers to the United States have two options: 1) meet and maintain U.S. average selling prices for wafers and lose on margins in order to maintain U.S. market share and customer relationships, or 2) raise wafer prices in the United States to regain a given yen rate of return, and as a consequence, lose market share. Similar analysis of wafer pricing for 125mm and 150mm wafers confirms that Japanese silicon companies in 1986 were caught between the proverbial rock and a hard place, and had difficulty competing for silicon sales overseas.

Overseas Acquisitions - On the one hand, the high yen has made silicon exports from Japan more difficult. On the other hand, it has made the acquisition of U.S. firms more attractive. As mentioned earlier, in 1986, two Japanese silicon companies chose the latter method of competing in the U.S. market. Mitsubishi Metal acquired Siltec in the third quarter of 1986, and OTC announced its plans in December to acquire U.S. Semiconductor, an epitaxial silicon wafer manufacturer.

42 © 1987 Dataquest Incorporated February SEMS Markets and Technology

Page 152: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 22 indicates that epitaxial wafers represent 29 out of 340 MSI sold in the United States in 1985, or approximately 8.5 percent. Japan's percentage is slightly lower, at about 7.8 percent. Europe's figure is approximately 5.3 percent. In Europe, the percentage is lower t:han for the United States and Japan, we believe, because epitaxial wafer demand for discretes is accounted for by semiconductor manufacturers that do their own epi, such as Philips, Siemens, and Thomson. Remember that the epitaxial million square inches presented in Table 22 do not include epitaxial deposition performed by semiconductor manufacturers, but only merchant silicon company sales of epitaxial wafers to the industry.

Table 22

MERCHANT SILICON AND EPITAXIAL MARKET BY REGION, 1985 (Million Square Inches)

Region

United States Japan Europe ROW

Total

Silicon Wafers MSI

311 529 135 63

1,038

Percent

30.0% 51.0 13.0 6.0

100.0%

Epitaxj MSI

29 45 8

^

85

.al Wafers Percent

34.1% 53.0 9.4 3.5

100.0%

Total Percent

340 30.3% 574 51.1 143 12.7 66 5.9

1,123 100.0%

Source; Dataguest February 1987

Captive Silicon Production

In 1985, eight semiconductor manufacturers grew single crystal silicon to produce wafers for internal consumption. In the United States, these companies were AT&T, Delco, Fairchild, IBM, Motorola, and Texas Instruments. (As previously noted, Fairchild closed its silicon operations in June 1985; Delco stopped internal silicon production in the spring of 1986.) Hitachi in Japan, through its subsidiary, Hitachi Ohme Denshi (Yanai City, Yamaguchi), and Philips in Europe also perform captive silicon production. Table 23 contains Dataguest's estimates of the silicon produced, purchased, and consumed by these eight semiconductor manufacturers in 1985. No distinction is made between silicon and epitaxial wafers in the MSI estimates for the captive silicon producers.

44 © 1987 Dataguest Incorporated February SEMS Markets and Technology

Page 153: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 23

CAPTIVE SILICON PRODnCTION AND CONSUMPTION, 1985 (Million Square Inches)

Company

AT&T Delco Fairchild* Hitachi IBM Motorola . Philips Texas Instruments

Location

United States United States United States Japan United States United States Europe United States

Silicon Production

MSI Percent

21 4 3 20 54 23 7 45

60% 57% 17% 30% 60% 55% 30% 75%

Si licon Purchases

MSI

14 3 15 47 36 19 16 15

Percent

40% 43% 83% 70% 40% 45% 70% 25%

Consumed

35 7 18 67 90 42 23 60

Total 177 52% 165 48% 342

*Fairchild's silicon production based on Dataguest estimate of four months operation in 1985

Source: Dataguest February 1987

Total Million Square Inch Marhet

Table 24 combines 1985 million sguare inch sales by the merchant silicon companies (Table 22) and captive silicon production (Table 23) in the four regions of the world. Captive silicon production has been allocated to the semiconductor manufacturer's home region. Internal production by the captive silicon producers, at 150 MSI, accounts for a sizable percentage of the U.S. million sguare inch market of 490 MSI. The captive silicon market in Japan and Europe is much smaller, and ROW has no captive silicon producers at this time. Japan has the largest share of the world million sguare inch market with 594 MSI, or 45.7 percent of the total sguare inch market in 1985.

SEMS Markets and Technology © 1987 Dataguest Incorporated February 45

Page 154: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 24

SILICON AlilD EPITAXIAL MILLION SQUARE INCH MABKET B7 REGION, 1985

Region Merchant Captive Total Percent

United States 340 150 490 37.7% Japan 574 20 594 45.7 Europe 143 7 150 11.5 ROW 6& Q §§. 5.1

Total 1,123 177 1,300 100.0%

Source: Dataquest February 1987

HISTORICAL AND FORECAST DEVICE PRODUCTION BY REGION

The market for silicon and other fabrication materials is dependent upon the level of semiconductor device production in the different regions of the world. This section presents Dataquest's historical and forecast production revenue for captive and merchant semiconductor manufacturers by region of production. The production revenue presented in this section was first published in the SEMS November 1986 newsletter, "The Changing Landscape of Capital Spending."

Historical Production Revenue by Region

Table 25 gives historical merchant and captive worldwide semiconductor production from 1981 through 1986. In this table. United States refers to device production in the United States by either a U.S., Japanese, European, or ROW semiconductor manufacturer. A similar definition applies to producers of semiconductor devices in Japan, Europe, and ROW. (Please note that the regional designation. United States, includes Canadian semiconductor manufacturing activities.)

46 © 1987 Dataquest Incorporated February SEMS Markets and Technology

Page 155: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 25

TOTAL HISTORICAL SEMICONDUCTOR PRODUCTION BY REGION*, 1981-1986 (Millions of Dollars)

CAGR 1981 1982 1983 1984 1985 1986 1981-1986

United States Merchant Prod. $ 7,267 $ 7,260 $ 8,850 $13,428 $10,679 $12,223 11.0% Growth (0.1%) 21.9% 51.7% (20.5%) 14.5%

Captive Prod. 2,466 2,730 3,456 3,733 3,643 4,035 10.4% Growth 10.7% 26.6% 8.0% ( 2.4%) 10.8%

United States $ 9,733 $ 9,990 $12,306 $17,161 $14,322 $16,258 10.8% Growth 2.6% 23.2% 39.5% (16.5%) 13.5%

Japan 5,252 5,584 7,722 12,007 10,655 14,292 22.2% Growth 6.3% 38.3% 55.5% (11.3%) 34.1%

Europe 2,237 2,289 2,434 3,428 3,150 3,793 11.1% Growth 2.3% 6.3% 40.8% (8.1%) 20.4%

ROW 45 98 170 240 339 368 52.2% Growth 117.8% 73.5% 41.2% 41.3% 8.6%

Worldwide Product ion $17,267 $17,961 $22 ,632 $32,836 $28,466 $34,711 15.0%

Growth 4.0% 26.0% 45.1% (13.3%) 21.9%

*Region of dev ice p roduc t ion , no t country of company ownership

Source: Dataquest February 1987

Reference : 1186NL

SEMS Ma rkets and Techno logy © 1987 D a t a q u e s t I n c o r p o r a t e d F e b r u a r y 47

Page 156: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Production Revenue* Local Currency . - Due to large fluctuations in exchange rates during the last few years, historical Japanese and European semiconductor production revenue is translated into local currencies in Table 26. This is done to more accurately reflect fluctuations in the semiconductor business cycle and thus provide a more appropriate gauge of silicon use. (Currency exchange rates for ROW countries are more closely tied to the U.S. dollar; thus no currency translation is presented for this region.) For comparison, production revenue for Japan and Europe is presented in both dollars and local currency.

Table 26

HISTORICAL SEMICONDUCTOR PRODnCTIOH REVENUE IN LOCAL CURRENCY, JAPAN AND EUROPE

(Millions of Dollars, Billions of Yen, Billions of European Local Currency Units)

1981-1986

Japan ($M) Growth

Exchange Rate (¥/$)

Japan (VB) Growth

Europe ($M) Growth

Exchange Rate (ELC/$)*

Europe (ELC-B) Growth

1981

5,252

221.3

1,162

2,237

112.8

252.4

1982

5,584 6.3%

248.6

1,388 19.4%

2,289 2.3%

128.9

295.0 16.9%

1983

7,722 38.3%

237.9

1,837 32.3%

2,434 6.3%

143.8

349.9 20.8%

1984

12,007 55.5%

237.0

2,846 54.9%

3,428 40.8%

162.4

556.8 55.9%

1985

10,655 (11.3%)

238.0

2,536 (10.9%)

3,150 (8.1%)

168.5

530.7 (13.5%)

1986

14,292 34.1%

167.0

2,387 (5.9%)

3,793 20.4%

136.1

516.3 f2.7%>

CAGE 1981-1986

22.2%

15.5%

11.1%

15.4%

*ELC = European Local Currency Units Base Year, 1978 - 100 Local Currency Units

Source: IMF Dataguest February 1987

48 © 1987 D a t a g u e s t I n c o r p o r a t e d Feb rua ry SEMS Ma rkets and Techno logy

Page 157: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

The conve r s ion from dollar revenue to yen is a straightforward procedure. However, for Europe it is necessary to establish a yearly weighted average of all the individual European currencies. This average is weighted according to the semiconductor consumption of each country and therefore is more relevant as an average currency indicator for the European semiconductor industry. For convenience, this weighted average has been calculated from a base of IQO in the year 1978. The weighted average can be used to interpret the effect of the European currency fluctuations with respect to the U.S. dollar in a given year. It can also provide a better measure of aggregate local currency growth as opposed to U.S. dollar growth. Dataguest uses International Monetary Fund (IMF) average foreign exchange rates for historical data.

Note that while Japanese production revenue grew by 34.1 percent in dollars in 1986, in yen, it was down almost 6 percent. European production revenue was also dramatically affected by currency exchange rates in 1986. Its production revenue grew by 20.4 percent as measured in dollars but was down 2.7 percent as measured in local currency units.

SEMS Markets and Technology © 1987 Dataguest Incorporated February 49

Page 158: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Forecast Production Reveime By Region

Table 27 presents Dataguest's forecast of production revenue for merchant and captive semiconductor manufacturers by region of production.

Table 27

TOTAL FORECAST SEMTCOMDUCTOR PRODUCTION BY REGION*, 1986-1991, (Millions of Dollars)

CAGR 12M 1987 1988 1989 1990 1991 1987-1991

United States Merchant Prod. $12,223 $14,599 $18,923 $17,959 $20,638 $25,852 15.4% Growth 14.5% 19.4% 29.6% ( 5.1%) 14.9% 25.3%

Captive Prod. 4,035 4,737 5,561 6,529 7,665 8,999 17.4% Growth 10.8% 17.4% 17.4% 17.4% 17.4% 17.4%

United States $16,258 $19,336 $24,484 $24,488 $28,303 $34,851 15.9% Growth 13.5% 18.9% 26.6% 0 15.6% 23.1%

Japan 14,292 16,555 21,104 21,253 24,088 29,181 15.2% Growth 34.1% 15.8% 27.5% 0.7% 13.3% 21.1%

Europe 3,793 4,734 6,090 5,890 7,359 8,936 17.2% Growth 20.4% 24.8% 28.6% ( 3.3%) 24.9% 21.4%

ROW 368 510 750 827 1,063 1,305 26.5% Growth 8f6% 38.6% 47.1% 10.3% 28.5% 22.8%

Worldwide Produc t ion $34,711 $41,135 $52,428 $52,458 $60,813 $74,273 15.9%

Growth 21.9% 18.5% 27 .5% 0 . 1 % 15.9% 22 .1%

•Region of device p roduc t ion , not country of company ownership

Source: Dataguest February 1987

Reference: 1186HL

50 © 1987 D a t a g u e s t I n c o r p o r a t e d F e b r u a r y SEMS Ma rkets and Techno logy

Page 159: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

HISTORICAL AKD FORECAST SILICON CONSUMPTIOH BY REGION

Dataquest assumes that, in a given year, the total square inches of silicon sold by the merchant silicon companies or produced by the captive silicon producers will be consumed by semiconductor manufacturers in that same year. This corresponds to an inventory flow at steady state conditions. With that assumption in mind, the historical and forecast silicon market is presented.

Historical Silicon Consumption by Region

Table 28 contains Dataquest's historical silicon consumption by region. In 1985, all regions except the ROW and the United States captive semiconductor manufacturers had reduced silicon consximption from 1984 values as a result of the industry downturn. U.S. merchant semiconductor manufacturers were worst hit by the industry recession and reduced their silicon consumption by almost 40 percent. Europe and Japan, while down in silicon consumption, did not drop as significantly as the United States, and ROW experienced modest growth in silicon consumption.

In 1986, semiconductor manufacturers producing in the U.S. increased silicon consumption on the order of 15 percent, whereas production revenue grew 13.5 percent in the same year. In contrast, Japanese silicon consumption in 1986 was down 3.5 percent in MSI when compared with 1985 levels as the combined effects of yen appreciation, increased trade friction, and reduced capital spending stalled Japan's semiconductor industry recovery. Although Japanese production increased 34.1 percent in dollars in 1986, production revenue in yen was down 5.9 percent, which tracked closely with the change in silicon consumption. European silicon consximption in 1986 remained at its 1985 level of 150 MSI. Although European semiconductor production revenue grew by 20.4 percent as measured in dollars, production in local currency was down by 2.7 percent, again closely tracking with the change in silicon consumption. ROW showed a healthy increase of silicon consumption in 1986, on the order of 20 percent from 1985 levels.

SEMS Markets and Technology © 1987 Dataquest Incorporated February 51,

Page 160: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 28

TOTAL HISTORICAL SILICON CONSUMPTION BY KEGION*, 1981-1986 (Million Square Inches)

United States Merchant Mfg. Growth

Captive Mfg. Growth

United States Growth

Japan Growth

Europe Growth

ROW Growth

Worldwide Silicon Growth

1981

356

114

470

216

101

12

799

1982

361 1.3%

119 4.0%

480 2.1%

266 23.1%

103 2.0%

26 116.7%

875 9.4%

1983

453 25.6%

113 (5.3%)

566 17.9%

428 60.9%

112 8.7%

46 76.9%

1,152 31.7%

1984

570 25.8%

137 21.2%

707 24.9%

661 54.4%

160 42.9%

63 37.0%

1,591 38.1%

1985

344 (39.6%)

146 6.6%

490 (30.7%)

594 (10.1%)

150 (6.3%)

66 4.8%

1,300 (18.3%)

1986

429 24.8%

135 t7.9%)

564 15.0%

573 (3.5%)

150 0%

79 19.7%

1,366 5.1%

CAGR 1981-1986

3.8%

3.4%

3.7%

21.6%

8.2%

45.8%

11.3%

*Region of silicon consumption, not country of company ownership

Source: Dataquest February 1987

Forecast Silicon Consumption by Region

Table 29 contains Dataguest's forecast of silicon consumption by captive and merchant semiconductor manufacturers by region of device production. In general, we believe that the growth in silicon consumption will follow growth in device production revenue in each of the four regions through 1991. We believe the long-term trend will be

52 © 1987 Dataquest Incorporated February SEMS Markets and Technology

Page 161: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

for s i l i c o n consiunption (in MSI) to grow more slowly than production revenue (as measured in dollars). This translates to a slowly increasing revenue per square inch as a function of time. Factors that increase revenue per square inch include higher device ASPs and device yields. ASPs will increase as higher-valued products such as ASICs become a larger percentage of the product mix. Yields should improve as manufacturers focus on tighter particulate control and achieve shorter cycle times through manufacturing automation. However, as devices become more complex, die size typically increases. This will slow the upward trend of average revenue per square inch since yields drop with proportionately larger chips.

Table 29

TOTAL FORECAST SILICON CONSUMPTION BY REGION*, 1986-1991 (Million Square Inches)

United States Merchant Mfg. Growth

Captive Mfg. Growth

United States Growth

Japan Growth

Europe Growth

ROW Growth

Worldwide Silicon Growth

1986

429 24.8%

135 n.9%)

564 15.0%

573 (3.5%)

150 0

79 19.7%

1,366 5.1%

1987

514 19.8%

158 17.4%

672 19.3%

651 13.6%

182 22.4%

99 38.6%

1,605 17.5%

1988

681 32.4%

185 17.4%

867 28.9%

814 25.0%

229 26.1%

146 47.1%

2,056 28.1%

1989

586 (13.9%)

218 17.4%

804 (7.2%)

804 (1.3%)

218 (5.2%)

161 10.3%

1,986 (3.4%)

1990

708 20.8%

256 17.4%

964 19.9%

893 11.1%

266 22.5%

207 28.5%

2,330 17.3%

1991

910 28.4%

300 17.4%

1,210 25.5%

1,060 18.8%

317 19.0%

254 22.8%

2,841 21.9%

CAGR 1987-1991

15.4%

17.4%

15.8%

13.0%

14.9%

26.7%

15.4%

*Region of silicon consiimption, not country of company ownership

Source! Dataquest February 1987

SEMS Markets and Technology 1987 Dataquest Incorporated February 53

Page 162: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

WAFER SIZK

The changing mix of wafer size is an indicator of the penetration of leading-edge equipment and new fab capacity. Further, as wafer diameter increases, poly usage increases at a more rapid rate, due to greater wafer thickness at the larger diameters. Estimated percent consumption of MSI of silicon by wafer size for 1982 through 1991 is presented in Table 30. This information reflects Dataguest's estimate of wafer size consumption for semiconductor production in Nortih America.

Dataguest does not expect 125nim wafer consumption to reach the maximum levels of 100mm wafers in the United States. This is because the 125mm wafer is viewed as an interim step in the transition to 150mm wafers. The increase of 125mm wafer consumption to 30 percent of square inches in 1985 is believed to have been caused by difficulties that semiconductor manufacturers had in bringing on-line the fab equipment designed to handle 150mm wafers. This situation, coupled with the industry slowdown during 1985, increased 125mm wafer penetration, slowed the expected rise in 150mm wafer usage, and decreased 3-inch wafer usage.

The lOQmm wafer will continue to account for the highest percent of consumption (as measured in MSI) for U.S. production until 1989. The 150mm wafer category is expected to increase its share throughout the decade and, by 1991, is expected to account for approximately 52 percent of silicon MSI. Although several U.S. semiconductor manufacturers are working with 200mm wafers in research and development, Dataguest does not expect this wafer size to enter the production environment until 1988.

For convenience. Table 30 has been translated into percent wafer starts, presented in Table 31. Wafer starts are calculated by taking the percent consumption for a given wafer size in a given year, multiplying by the U.S. silicon consumption in that year, and dividing by the number of square inches in that given wafer size.

Note that 200mm wafers are forecast to be 4.6 percent of the wafer size mix as measured in MSI in 1991. This translates to only 1.9 percent of the wafer starts in that year. This seeming paradox is due to the proportionately larger area represented by a 200mm wafer—it is almost 1.8 times larger than a 150mm wafer, 2.5 times larger than a 125mm wafer, and 4.0 times larger than a 100mm wafer. In 1991, 100mm wafers will represent approximately 23 percent of the MSI wafer size mix, as compared with 150mm wafers at 52 percent. However, when calculated in wafer starts, an almost equal number of 100mm and 150mm wafers will be going through U.S. semiconductor processing lines in 1991.

54 © 1987 Dataguest Incorporated February SEMS Markets and Technology

Page 163: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 30

UNITED STATES MILLION SQUARE INCH DISTRIBUTION B7 WAFER SIZE (Percent Million Square Inches)

1982-1991

Diame te r

2 i n c h 3 i n c h lOOnun 125nun ISOiiun 200min

T o t a l MSI

1982

3.0% 45.0% 51.0%

1.0% 0.0% 0.0%

480

1983

2 .5% 33.0% 57.0%

6.0% 1.5% 0.0%

566

1984

2.0% 21.0% 63.0% 11.0%

3.0% 0.0%

707

1985

0.5% 6.8%

55 .3% 29.9%

7.5% 0.0%

490

1986

0.4% 5.9%

49.9% 28.9% 14.9%

0.0%

564

1987

0.4% 5 .1%

44.4% 27.7% 22.4%

0.0%

672

1988

0 .3% 4.2%

39.0% 25.7% 29.8%

1.0%

867

1989

0.2% 3.4%

33.5% 23.4% 37.2%

2.2%

804

S o u r c e :

1990

0.2% 2.5%

2 8 . 1 % 21 .1% 44.6%

3.4%

964

1991

0 . 1 % 1.7%

22.7% 18.9% 5 2 . 1 %

4.6%

1,210

D a t a q u e s t F e b r u a r y 1987

Table 31

UNITED STATES WAFER START DISTRIBUTION BY WAFER SIZE (Percent Million Wafer Starts)

1 9 8 2 - 1 9 9 1

Diame te r

2 i n c h 3 i n c h 100mm 125mm 150mm 200mm

1982

8.3% 5 5 . 1 % 36.2%

0.5% 0.0% 0.0%

1983

7.6% 44.4% 44 .5%

3.0% 0.5% 0.0%

1984

6.7% 31.4% 54.7%

6 .1% 1.2% 0.0%

1985

2 . 1 % 12.8% 60.5% 20.9%

3.6% 0.0%

1986

1.9% 11.8% 57.4% 21 .3%

7.6% 0.0%

1987

1.7% 10.7% 54.0% 21.6% 1 2 . 1 %

0.0%

1988

1.5% 9.4%

50.4% 21.2% 17 .1%

0 .3%

1989

1.2% 8.1%

46.4% 20.7% 22.9%

0.8%

1990

0.9% 6.5%

41.8% 2 0 . 1 % 29.5%

1.3%

1991

0.5% 4.6%

36.4% 19.4% 37.2%

1.9%

T o t a l (M) 5 5 . 5 5 9 . 6 6 7 . 0 3 6 . 8 4 0 . 3 4 5 . 4 5 5 . 1 4 7 . 8 5 3 . 3 6 1 . 9

S o u r c e : D a t a q u e s t F e b r u a r y 1987

SEMS Markets and Technology © 1987 Dataques t I n c o r p o r a t e d February 55

Page 164: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wiafer Markets

Table of Contents

HEADINS ERGE •L*l. 1

Sunnary 1 Introduction

Definitions and Ootnrenticms 2 Silicon And Epitaxial Wafers 3

Silicon Wafers 3 Epitaxial Wafers 4

Worldwide Merchant silicon Maricet 5 Mercihant Silicon Oonpany Activities 9

united states Silicon Ccnpanies 9 Ji%>anese silicon Ccnpanies 14 European Silicon Companies 22 ROW Companies 26

M urlcet Anzdysis - Company Market Share By Region 27 United states 28 Jaqpan 30 Europe 32 RCW 34 Worldwide Silicm and Epitaxial Sales 36

Market AneLL^is - Wafer Pricing 38 Waifer Pricing Pressures 41 Effects of Yen Appreciation 42

H urket Analysis By Million Square Inches 44 Merchant Silicon Companies 44 Ce ptive Silicon Production 45 Total Million square inch Haidcet 47

Historical And Forecast Device Production Ey Region 48 Historical Pzoduction Revenue by Region 48 Forecast Production Revenue by Region 49

Historical And Forecast Silicon Oonsusption By Region 50 Silicon Oonsunoption by Region 50 Wafer Size 52

'TTIT.T! TITU! PAGE

Table 1 Worldwide Mercihant Silicon Ocnpany Market Shaure, 1987 1

Table 2 Worldwide Merchant Silicm Compamies 6 Table 3 Worldwide Merchant Silicon and E^itax:Lal

Wafer Market, 1987 8 Table 4 Worldwide Merdhant Siliccm Wafer Market, 1987 8 Table 5 Worldwide Merdhant Epitaxied. Wafer

Majdcet, 1987 9 Table 6 Monsanto Electric Materis^s Coopany Silicon

Plant Locations 12 Taible 7 Konatsu Electronic Metaas Silicon Plant

Locations 15 Table 8 Js >an Silicon Plant Locaticois 16

Page 165: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

T3fflLE

Silicon and Epitaxial Wafer Markets

'I'lTlE EME

Table 9 Osaka Titaniun Gxoap Silicon Plant Locations 19 Table 10 Shin-Etsu Kmdotal Silicon Plant Locati<»is 20 Table 11 Toshiba Oeramics Silicon Plant Locations 22 Table 12 EMS Electronic Materials Silicon

Plant Locations 23 Table 13 Wadker Silicon Plant Locations 25 Table 14 U.S. Silicon and Epitaxial Wafer Market, 1987 29 Table 15 Je^Muiese silicon and Epitaxial Wafer

Market, 1987 31 Table 16 European Silicon and itaxial Weif er

Market, 1987 33 Table 17 ROW Silicon and Epitaxial Wafer Maricet, 1987 35 Table 18 Worldwide Silic«i and Epitaxial Wafer

Market, 1987 37 Table 19 1987 Regional Avaraige Selling Prices of

Silicon and Epitaxial Wafers Ey Weifer size 39 Table 20 Silicon and Epitaxial Wafers, itel ited

Average Selling Price By Region, 1985-1987 40 Table 21 Historical Vtafer Pricing, united States and

J2Q>an, Polished Czochralski Wafers, 1984-1987 41 Table 22 Merchant Silicon and Epitaxial Market

By Region, 1985-1987 45 Table 23 ce«>tive Silicon Producticm, 1985-1987 46 Table 24 Total Silicon and itaxial wafer Market

By Regicoi, 1985-1987 47 Table 25 Historical Semiconductor Production

By Region, 1982-1987 48 Table 26 Forecast Saniconductor Production

By Region, 1987-1992 49 Table 27 Historical Silicon oonsumption

By Regicm, 1982-1987 50 Table 28 Forecast silicm Oonsumptirai

By Region, 1987-1992 51 Table 29 United States Wafer Size Distribution,

1985-1992 (Percent Million Sgiiare Inches) 52 Table 30 United States wafer Size Distribution,

1985-1992 (Perosnt Killioo Wafer Starts) 53

FiHUKiaS Tims

Figure 1 Regionally Based SilioMi Oonpany in the United States, 1985-1987

Figure 2 Regionally Based Siliccm oonpazxy in Jef>an, 1985-1987

Figure 3 Regi«ially Based Silicon Oonpany in Europe, 1985-1987

Figtore 4 Regicmally Based Silicon Oonpany in ROW, 1985-1987

Figure 5 Regionally Based Silic«i oonpany in the World, 1985-1987

Market Share

Market Share

Market Share

Market Share

Market Share

PaGE

28

30

32

34

36

Page 166: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

SUMMARY

In 1987, silicon and epitaxial wafer sales to the worldwide semiconductor industry by merchant silicon companies was $1,836.0 million. Silicon wafers accounted for $1,406.7 million (76.6 percent) of this figure, and epitaxial wafer sales accoimted for $429.3 million (23.4 percent). These sales represented 1,171 million square inches of silicon wafers and 124 million square inches of epitaxial wafers. The regional average selling price of silicon wafers ranged from $0.85 to $1.47 per square inch, compared with $2.70 to $3.90 per square inch for epitaxial wafers. Several semiconductor manufacturers have captive production of silicon material, which accounted for an additional 113 million square inches of silicon that year. When merchant wafer sales are added to captive silicon production, total silicon consumption amounted to 1,408 million square inches in 1987.

Table 1 summarizes worldwide silicon and epitaxial wafer sales (and market share) for the top six merchant silicon companies in 1987. The market is strongly dominated by four Japan-based silicon companies, Monsanto of the United States, and Wacker of West Germany.

Table 1

Worldwide Merchant Silicon Company Market Share, 1987 (Millions of Dollars)

Company

Shin-Etsu Handotai Mitsubishi Metal Osaka Titanium Company Wacker Komatsu Electronic Metals Monsanto Others

Silicon and Epitaxial Wafer Sales

$ 484.7 265.3 235.5 214.8 197.3 185.0 253.4

Percent Share

26.4% 14.4 12.8 11.7 10.7 10.1 13.8

Total $1,836.0 100.0%

Note: Columns may not add to totals shown because of rounding.

Source: Dataguest July 1988

SEMS Markets and Technology 0000819

© 1988 Dataquest Incorporated July

Page 167: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

INTRODUCTION

This Study is Dataquest's analysis of the worldwide silicon and epitaxial wafer markets. It presents an overview of merchant silicon company products, market focus, and manufacturing activities. Market share for merchant silicon companies is tabulated by region of silicon and epitaxial wafer sales in 1987. The sales of these companies are estimated in U.S. dollars and converted to millions of square inches using an average selling price for each region. Captive silicon production is included in Dataquest's estimate of worldwide silicon consumption. Historical and forecast semiconductor device production revenue and silicon consumption are summarized by region. The study also presents Dataquest's historical and forecast wafer size distribution by million square inches and wafer starts for the United States. Please note that the regional designation "United States" includes Canadian semiconductor manufacturing activities.

Definitions and Conventions

Silicon Producers

Dataquest defines companies that produce silicon and epitaxial wafers as either merchant silicon companies or captive silicon producers. Merchant silicon companies are suppliers such as Monsanto Electronic Materials Company in the United States, Shin-Etsu Handotai (also known as SEH) in Japan, and Wacker in Western Europe. These three merchant silicon companies, along with approximately 30 other companies worldwide, produce the majority of the silicon consumed by the semiconductor industry today. The name Monsanto is used throughout this study to represent Monsanto Electronic Materials Company, unless otherwise noted.

Silicon is also produced to a lesser extent by both merchant and captive semiconductor manufacturers. Dataquest refers to these semiconductor manufacturers collectively as captive silicon producers because they grow single-crystal silicon to produce wafers for their own internal consumption. Captive producers with significant internal silicon production include AT&T, IBM, Motorola, and Texas Instruments in the United States, Philips in Europe, and Hitachi in Japan.

Semiconductor manufacturers with captive silicon production tend to be established, vertically integrated companies. In the early years of the semiconductor industry, the high cost of silicon provided sufficient economic justification for some semiconductor manufacturers to develop this internal capability. However, high-quality, low-cost silicon wafers have become readily available from a number of merchant silicon companies, and in response to such competitive factors, some semiconductor manufacturers have shut down their silicon operations. Fairchild closed its silicon wafer plant in Healdsburg, California, in Jime 1985, and later sold its silicon production equipment to Pentagood Training, Ltd., a Hong Kong firm, for $3.5 million. This equipment, in turn, was sold to the People's Republic of China. Delco, another semiconductor manufacturer with internal silicon production, discontinued its captive silicon Operations in the spring of 1986. Although Motorola continues to produce single-crystal silicon, the company closed its lOO-metric-ton polysilicon plant in the fall Of 1986.

© 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819

Page 168: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Merchant or Captive? Some captive silicon producers, such as Motorola and Fairchild (when it was actively producing silicon), have sold small amounts of material on the merchant silicon market. Such captive silicon producers have sold wafers in order to ensure that internal production methods continue to produce material of competitive quality and cost. Dataquest estimates that merchant sales for these companies historically have represented a small percentage of their total captive silicon production, and thus Dataquest identifies these companies as captive rather than merchant silicon producers.

- Dataquest identifies Toshiba Ceramics, a subsidiary of Toshiba Corporation, as a merchant silicon company even though a substantial amount of its silicon production is consumed by its semiconductor parent. However, because Toshiba Ceramics is actively marketing its material on the merchant market, Dataquest considers Toshiba Ceramics to be a merchant rather than a captive silicon producer. Toshiba Corporation is considered to be a customer of Toshiba Ceramics.

Silicon Products

Dataquest defines the merchant silicon wafer market in two product segments: silicon wafers and silicon epitaxial wafers. (Silicon wafers grown by both Czochralski and float zone methods are included.) In the silicon and epitaxial wafer markets analysis, Dataquest does not include sales of polysilicon, single-crystal silicon ingots, silicon materials used in solar applications, or compound semiconductor material substrates such as gallium arsenide.

Several different units are used to describe silicon wafers, including million square inches (MSI), million square centimeters, and wafer or slice equivalents. For the purpose of comparison, all silicon and epitaxial wafer quantities in this study will be defined in units of MSI. The conversion factor between square centimeters and square inches is 6.45 square centimeters per square inch. Wafer or slice equivalents can be translated into MSI by multiplying the number of wafers of a given size by the area of that wafer size as measured in square inches. As an example, 150,000 100mm equivalents are equal to 1.83 MSI.

Although polysilicon production is not a topic of this study, several merchant silicon companies produce polysilicon in addition to single-crystal silicon ingots and wafers. Information regarding poly plant locations and capacities for these companies is included in the merchant silicon company profiles.

SILICON AND EPITAXIAL WAFERS

Silicon Wafers

In the early days of the semiconductor industry, silicon was considered to be one of several materials with semiconductor potential. With the development of planar processing in 1960, polysilicon price reductions, and inexpensive plastic packaging for

SEMS Markets and Technology © 1988 Dataquest Incorporated July 3 0000819

Page 169: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

silicon transistors, silicon took the market from germanium as the basic element used to manufacture semiconductor devices. The history of silicon manufacturing in the United States, and the technology of single-crystal growth and wafer production can be found in two service sections located behind the Wafer Fabrication Materials tab in this binder. These sections are entitled "Silicon History" and "Silicon Technology."

Epitaxial Wafers

Epitaxial processing produces a layer of single-crystal material that has the same crystallographic orientation as the underlying substrate. It is possible to design the epitaxial layer to meet well-defined chemical, physical, and electrical specifications. The epitaxial layer of material is produced by a chemical vapor phase deposition reaction upon a polished substrate, which also has been manufactured to tightly controlled specifications. The substrate of the epi wafer may be doped vmiformly or may include buried layers (isolated regions of heavy doping diffused in a lightly doped substrate). Uniformly doped substrates are used typically in CMOS applications while buried layers are used in bipolar device structures.

CMOS Epi

An area where epitaxy has become important is in CMOS device fabrication. CMOS circuits are especially vulnerable to a condition known as latch-up. In CMOS construction, n-type and p-type wells are in sufficiently close proximity to create parasitic n-p-n-p (silicon-controlled rectifier type) switching structures. Latch-up occurs when such parasitic devices turn on due to a transient condition such as forward biasing produced by a voltage spike. In latch-up, the CMOS device presents a near short-circuit condition across the power supply. The adverse effects of this can range from the interruption of further circuit operation until the condition is removed to physical destruction of the chip. With CMOS design rules approaching the 1-micron regime and gate oxide thicknesses approaching 100 angstroms, latch-up becomes more of a problem. High-resistivity epi layers on low-resistivity substrates is now used as a technique for latch-up hardening (prevention).

Although CMOS epi has been touted as a solution to the problem of latch-up, most semiconductor manufacturers have found the additional cost of an epitaxial wafer (typically 2.5 to 3.0 times the cost of a silicon wafer) prohibitive. To meet the market prices of low-end products, a manufacturer using epi material would have to give up considerable margin and hence profitability. This may be one of the reasons that Texas Instruments reportedly began its 256K DRAM production on epitaxial wafers and later converted to a non-epi process. Dataquest does not expect epi wafers to be used in high-density DRAM device fabrication until the 4-Mbit DRAM era.

In Japan, merchant epi wafer applications are broad based and include discrete and bipolar IC applications in addition to a small percentage of merchant epi wafers for CMOS devices. In contrast, merchant epi wafer applications in the United States have been focused primarily on high-performance, fast CMOS logic and memory devices.

© 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819

Page 170: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

It appears that there is a shortage of CMOS epi wafers in the United States in 1988. This is because both the merchant silicon companies and semiconductor manufacturers with internal epi operations have not been bujdng epi reactors over the last few years, since both groups have been waiting for new generations of epi reactors to provide high-throughput, low-cost operations. At the same time, more and more fab capacity is being devoted to CMOS technology. In 1987, CMOS represented 41 percent of the square-inch capacity in the United States. In particular, 11 j)ercent was CMOS capacity that was new or upgraded in 1987. In response to this increased epi demand, several merchant silicon companies are expanding their epitaxial wafer capacity at U.S. wafer facilities. In the meantime, while this new capacity is coming on-line, some semiconductor manufacturers will have to scramble for a source of CMOS epi wafers. Dataquest believes, however, that with the new expansions, there will be sufficient capacity available to meet demand from U.S. semiconductor manufacturers in 1989.

Epitaxial Services—^Japanese Style

Semiconductor manufacturers in the United States have three sources of epitaxial silicon wafers: larger merchant silicon companies (such as Monsanto, SEH America, and Wacker), small custom epitaxial houses, or internal epitaxial wafer production. Japan has no equivalent to the United States' small custom epitaxial houses, so Japanese silicon companies have taken on the responsibility for providing custom epitaxial wafer specifications. For example, in the case of buried layers for bipolar IC applications, a Japanese silicon wafer company will obtain the photomask from a customer and perform the lithography, selective diffusion, and deposition of the epitaxial layer. This "fabricated" epitaxial wafer with its buried layer is then returned to the customer. This allows the Japanese semiconductor manufacturer to minimize investment in equipment and processes, and instead devote resources to design and new process development. Dataquest believes that the practice of providing photomasks to silicon manufacturers for buried layer processing is far more prevalent in Japan than in the United States because of the close relationship between vendor and customer in Japan.

WORLDWIDE MERCHANT SILICON MARKET

Table 2 contains a list of merchant silicon manufacturers that were active in the world market in 1987. This list, organized by region of corporate ownership, summarizes whether a company offers silicon and/or epitaxial wafers. Eleven of the 32 companies are United States-based silicon suppliers, 10 are Japan-based, 6 are Europe-based, and 5 are based in ROW, in particular, Korea and Taiwan. Since 1985, three U.S. merchant silicon companies—NBK Corporation, Siltec Corporation, and U.S. Semiconductor—have been acquired by Japanese corporations. These three companies are listed in Table 2 under their respective Japanese corporate parents. Table 2 also indicates that three companies recently closed their silicon operations—Silicon Services in 1986 and Gensil and Rhone-Siltec in 1987.

SEMS Markets and Technology © 1988 Dataquest Incorporated July 0000819

Page 171: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Of the 32 merchant silicon companies actively supplying wafers in 1987, 16 manufacturers supply epitaxial wafers, 25 supply silicon wafers, and 9 companies provide both types of wafers. Three companies—NBK Corporation, Siltec Corporation, and Showa Denko—expect to be producing epitaxial wafers in the 1988 time frame. (These three companies are not included in the count of epitaxial wafer suppliers in 1987.)

The manufacturing activities of these 32 merchant silicon companies are summarized in the following sections. Silicon wafer companies in Brazil and India (not listed in Table 2) are discussed in the section entitled "ROW-Based Silicon Companies."

Table 2

Worldwide Merchant Silicon Companies

Cpmpeny

U.S. Companies Cincinnati Milacron Crysteco, Inc. Epitaxy, Inc. Gensil* General Instruments Power Semiconductor

M/A-COM Monsanto Electronic Materials Company Pensilco Recticon

Division

Spire Corporation Virginia Semiconductor

Silicon Wafers —"

X

X

X X X

X

Epj Ltazial Wafers

• X

X

X X

X

X

Japanese Companies Kawasaki Steel NBK Corporation Komatsu Electronic Metals Mitsubishi Metal Japan Silicon Siltec Corporation Nittetsu Denshi Osaka Titanium Company U.S. Semiconductor Shin-Etsu Handotai Showa Denko Toshiba Ceramics

X X

X X X X

X X X

(X-1988) X

X (x-1988)

X X X X

(X-1988) X

(Continued)

© 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819

Page 172: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 2 (Continued)

Worldwide Merchant Silicon Companies

silicon Epitaxial Company Wafers Wafers

European Companies DNS Electronic Materials X X Okmetic X Rhoue-Siltec* X Siltroniz SA X Topsil Semiconductor Materials A/S X Wacker X X

ROW Companies Korea

Korsil X Lucky Advanced Materials, Inc. X

Taiwan Hermes Epitaxy X Sino-America X Tatung Company X

*Gensil and Rhone-Siltec closed operations in 1987. Silicon Services (not listed)/ a small United States-based epitaxial wafer supplier, left the market in 1986.

Source: Dataquest July 1988

Tables 3, 4, and 5 present the combined and separate sales of silicon and epitaxial wafers by regionally based merchant companies to given regions of the world. For example, in these tables, Shin-Etsu Handotai's worldwide sales, which include the sales of Shin-Etsu's U.S. subsidiary, SEH America, are included under the heading "Regional Corporate Ownership—Japan." Similarly, the worldwide sales of Europe-based Wacker Chemitronic include the sales of its U.S. affiliate, Wacker Siltronic. The activities of each of the regionally based merchant silicon companies are discussed in the sections to follow. Market share analysis for silicon and epitaxial wafer sales in each of the four regions of the world are presented in a later section.

SEMS Markets and Technology © 1988 Dataquest Incorporated July 0000819

Page 173: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 3

Worldwide Merchant Silicon and Epitaxial Wafer Market, 1987 (Millions of Diollars)

Region of Sales

United States Japan Europe ROW

Total

Percent

Regiona l Corporate United States

$176.2 23.8 31.9 22,9

$254.8

13.9%

Japan

$ 126.1 1,108.4

34.4 11.3

$1,280.2

69.7%

Ownership Europe

$ 90.1 9.9

154.7 38,4

$293.1

16.0%

ROW

0 0 0

$7.9

$7.9

0.4%

Total

$ 392.4 1,142.1 221.0 80.5

$1,836.0

100.0%

Note: Columns may not add to totals shown because of rounding.

Source; Dataguest July 1988

Table 4

Worldwide Merchant Silicon Wafer Market, 1987 (Millions of Dollars)

Region of Sales

United States Japan Europe ROW

Total

Percent

Un Reaional Coroorate

ited States

$111.1 22.0 21.3 17.0

$171.4

12.2%

Japan

$105.9 827.1 31.0 10.6

$974.6

69.3%

Ownership Europe

$ 79.1 9.9

126.8 38.2

$254.0

18.1%

ROW

0 0 0

$6,7

$6.7

0.5%

Total

$ 296.1 859.0 179.1 72.5

$1,406.7

100.0%

Note: Columns may not add t o t o t a l s shown because of rounding .

Source: Dataquest July 1988

© 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819

Page 174: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 5

Worldwide Merchant Epitaxial Wafer Market, 1987 (Millions of Dollars)

Region of Sales

United States Japan Europe ROW

Total

Percent

Regional Coxporate Ownership United States

$65.1 1.8

10.6

5,9

$83.4

19.4%

J?ip?'»

$ 20.2 281.3 3.4 0.7

$305.6

71.2%

Europe

$11.0 0

27.9 0,2

$39.1

9.1%

ROW

0 0 0

$;-3

$1.2

0.3%

Total

$ 96.3 283.1 41.9 8.0

$429.3

100.0%

Note: Columns may not add t o totals shown because of rounding.

Source: Dataguest July 1988

MERCHANT SILICON COMPANY ACTIVmES

United States Silicon Companies

Eleven United States-based merchant silicon companies supplied the semiconductor industry with wafers in 1987. These manufacturers accounted for $254.8 million in silicon and epitaxial wafer sales, or 13.9 percent of the world's $1,836.0 million silicon and epitaxial wafer market in 1987. Two of the eleven merchants accoimted for 86.0 percent of the United States-based silicon and epitaxial wafer manufacturers' sales; these are Monsanto and Cincinnati Milacron. The remaining nine companies are of two categories: small silicon wafer manufacturers and small custom epitaxial houses. (Note: Monsanto is the only United States-based silicon manufacturer to supply both silicon and epitaxial wafers to the semiconductor industry.) In particular, these smaller silicon and epitaxial houses are pursuing niche markets by providing relatively small quantities of material that meet customers' specific requirements. The smaller companies, for the most part, have chosen not to compete with the larger silicon companies for commodity wafer sales.

SEMS Markets and Technology 0000819

© 1988 Dataqiiest Incorporated July

Page 175: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Cincinnati Milacron

Cincinnati Milacron is the largest epitaxial wafer supplier in the United States, with epi wafer sales of approximately $34.0 million in 1987. Cincinnati Milacron's manufacturing facility is in Maineville, Ohio. Dataquest estimates that approximately 70 percent of the company's epi business is for discrete semiconductor devices, with the remaining 30 percent directed at CMOS applications. Cincirmati Milacron builds its own epitaxial reactors, which are not for sale on the commercial epitaxial reactor market. In 1985, the Maineville manufacturing facility was expanded. When fully equipped, it would have production capacity to generate $125.0 million in epitaxial wafer sales per year. In addition to epitaxial wafers, the company is a major manufacturer of processing systems for the metalworking and plastics industries. It also builds robots and metrology and inspection systems for industrial automation applications.

In February 1988, Cincinnati Milacron announced a reorganization strategy that includes plans to sell its Semiconductor Materials (epitaxial wafers) division, in addition to restructuring its machine-tools and robot operations. The company posted a fourth-quarter charge of $83 million related to the restructuring program. This charge included a $17 million write-down in assets of its epitaxial wafer division.

Crysteco, Inc.

Crysteco, Inc., of Wilmington, Ohio, supplies silicon wafers to the semiconductor industry. The company specializes in supplying arsenic-doped silicon material. All material grown is Czochralski silicon, though Dataquest believes that Crysteco provides some slice and polish services for float zone ingots. Dataquest's estimate for Crysteco's wafer sales in 1987 is $9.5 million, which places Crysteco as the second-largest United States-based supplier of silicon wafers, after Monsanto. In addition to selling wafers, the Company sells a small amount of single-crystal silicon ingots.

Epitaxy, Inc.

Epitaxy, Inc., is an epitaxial wafer company in Santa Clara, California; the company was established in 1972. Dataquest estimates that Epitaxy, Inc., had epitaxial wafer sales of $7.0 million in 1987, of which 65 percent was outside of the United States. Epitaxy, Inc., does not grow any substrate material, but rather purchases it from other merchant silicon manufacturers. Like the other small custom epi houses, it is pursuing niche market applications and has opted not to compete in the CMOS epi wafer market.

General Instruments—Power Semiconductor Division

The Power Semiconductor Division of General Instruments (Westbury, New York) manufactures epitaxial wafers. Most of its epi wafers are used in-house, but a small number is sold on the merchant market. Major applications for its epitaxial wafers include microwave and radio frequency (RF) discrete devices.

10 © 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819

Page 176: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Gensil

Until it ceased oi>erations in September 1987, Gensil was a small silicon wafer company located in Garland, Texas. It manufactured silicon wafers to custom Specifications for discrete device applications (such as zener diodes, which require tight resistivity profiles) and provided silicon substrate suitable for epitaxial deposition. Gensil was closed by its corporate parent. General Semiconductor Industries, a Tempe, Arizona, semiconductor device company that manufactures discrete devices such as diodes and switching and power transistors. Gensil provided silicon wafers from 1 inch to 100mm in diameter.

M/ACOM

M/A-COM Semiconductor Products (Burlington, Massachusetts) produces epitaxial Silicon wafers for the semiconductor industry. It offers epi wafers from 3 inches to 125mm in diameter. These wafers are used primarily in discrete device applications, with a small amount used in CMOS processing as well.

In 1985, M/A-COM produced 50 percent of its silicon substrate material and purchased 50 percent from other companies. In 1986, however, M/A-COM decided to curtail its single-crystal growth operations. The Company now obtains all of its silicon substrate requirements from other merchant silicon companies.

Monsanto Electronic Materials Company

Monsanto Electronic Materials Company (headquartered in Palo Alto, California) is the largest United States-based silicon company and ranks sixth in the world, with silicon and epitaxial wafer sales of $185.0 million in 1987, or 10.1 percent of the $1,836.0 million world market. Monsanto Electronic Materials Company is one of the operating groups of the Monsanto Company, a St. Louis-based chemical giant with sales of $7.64 billion in 1987. (Please note that the name Monsanto is used throughout this Study to represent Monsanto Electronic Materials Company, tmless otherwise noted.) Table 6 summarizes Monsanto's silicon facilities and plant activities. In Table 6, polysilicon refers to the location of a polysilicon plant; single-crystal ingot refers to the growth of silicon ingots at a given location; and wafers refers to the slicing, lapping, and polishing activities associated with wafer preparation.

Monsanto's polysilicon and single-crystal operations are United States-based. Polysilicon capacity (the facility currently is not operational) is approximately 210 metric tons at the Saint Peters plant. Both the Saint Peters plant and the newer Spartanburg facility grow single-crystal silicon ingots and produce wafers; epitaxial wafer production is done in Saint Peters. Wafer capacity at Monsanto's U.S. facilities is approximately 325 MSI. Monsanto's overseas facilities obtain single-crystal silicon and etched wafers from the U.S. plants to produce polished wafers for their respective local markets.

SEMS Markets and Technology © 1988 Dataquest Incorporated July 11 0000819

Page 177: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 6

Monsanto Electronic Materials Company Silicon Plant Locations

Location

Saint Peters, Missouri Spartanburg,

South Caro l ina Kuala Lumpur Gumi

Country

United States

United States Malaysia South Korea

PolYsilicon

z

Single-Crystal Ingots

X

X

Technical Wafers Center

X X X

Utsonomiya, Tochigi Japan X X Milton Keynes United Kingdom --- X X

Source: Dataguest July 1988

Three new offshore wafer facilities, in Korea, Japan, and the United Kingdom, became operational in 1986. Each new plant has a 20 MSI wafer capacity, which can be expanded for future requirements. This offshore wafer manufacturing is part of Monsanto's strategy to better penetrate overseas markets.

Korean Activities. Monsanto's Korean silicon facility is a 50-50 joint venture with Dongbu Industrial Company. The joint venture is named Korsil Company, Ltd., and is located in Gumi, adjacent to the country's developing semiconductor industry. As mentioned previously, the facility has an initial capacity of 20 MSI, however it has been designed for a capacity increase of up to 5 million 100mm wafers, or 60 MSI. (Please note that Korsil's silicon wafer sales are listed separately from Monsanto's sales.) Other Monsanto activities in Korea have included an agreement to become a major supplier of wafers to Samsung, Korea's largest semiconductor manufacturer. Monsanto has been working with Samsung engineers to customize wafer specifications to Samsimg's processing requirements.

Japanese Activities. Dataquest estimates that Monsanto is the largest non-Japanese supplier of silicon and epitaxial wafers in Japan, with 1987 sales of $23.4 million, or 2.0 percent of the $1,142.1 million Japanese market. Dataquest estimates that other foreign silicon company wafer sales accounted for an additional 1.0 percent of market share in this region in 1987. Even with the favorable currency exchange rate and the political pressures of trade imbalance between the United States and Japan, foreign-based silicon company market share has increased only marginally from a 2.3 percent share in 1985 to 3.0 percent in 1987.

12 © 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819

Page 178: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Pensilco

Pensilco is a small silicon wafer manufacturer in Bradford, Pennsylvania. It Specializes in small-diameter wafers, which range from 1 inch to 100mm in diameter. It provides customized silicon wafers, especially for zener diode fabrication. The company Started its silicon operations in 1958 imder the name of Allegheny Electronics; the Pensilco name was adopted in 1976.

Recticon

Recticon is a small silicon wafer company in Pottstown, Pennsylvania. Dataquest estimates that Recticon's 1987 worldwide silicon wafer sales were $7.0 million. Rockwell International sold Recticon to Walker International in the first quarter of 1986. (Walker plans to use the acquisition to move out of its traditional business, photo processing.) Recticon has established a niche business supplying very thin and smaller-size wafers, but currently can produce wafers from 1 inch to 12Smm in diameter. In addition, Recticon specializes in growing single-crystal silicon with imusual crystal orientations. Recticon has attracted interest from semiconductor R&D groups that want to use silicon wafers with unusual orientations as substrates for gallium arsenide-K)n-silicon processing.

Silicon Services

Silicon Services (Santa Clara, California) was a small, epitaxial wafer supplier in the Silicon Valley. (Dataquest believes that the company closed its operations in 1986.) Its primary product was epitaxial wafers for power transistors; other application markets included epitaxial wafers for bipolar processing and a small amoimt of CMOS. Silicon Services did not grow its own substrate material. Instead, it purchased from other merchant silicon companies or obtained the material directly from its customers (as in the case of bipolar applications where the customer had already prepared a buried layer, followed by ion implantation). Silicon Services supplied epitaxial wafers between 2 inches and 125mm.

Spire Corporation

Spire Corporation (Bedford, Massachusetts) supplies epitaxial silicon wafers to the semiconductor industry. Spire provides 2-inch, 3-inch, and 100mm epi wafers and focuses on meeting custom epitaxial specifications for microwave devices and discretes. The company has chosen not to pursue the MOS epitaxial wafer market. In addition to silicon epitaxial wafers, Spire supplies compound semiconductor epitaxial wafers and is a major manufacturer of MOCVD equipment.

Virginia Semiconductor

Virginia Semiconductor, a small silicon wafer manufacturer in Fredericksburg, Virginia, specializes in small-diameter wafers (from 1 to 3 inches) and offers very thin wafers of three-mil (0.003-inch) thickness. Founded in 1978, Virginia Semiconductor Started production of float zone and Czochralski single-crystal ingots in Jime 1979.

SEMS Markets and Technology © 1988 Dataquest Incorporated July 13 0000819

Page 179: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Japanese Silicon Companies

Ten Japan-based merchant silicon companies supplied the semiconductor industry with wafers in 1987. These ten manufacturers accounted for $1,280.2 million in silicon and epitaxial wafer sales. This amounted to 69.7 percent of the world's $1,836.0 million silicon and epitaxial wafer market that year. Dataquest estimates that Shin-Etsu Handotai's worldwide silicon and epitaxial sales were $484.7 million, or approximately 37.9 percent of the Japan-based silicon company sales. Japanese merchant silicon companies differ from the majority of their Europe- and United States-based competitors in that almost all ten Japanese companies provide the semiconductor industry with both epitaxial and silicon wafers.

Kawasaki Steel, NBK Corporation

NBK Corporation (Santa Clara, California) is a small silicon wafer manufacturer located in the Silicon Valley. In the third quarter of 1985, NBK was purchased by Kawasaki Steel for $9.36 million. The acquisition is part of the Japanese steelmaker's Strategy for diversification into electronic materials. Kawasaki Steel invested $8.3 million in NBK in 1986 to upgrade to 150mm wafer capability and improve silicon wafer quality control with a class-10 clean room environment; as a result, an incremental amoimt of capacity was added, on the order of 10 to 15 percent. In 1986, NBK Started sampling silicon wafers in Japan. Dataquest expects that with Kawasaki Steel's backing, NBK's wafer sales to Japan will increase as a percentage of total sales. NBK is expected to start supplying epitaxial wafers in 1988.

Dataquest estimates that NBK's wafer sales in 1987 were $7.0 million. In this market analysis, NBK is identified as a United States-based silicon company in 1985 and a Japan-based company in 1986 and 1987.

Komatsu Electronic Metals Co., Ltd.

Komatsu Electronic Metals, ranking fifth overall in worldwide sales, is a major supplier of silicon and epitaxial wafers to the Japanese market. Its 1987 sales were $197.3 million (10.7 percent worldwide market share). Komatsu Electronic Metals was incorporated in 1960 as an affiliate of Komatsu, Ltd.

Table 7 contains the locations and plant activities of Komatsu's silicon facilities. The Hiratsuka facility produces polysilicon, single-crystal silicon, and some wafers. The Kyushu Komatsu plant in Miyazaki produces most of the company's polished wafers. Komatsu's Nagasaki Plant was opened in 1985 and produces epitaxial wafers. Expansion to produce single-crystal silicon at Nagasaki was to be completed by Jime 1988. Komatsu supplies both Czochralski and float zone material to the semiconductor industry. It ranks as one of the three major float zone suppliers in the world (Shin-Etsu Handotai and Wacker are the others).

14 © 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819

Page 180: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 7

Komatsu Electronic Metals Silicon Plant Locations

Location

Head Off ice and Plan t Hiratsuka Ci ty , Kanagawa Prefecture

Nagasaki Plant Omura C i t y , Nagasaki Prefecture

Kyushu Komatsu E l e c t r i c Co . , Ltd . Kiyotake C i t y , Miyazaki Prefectu re

Polysilicon

X

Single-Crystal Ingots

X

X

Source:

Wafers

X

X

X

Dataguest July 1988

Komatsu both produces polysilicon for its own needs and purchases it from the merchant market to supplement its supply. Its polysilicon capacity is approximately 100 metric tons as of January 1987. Komatsu manufactures polysilicon by a proprietary method that employs monosilane gas. This method, known as the Komatsu method, uses crude silica as a source of silane gas that is refined to high purity, then decomposed into very high-purity polycrystalline silicon material. Union Carbide Corporation is the only polysilicon producer in the world that has licensed the Komatsu method. In addition to its internal usage of silane in polysilicon production, Komatsu Electronic Metals is also a major supplier of high-purity silane to the semiconductor industry for processing applications such as epitaxy and chemical vapor deposition.

Mitsubishi Metal Ccnporation

Mitsubishi Metal Corporation of Japan has two subsidiaries that are silicon wafer manufacturers: Japan Silicon (also known as Nippon Silicon or JASIL) and Siltec Corporation, which was acquired in 1986. Mitsubishi Metal ranked as the world's second-largest silicon and epitaxial wafer supplier in 1987, with sales from its two subsidiaries Of $265.3 million, or 14.4 percent of the $1,836.0 million world market. In addition to silicon wafers, Mitsubishi Metal is a major supplier of gallium arsenide wafers to the semiconductor industry.

Japan Silicon. Japan Silicon is a major Japanese supplier of silicon and epitaxial wafers. Dataquest estimates that Japan Silicon had 1987 world sales of $238.0 million.

SEMS Markets and Technology © 1988 Dataquest Incorporated July 15 0000819

Page 181: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

The history of Japan Silicon is thus: Japan Electronic, then part of Mitsubishi Metal, merged with Chisso Denshi to form a silicon operation called Toyo Silicon (Toyo means Asia in Japanese). Seven or eight years ago, Toyo Silicon's name was changed to Japan Silicon, which is often shortened to JASIL. Japan Silicon has been active in the sales and promotion of its products in the United States for the last two to three years.

Table 8 gives the location of Japan Silicon's manufacturing facilities and plant activities. Both the Ikuno and Noda facilities have single-crystal silicon operations and wafer production. The Noda Plant produces 3-inch to 200mm wafers, whereas the Ikuno Plant produces 125mm and 150mm wafers. Yamagata Silicon obtains ingots of single-crystal silicon from its sister plants and produces 100mm to 150mm wafers. Epitaxial wafer activity takes place at the Noda Plant.

Table 8

Japan Silicon Plant Locations

S i n g l e -Crystal

Location P o l y s i l i c o n Ingots Wafers

Ikuno Plan t Asaki D i s t r i c t , Hyogo Prefecture X X

Noda Plan t Noda C i ty , Chiba Prefecture X X

Yamagata S i l i c o n Yonezawa C i t y , Yamagata P refecture X

Source: Dataguest July 1988

Japan Silicon obtains most of its polysilicon materials from Hi-Silicon Co., Ltd. (also known as Kojundo Silicon), located in Yokkaichi City, Mie Prefecture. Hi-Silicon was formed in 1967 as a joint venture between Mitsubishi Metal and Osaka Titanium Company (OTC). Through this joint venture, Japan Silicon and OTC have been entitled to equal amounts of polysilicon capacity at the Hi-Silicon facility, which has a total capacity of 1,080 metric tons. In October 1987, Osaka Titanium aimounced that it had sold its 50 percent position in Hi-Silicon to Mitsubishi Metal for an undisclosed sum. In addition to its interest in Hi-Silicon, Mitsubishi Metal Corporation has a 12.25 percent equity position in Dow Coming's polysilicon subsidiary, Hemlock Semiconductor.

16 © 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819

Page 182: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Siltec Corporation. Siltec Corporation (Menlo Park, California) consists of two business units: Siltec Silicon, a merchant silicon wafer supplier; and Cybeq Systems, a manufacturer of production, transport, and test equipment used in silicon production operations. The company was founded in 1969 by Robert Lorenzini, a recognized pioneer in the field of crystal growing. (Please note that the name Siltec is used throughout this study to represent Siltec Corporation's business division, Siltec Silicon, imless otherwise noted.)

In September 1986, Siltec agreed to an acquisition by Mitsubishi Metal Corporation of Japan for approximately $33 million. The acquisition includes both Siltec Silicon and Cybeq Systems. Mitsubishi Metal Corporation is a multibillion dollar, multinational corporation headquartered in Tokyo. (Mitsubishi Metal and Mitsubishi Electric Corporation, the semiconductor manufacturer, are both members of the same industrial group, the Mitsubishi Group.) Mitsubishi Metal's wholly owned subsidiary, Japan Silicon (also known as Nippon Silicon or JASIL), is a major silicon manufacturer in Japan. The Siltec acquisition was financed 60 percent by Mitsubishi Metal, 30 percent by Mitsubishi Mining and Cement, and 10 percent by Mitsubishi Corporation.

Siltec began operations in Salem, Oregon, in October 1982. In mid-1985, Siltec's California silicon production facilities were relocated and consolidated in Salem from operations in Menlo Park and Mountain View. In November 1987, Siltec announced a 60 percent phased expansion and modernization program for the Salem facility. Scheduled completion for the $30 million modernization program is mid-1988. In February 1988, the company aimounced its decision to construct an epitaxial wafer facility adjacent to the Salem wafer plant. Siltec currently supplies epitaxial wafers through a manufacturing and technology agreement with Japan Silicon, Siltec's sister company in Japan.

Over the past several years, Siltec expanded its activities overseas through joint venture and technology licensing strategies. In 1984, Siltec entered into an agreement with Rhone-Poulenc of France to manufacture silicon wafers in France for distribution to semiconductor manufacturers in Western Europe. The joint venture, Rhone-Siltec, began pilot production in September 1985 at a facility near Mantes-la-Jolie (100 kilometers west of Paris). Rhone-Siltec, with a capacity of 20 MSI, focused on wafer slicing, polishing, and lapping operations. In the fourth quarter of 1987, the company closed its facility after three years of operation because the company was unprofitable.

In October 1985, Siltec atmounced the licensing of its silicon technology to Lucky Advanced Materials of Korea, an affiliate of the Lucky-GoldStar group. Lucky Advanced Materials agreed to pay Siltec $4 million plus royalties for the technology. The Lucky Advanced Materials silicon facility is in Gumi and started production in February 1987. Wafer capacity at this facility is on the order of 20 MSI. The Lucky Advanced Materials plant is the only facility in Korea that has single-crystal silicon growth and wafer production operations.

SEMS Markets and Technology © 1988 Dataquest Incorporated July 17 0000819

Page 183: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Nittetsu Denshi

Nittetsu Denshi is a new company supplying the semiconductor industry with silicon and epitaxial wafers. The company was established as a wholly owned subsidiary of Nippon Steel in June 1985. (Note: Nittetsu Denshi is also referred to as NSC Electron Corporation; NSC stands for lSIippon Steel Corporation and denshi refers to electronics.) The silicon wafer facility is in Hikari City, Yamaguchi Prefecture. Sampling of CZ polished wafers began in the fall of 1986, with production wafers available in April 1987. Nittetsu Denshi is focusing on 125mm and 150mm wafers and currently has capacity for 2 million polished wafers per year. Epitaxial wafer sampling commenced in the latter half of 1987.

Nippon Steel, Nittetsu Denshi's parent, is one of several Japanese steel manufacturers diversifying from its traditional stmset industry, steel, into the sunrise industries, such as electronic materials. In addition to financial backing, the steelmaker can provide its new venture with a strong background in support technologies for silicon manufacturing, such as molten materials processing, crystal growth control, and precision measurement.

Osaka Titanium Company

Osaka Titanium Company (OTC) is the Japanese semiconductor industry's third-largest silicon supplier, and with its U.S. subsidiary, U.S. Semiconductor, OTC ranked third in the world, with sales of $235.5 million (12.8 percent of the world market) in 1987. OTC is part of the Osaka Titanium Group along with Kyushu Electronic Metals Co. The company produces both silicon (Czochralski and float zone) and epitaxial wafers. OTC started research on silicon for semiconductor applications in 1957 and built its first polysilicon facility in 1960. In 1984, OTC completed a new poly facility in Amagasaki (current capacity of 720 metric tons per year).

Until recently, OTC also had access to polysilicon capacity at Hi-Silicon located in Yokkaichi City, Mie Prefecture. Hi-Silicon (also known as Kojundo Silicon) was established in 1967 as a joint venture between Mitsubishi Metal and Osaka Titanium Company in which both companies shared equally in the 1,080-metric-ton capacity of the Hi-Silicon plant. In October 1987, Osaka Titanium armounced that it had sold its 50 percent position in Hi-Silicon to Mitsubishi Metal for an undisclosed sum.

Kyushu Electronic Metals was established in 1973, with OTC and Sumitomo Metal Industries as the major stockholders. All of OTC's wafers are produced at the Kyushu Electronic Metals facilities in the Saga Prefecture. Kjoishu Electronic Metal's first wafer facility was built in 1975; its newest silicon plant, in Imari City, was completed in 1984. The Imari Plant has the capability of manufacturing 125mm, 150mm, 200mm, as well as 250mm wafers.

OTC is a fully integrated producer of silicon from trichlorosilane (source material for polysilicon) to the finished wafer. The company produces both silicon (Czochralski and float zone) and epitaxial wafers. Table 9 shows the locations and activities of silicon facilities within the Osaka Titanium Group, including U.S. Semiconductor.

18 © 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819

Page 184: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 9

Osaka Titamum Groiq> Silicon Plant LocaticHis

S i n g l e -Crystal

Location Polys11jgon Ingots Wafers

Osaka Titanium Company Head Off ice and Plan t .it' X

Amagasaki, Hyogo Prefecture Kyushu E lec tron i c Metal Co./ Ltd .

Head Off ice and Plan t X X Kishima D i s t r i c t , Saga Pre fec tu re

Imari P lan t Imari C i t y , Saga P r e f e c t u r e X

U .S . Semiconductor Fremont, C a l i f o r n i a X

Source; Dataguest July 1988

U.S. Semiconductor. U.S. Semiconductor (Fremont, California) manufactures epitaxial wafers for MOS and discrete device applications. The company, founded in 1984, was privately held until its acquisition by OTC in December 1986. U.S. Semiconductor supplies the semiconductor industry with 3-inch through 150mm epi wafers. The company recently expanded capacity at its wafer facility in Fremont. OTC's West Coast sales and marketing organization, OTC America, was changed officially to OTC Semiconductor Corporation on July 1, 1988. U.S. Semiconductor and OTC Semiconductor now share the same facilities in Fremont.

SMn-Etsu Handotai

Shin-Etsu Handotai (also known as SEH) is the largest silicon and epitaxial wafer company in the world, with 1987 sales of $484.7 million, or 26.4 percent of the $1,836.0 million market. SEH is also the largest merchant silicon company in Japan, with 1987 silicon and epitaxial wafer sales of $402.7 million, or 35.3 percent of the $1,142.0 million market. Shin-Etsu Handotai was formed as a joint venture between Shin-Etsu Chemical and Dow Corning in 1967. In 1979, Shin-Etsu Chemical acquired full ownership of Shin-Etsu Handotai. Shin-Etsu Handotai's subsidiaries and affiliates include SEH America, SEH Europe, and SEH Malaysia.

SEMS Markets and Technology © 1988 Dataquest Incorporated July 19 0000819

Page 185: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Like several of the major silicon merchant companies, Shin-Etsu Handotai's silicon manufacturing is vertically integrated, from polysilicon to polished wafers. Polysilicon facilities are at the Naoetsu factory (part of Shin-Etsu Chemical) and the Isobe factory. Polysilicon capacity is currently about 150 metric tons per year. Shin-Etsu Handotai has a 24.5 percent equity position in Hemlock Semiconductor, the Dow Corning polysilicon subsidiary, and obtains additional polysilicon from other vendors to supplement its needs.

Shin-Etsu Handotai is a major manufacturer of both Czochralski and float zone material. Float zone ingots are grown at the Isobe and Saigata facilities; Czochralski ingots at Isobe, Shirakawa, and Takefu, as well as Vancouver, Washington, and Livingston, Scotland. Shin-Etsu Handotai produces epitaxial wafers at the Isobe and Shirakawa factories in Japan, and overseas at Vancouver and Livingston. In the second quarter of 1988, SEH America completed an expansion of its epitaxial manufacturing capacity at the Vancouver facility. Shin-Etsu Handotai's world headquarters are in Tokyo, and its R&D centers are in Isobe and Vancouver. In addition to silicon products, Shin-Etsu Handotai manufactures gallium arsenide and gallium phosphide through a joint venture with Furukawa Mining known as Iwaki Handotai. Table 10 summarizes Shin-Etsu Handotai's silicon plant locations and activities.

Table 10

Shin-Etsu Handotai Silicon Plant Locations

s ing le-Crystal ^

Location Polys i l icon Ingots Wafers

Isobe Plant Annaka City, Gunma Prefecture H X X Nagano Plant X Naoetsu Plant Jyoetsu City, Niigata Prefecture X X Saigata Plant Kubiki Town, Niigata Prefecture X Shirakawa Plant Nishishirakawa, Fukushima Prefecture X X Takefu Plant Takefu District, Fukui Prefecture X Kuala Lumpur, Malaysia X Vancouver, Washington, United States X X Livingston, Scotland, United Kingdom X X

Source: Dataguest July 1988

20 © 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819

Page 186: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Showa Denko

Showa Denko (along with Nittetsu Denshi) is one of the new entrants in the Japanese silicon market. The company began actively sampling its wafers in the third quarter of 1986.

In addition to its silicon wafer operations, Showa Denko provides a broad mix of electronic materials to semiconductor manufacturers, including compound semiconductor materials (gallium arsenide, gallium phosphide, and indium phosphide) and high-purity specialty gases (such as silane, hydrogen chloride, boron trichloride, nitrogen trifluoride, and fluorocarbon etchants).

Showa Denko manufactures silicon at its Chichibu City facility in Saitama Prefecture. (Single-crystal ingots of gallium arsenide are also produced at this facility.) Showa Denko manufactures both Czochralski and magnetic Czochralski (MCZ) material. The company obtained its MCZ technology from Sony Corporation, a pioneer in this field. The MCZ growth method has received attention the last few years because of its ability to provide very high-purity material with tight oxygen control.

Showa Denko has 10 years of experience in compound semiconductor material manufacturing and an existing distribution network in electronic materials, factors that Showa Denko hopes will provide a competitive advantage.

Toshiba Ceramics

Toshiba Ceramics supplies both silicon and epitaxial wafers to the semiconductor industry. Dataquest estimates that Toshiba Ceramics had worldwide wafer sales of $78.9 million in 1987, of which 99 percent were to Japanese semiconductor manufacturers. Dataquest estimates that approximately 75 percent of Toshiba Ceramics' wafer sales were to its semiconductor parent, Toshiba Corporation. However, Dataquest still considers Toshiba Ceramics to be a merchant silicon company because it has active marketing and sales of wafers to the merchant market in addition to supplying its semiconductor parent.

Toshiba Ceramics has a silicon manufacturing facility (Oguni Plant) in the Nishiokitama District, Yamagata Prefecture. Activities at this facility include the growth of Czochralski single-crystal silicon ingots and wafer production. Toshiba Ceramics has a joint venture with the polysilicon manufacturer, Tokuyama Soda, known as Tokuyama Ceramics (Tokuyama, Yamaguchi Prefecture). This facility produces both silicon and epitaxial wafers. In addition, Toshiba Ceramics manufactures a diverse product mix for the semiconductor industry, including ceramic materials and quartz, graphite, and silicon carbide products. Table 11 shows Toshiba Ceramics' silicon plant locations and activities.

SEMS Markets and Technology © 1988 Dataquest Incorporated July 21 0000819

Page 187: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 11

Toshiba Ceramics Silicon Plant Locations

Location

Oguni Plant Nishiokitama District, Yamagata Prefecture

Tokuyania Ceramics Tokuyama, Yamaguchi Prefecture

Polysilicon

Single-Crystal Ingots

X

Source:

Wafers

X

X

Dataquest July 1988

European Silicon Companies

Six Europe-based merchant silicon companies were active in supplying the semiconductor industry with wafers in 1987. During that year, these manufacturers accounted for $293.1 million in silicon and epitaxial wafer sales, or 16.0 percent of the world's $1,836.0 million market. Dataquest estimates that Wacker, rarjcing fourth in world share, represented the majority of Europe-based silicon company sales, with worldwide wafer sales of $214.8 million. Wacker is the only Europe-based silicon company that supplied a significant level of both silicon and epitaxial wafers. (DNS Electronic Materials began epitaxial wafer production at its Novara facility in the latterhalf Of 1987.)

DNS Electronic Materials

DNS Electronic Materials is a subsidiary of Veba AG, a major West German chemicals corporation. DNS was acquired by Veba in 1987 from Dynamit Nobel AG as part of the divestiture of that corporation's chemical and plastics operations. (Dynamit Nobel AG was established by the Swedish engineer Alfred Nobel, the inventor of dynamite and founder of the Nobel Foimdation.) The acquisition by Veba included the Italian and U.S. silicon facilities of Dynamit Nobel Silicon as well as a New Jersey-based photoresist company, Dynamit Nobel Microelectronics (formerly Petrarch Systems). The Huels division of Veba AG will have operating responsibility for both the silicon and photoresist operations. Acquisitions and name changes are not new to the Italian silicon manufacturer. Prior to DNS becoming a wholly owned subsidiary of Dynamit Nobel AG in October 1980, the company had been known as Smiel and was owned by Montedison. Corporate headquarters of DNS Electronic Materials are in Novara, Italy. Table 12 presents a summary of DNS' silicon plant locations and activities.

22 © 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819

Page 188: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 12

DNS Electronic Materials Silicon Plant Locations

Location

Merano Novara Research Triangle Park, North Carolina Sunnyvale, California

. -

Country Polysilicon

Italy X Italy

United States United States

Single-Crystal Ingots

X

Wafers

X

X

Source:

Technical C^nt^r

X

Dataquest July 1988

DNS reported that polysilicon capacity at Merano, Italy, was expanded from 450 to 700 metric tons per year at the end of 1985. Dataquest believes that current polysilicon capacity is still on the order of 700 metric tons per year. Both Czochralski and float zone ingots are grown at the Merano facility. European wafer operations are located in Novara. Silicon, and now epitaxial wafers, are produced at this facility.

DNS' North Carolina facility was originally a joint venture between Dynamit Nobel Silicon and W.R. Grace. The two companies formed Dynamit Nobel Grace Silicon in late 1984 to produce silicon wafers, polysilicon, and single-crystal ingots at a new facility in North Carolina. They invested $35 million initially in the Research Triangle Park plant, which was opened in May 1985; this facility began wafer production in January 1986. In March 1986, DNS bought out the one-third interest held by Grace for approximately $10 million. The company armounced, in May 1988, its plan to double the production capacity of the North Carolina plant. Expansion plans include a new building for epitaxial wafer manufacturing.

In addition to supplying silicon and epitaxial wafers to the semiconductor industry, DNS sells some single-crystal ingots and polysilicon products. Dataquest estimates that the company's 1987 worldwide wafer sales were approximately $63.0 million.

Okmetic

Okmetic is a new silicon wafer company in Espoo, Finland. The company was founded in 1985 by Outokumpu and Nokia. Outokumpu is a diversified company that specializes in metallurgy, mining, industrial equipment manufacturing, and electronics, while Nokia has several divisions that specialize in electronics, cables, machinery, metal products, engineering, chemicals, and plastics. Okmetic's silicon manufacturing

SEMS Markets and Technology © 1988 Dataquest Incorporated July 23 0000819

Page 189: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

capability is based on a joint research and development program between Helsinki University of Technology and Okmetic's foimders. Okmetic started silicon wafer production in 1987 and currently offers polished CZ wafers from 3-inch through 150mm in diameter.

Rhone-Siltec

In 1984, Siltec Corporation entered into an agreement with Rhone-Poulenc of France, one of the world's largest chemical companies, to manufacture silicon wafers in France for distribution to semiconductor manufacturers in Western Europe. The joint venture, Rhone-Siltec, began pilot production in September 1985 at a facility near Mantes-la-Jolie (100 kilometers west of Paris). Rhone-Siltec, with a capacity of 20 MSI, focused on wafer-slicing, polishing, and lapping operations. In the fourth quarter of 1987, the company closed its facility after three years of operation because the company was unprofitable.

Siltronix SA —-

Siltronix SA is small silicon wafer company located in Geneva, Switzerland. The privately held company was established in 1970. Dataquest believes that Siltronix focuses its sales and marketing efforts on the European market.

Topsil Semiconductor Materials A/S

Topsil is a merchant silicon manufacturer in Frederikssund, Denmark. Topsil produces its own polysilicon, grows float zone single-crystal ingots, and manufactures wafers. The company pioneered the neutron transmutation doping (NTD) technique in 1974. This technique transforms silicon atoms into phosphorus by exposing a wafer to a flux of thermal neutrons. Although the technique can form only phosphorus-doped materials, its advantage is that it can provide an extremely imiform distribution of phosphorus, thus producing wafers with well-defined resistivity profiles.

Wacker

Wacker is the world's fourth largest supplier of silicon and epitaxial wafers to the semiconductor industry, with 1987 sales of $214.5 million, or 11.7 percent of the world market share. Wacker is the name used in this study to refer to the collective silicon operations of Wacker-Chemie GmbH of West Germany, which is owned equally by Hoechst AG and Dr. Alexander Wacker Familliengesellschaft mbH. Wacker-Chemie first started its research into high-purity silicon materials in 1953 and established Wacker-Chemitronic at Burghausen, West Germany, in 1968. Wacker Siltronic, established in 1978, is a U.S. subsidiary of Wacker-Chemie and an affiliate of Wacker-Chemitronic. Wacker's silicon plant locations and activities are shown in Table 13.

24 © 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819

Page 190: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 13

Wacker Silicon Plant Locations

Location

Wacker-Chemitronic Burghausen, West Germany Wasserburg, West Germany Wacker Siltronic Portland, Oregon

Polysilicon

n

Single-Crystal I^got;?

X

X

-Wafers

X X

X

Source: Dataguest July 1988

Wacker's Burghausen facility has a polysilicon capacity of approximately 3,200 metric tons per year. In addition, extensive single-crystal growth operations, both Czochralski and float zone, and wafer production, silicon and epitaxial, occur at Burghausen. Wacker is a recognized leader in the field of float zone silicon material. In the summer of 1988, Wacker acquired Fairchild's facility in Wasserburg, West Germany. The plant in Wasserburg will be used primarily for polishing and epitaxial processing of 150mm and 200mm wafers. Wafer production at Wasserburg is expected to begin in 1989. (In addition to producing semiconductor substrate materials—silicon and gallium arsenide—^Wacker-Chemitronic established a subsidiary, Heliotronic GmbH, in 1977, to research and manufacture solar-grade silicon.)

In the United States, Wacker Siltronic produces silicon single-crystal ingots and wafers at its Portland, Oregon, facility. Dataquest believes that Wacker was the second-largest supplier of wafers in the United States in 1987.

Wacker Chemicals East Asia, established in Tokyo in 1982, serves as a marketing and sales arm for Wacker-Chemie in Japan and th^ Pacific Rim. Dataquest estimates that Wacker's Japanese silicon and epitaxial wafer sales were $6.4 million in 1987. This represents 0.6 percent of the Japanese silicon market. Dataquest believes that Wacker's presence in Rest of World (ROW) is substantially larger, with silicon and epitaxial wafer sales of $32.2 million, or 40.0 percent of the ROW silicon market.

SEMS Markets and Technology 0000819

© 1988 Dataquest Incorporated July 25

Page 191: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

ROW Silicon Companies

Dataquest has identified five ROW silicon companies—two in Korea and three in Taiwan—that represent a growing domestic supplier base in the newly industrialized countries of the Pacific Rim. This section of our study briefly examines the activities of these five merchant silicon companies. In addition, silicon wafer activities in Brazil and India are discussed.

Korea

Korsil. Korsil is a 50-50 joint venture between Monsanto of the United States and Dongbu Industrial Company of Korea. The silicon wafer company is located in Gumi, adjacent to the country's developing semiconductor industry. The Korsil facility, which began production of silicon wafers in late 1986, currently has a capacity of 20 MSI; however, it has been designed for capacity expansion of up to 5 million 100mm wafers, or 60 MSI. Dataquest estimates that Korsil had sales of $5.0 million in 1987. (Please note that Korsil's silicon wafer sales are listed separately from Monsanto's sales.)

Lucky Advanced Materials, Inc. In October 1985, Siltec announced the licensing of its silicon technology to Lucky Advanced Materials, Inc. (LAMI), of Korea, an affiliate of the Lucky-GoldStar group. Lucky Advanced Materials agreed to pay Siltec $4 million plus royalties for the technology. The LAMI silicon facility is located in Gumi and began production in February 1987. Wafer capacity at this facility is on the order of 20 MSI. The LAMI plant is the only silicon facility in Korea that has single-crystal silicon growth and wafer production operations. Dataquest estimates that Lucky Advanced Materials had sales of $0.7 million in 1987.

26 © 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819

Page 192: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Taiwan

There are three silicon wafer companies in Taiwan—Hermes Epitaxy, Sino-America, and Tatung Company. Hermes Epitaxy produces epitaxial wafers, while Sino-America produces single-crystal ingots and CZ wafers. Both companies have wafer facilities in Hsinchu. Tatimg Company is a large, diversified electronics firm; its silicon manufacturing facility is located in Taipei. Tatung produces single-crystal silicon ingots as well as silicon wafers. Tatimg and Osaka Titanium Company of Japan have reached an agreement for OTC to supply equipment and technology to the Taiwanese company.

Others

Brazil. Heliodinamica, of Sao Paolo, Brazil, recently began production of silicon wafers for semiconductor device fabrication. The solar energy company originally manufactured silicon wafers for photovoltaic applications but now has expanded its capability to service the Brazilian microelectronics industry. The crystal growth and wafer technology employed by Heliodinamica was developed in Brazil.

India. Metkem Silicon, Ltd., in Mettur, India, manufactures polysilicon and has plans to produce silicon wafers for semiconductor device fabrication. Another plan for polysilicon production in India was dropped by the government in late 1987. The national silicon factory project, which was planned to have a capacity of 200 metric tons per year, was being developed in conjunction with Hemlock Semiconductor, a major U.S. polysilicon manufacturer.

MARKET ANALYSIS—COMPANY MARKET SHARE BY REGION

This section presents Dataquest's estimates of worldwide and regional silicon and epitaxial wafer shipments by the merchant silicon companies in 1987. Our estimates of company sales include wafers sold directly to semiconductor manufacturers and those sold to epitaxial silicon wafer houses that do not grow all of their own material. The potential problem of double-coimting million square inches that arises from sales to epi houses is corrected in our final analysis of total million square inch consumption by the semiconductor industry. The company market share that we publish, however, reflects sales to both sets of customers: semiconductor manufacturers and epitaxial silicon wafer companies.

Tables 14 through 18 present 1987 merchant silicon and epitaxial wafer sales. Tables 14 through 17 are devoted to silicon and epitaxial wafer sales in a given region of the world. Table 18 presents merchant silicon company market share for wafer sales worldwide. The total market for silicon and epitaxial wafers in 1987 was $1,836.0 million. Of this total, $254.8 million, or 13.9 percent, was produced by ifnited States-based merchant silicon manufacturers; $1,280.2 million, or 69.7 percent, by Japan-based merchant silicon manufacturers; $293.1 million, or 16.0 percent, by Europe-based merchant silicon manufacturers; and $7.9 million, or 0.4 percent, by silicon companies headquartered in ROW.

SEMS Markets and Technology © 1988 Dataquest Incorpxjrated July 27 0000819

Page 193: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

United States

Figure 1 illustrates the shift in market share of the regionally based silicon companies in the United States in 1985, 1986, and 1987. United States-based companies supplied approximately 44.9 percent, or $176.2 million, of the silicon and epitaxial wafers sold in the United States in 1987. As shown in Figure 1, United States-based silicon companies lost share in their home market during 1986 and 1987, primarily to Japan-based silicon companies. The majority of share "increase for the Japan-based corporations was due to the acquisition of U.S. silicon companies: NBK Corporation in 1985, and Siltec Corporation and U.S. Semiconductor, both in 1986. In addition, established Japanese operations in the United States, such as SEH America, as well as Europe-based companies, like Wacker Siltronic and DNS Electronic Materials, also experienced modest growth in U.S. sales during this time period. This situation, in turn, reduced United States-based silicon company market share.

Figure 1

Regionally Based Silicon Company Market Share in the United States, 1985-1987

(Millions of Dollars)

Percent 100-

S3S5,5 S361.0 J392.4

90-

80

70

60

50

40

30

20

10

0 1985 1986 1987

Europe-Based Companies Japan-Based Companies United States-Based Companies

Source: Dataquest July 1988

28 © 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819

Page 194: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 14

U.S. Silicon and Epitaxial Wafer Market. 1987 (Milli<Mis of Dollars)

s i l i c o n Wafers Ep i tax ia l Wafers Total Company

United States-Based Companies Cincinnati Milacron Crysteco Epitaxy, Inc. Monsanto Recticon Other U.S. Companies Total

Japan-Based Companies Kawasaki Steel, NBK Corp. Komatsu Electronic Metals Mitsubishi Metal

Japan Silicon Siltec Silicon

Osaka Titanium Company OTC (Japan) U.S. Semiconductor

Shin-Etsu Handotai Toshiba Ceramics Other Japanese Companies Total

Europe-Based Companies DNS Electronic Materials Topsil Wacker Other European Companies Total

Sales

-$ 9.0

-88.4 7.0 6.7

$111.1

$ 5.5 7.5 27.8 1.6 26.2 15.6 15.6

-48.9 0.6 —

$105.9

$ 23.3 2.3 53.5

_ $ 79.1

Share

-3.0% -

29.9 2.4 2,3 37.5%

1.9% 2.5 9.4

5.3

16.5 0.2 —

35.8%

7.9% 0.8 18.1

_ 26.7%

Sales

$31.6 -

2.5 26.6

-4.4

$65.1

-$ l.O 0.8 0.8 -6.2 1.3 4.9 12.2

-—

$20.2

--

$11.0 _

$11.0

Share

32.8% -

2.6 27.6

-4,e 67.6%

-1.0% 0.8

6.5

12.7 --

21.0%

--

11.4% _

11.4%

Sales

$ 31.6 9.0 2.5

115.0 7.0 11.1

$176.2

$ 5.5 8.5 28.6 2.4 26.2 21.9 17.0 4.9 61.1 0.6 —

$126.2

$ 23.3 2.3 64.5

« $ 90.1

Share

8.1% 2.3 0.6 29.3 1.8 2,8

44.9%

1.4% 2.2 7.3

5.6

15.6 0.2 —

32.1%

5.9% 0.6 16.4

_ 23.0%

ROW-Based Companies Total Total Sales— United States $296.1 100.0% $96.3 100.0% $392.5 100.0% '-

Note: Coliunns may not add to totals shown because of rounding.

Source: DatagueSt July 1988

SEMS Markets and Technology 0000819

© 1988 Dataquest Incorporated July 29

Page 195: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Japan

Figure 2 illustrates the shift in market share of the regionally based silicon companies in Japan in 1985, 1986, and 1987. Japan-based companies supplied approximately 97.0 percent, or $1,108.4 million, of the silicon and epitaxial wafers sold in Japan in 1987. As shown in Figure 2, Japan-based company market share has remained essentially constant over the last three years, ranging from 97.9 percent in 1985 to 97.0 percent in 1987. In spite of yen appreciation, which has made Japanese-made wafers more costly than materials imported from abroad, U.S. and European companies have yet to establish a position as significant suppliers within the Japanese market.

Figure 2

Regionally Based Silicon Company Market Share in Japan, 1985-1987 (Millions of Dollars)

Percent 100-r

M-

$631.9 $939.6 $1,142.1

6ftH

3 0 -

o-i 1985 1986 1987

E^2 Europe-Based Compeinies h:\:'\ United States-Based Companies

Japan-Based Companies Source: Dataquest

July 19S8

30 © 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819

Page 196: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 15

Japanese Silicon aiKl Epitaxial Wafer Nfarket, 1987 (Millions of Dollars)

Silicon Wafers Epitaxial Wafers Total Company S&lSS. Share Sales ShSE& S^les ShS£&

United States-Based Companies Cincinnati Milacron - - - - - -Crysteco $ 0 . 3 0 - - $ 0.3 0 Epitaxy, Inc. - - - - - -Monsanto 21.7 2.5% $ 1.7 0.6% 23.4 2.0 Recticon - - - - - -Other U.S. Companies - - 0.1 ft 0.1 ft Total $ 22.0 2.6% $ 1.8 0.6% $ 23.8 2.1%

Japan-Based Companies Kawasaki Steel, NBK Corp. $ 0.4 0 - - $ 0.4 0 Komatsu Electronic Metals 145.7 17.0% $ 41.1 14.5% 186.8 16.4% Mitsubishi Metal 157.1 18.3 74.2 26.2 231.2 20.2

Japan Silicon 157.1 74.2 231.2 Siltec Silicon - -

Osaka Titanium Company 172.2 20.O 25.2 8.9 197.4 17.3 OTC (Japan) 172.2 25.2 197.4 U.S. Semiconductor - -

Shin-Etsu Handotai 281.9 32.8 120.8 42.7 402.7 35.3 Toshiba Ceramics 58.6 6.8 19.7 7.0 78.3 6.9 Other Japanese Companies 11.2 1.3 0^3 O.l 11.5 l.O Total $827.1 96.3% $281.3 99.4% $1,108.3 97.0%

Europe-Based Companies DNS Electronic Materials - - - - - -Topsil $ 3 . 5 0.4% - - $ 3.5 0.3% Wacker 6.4 0.7 - - 6.4 0.6 Other European Companies :: - - - - :; Total $ 9.9 1.2% - - $ 9.9 0.9%

ROW-Based Companies Total - - -. _ _ -

Total Sales—Japan $859.0 100.0% $283.1 100.0% $1,142.0 100.0%

Note: Columns may not add to totals shown because of rounding.

Source: Dataquest July 1988

SEMS Markets and Technology © 1988 Dataquest Incorporated July 31 0000819

Page 197: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Europe

Figure 3 illustrates the shift in market share of the regionally based silicon companies in Europe in 1985, 1986, and 1987. Europe-based companies supplied approximately 70.0 percent, or $154.7 million, of the silicon and epitaxial wafers sold in Europe in 1987. As shown in Figure 2, Europe-based company market share has been decreasing slowly over the last several years, from a 77.1 percent share in 1985 to a 70.0 percent share in 1987. This decline in share correlates with a corresponding increase in share for the Japan-based silicon companies, which are focusing more and more on export markets, such as Europe, as a strategy for long-term growth. Although United States-based silicon suppliers experienced a minor decline in European share in 1986, they regained their 1985 position of a 14.4 percent share of the European market in 1987.

Figure 3

Regionally Based Silicon Company Market Share in Eur(q>e, 1985-1987 (Millions of Dollars)

Percent 100

$136.9 $ies.9 $221.0

1985 lass 1987

Japan-Based Companies \':'\:'i United States-Based Companies S E ] Europe-Based Companies

Source: Dataquest July 1988

32 © 1988 Dataquest Incorporated July SEMS Markets and Teclinology 0000819

Page 198: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 16

European Silicon aiKl Epitaxial Vfafer Market, 1987 (Millions of Dollars)

Company

United States-Based Companies Cincinnati Milacron Crysteco Epitaxy, Inc. Monsanto Recticon Other U.S. Companies Total

Japan-Based Companies Kawasaki Steel, NBK Corp. Komatsu Electronic Metals

Silicon Sales

$ 0.2 -

20.9

0.2 $ 21.3

Wafers 5h&re

0.1% -

11.7

0.1 11.9%

Epitaxial

$ 1.4 -

O.l 8.5

0.6 $10.6

. Wafers ^hare

3.3% -

0.2 20.3

1.4 25.3%

i

$

$

Total 5&19S

1.4 0.2 o.l 29.4

0.9 31.9

Share

0.6% o.l o.o 13.3

0.4 14.4%

Mitsubishi Metal Japan Silicon Siltec Silicon

Osaka Titanium Company OTC (Japan) U.S. Semiconductor

Shin-Etsu Handotai Toshiba Ceramics Other Japanese Companies Total

Europe-Based Companies DNS E l e c t r o n i c Materials Topsil Wacker Other European Companies Total

ROW-Based Companies Total

$

$

$

1.6 1.6 -

13.8 13.8

-15.6

-_

31.0

34.0 6.0 83.8 3.0

$126.8

-

0.9%

7.7

8.7 -_

17.3%

19.0% 3.3 46.8 1.7

70.8%

-

$ 0.8 0.8 -

0.9 0.7

o.l 1.7 -_

$ 3.4

--

$27.9

$27.9

1.9%

2.1

4.1 -

8.0%

--

66.7% _

66.7%

-

$

$

$

:

2.4 2.4 -

14.7 14.6

o.l 17.3

-.

34.4

34.0 6.0 *

111. 7 3.0

$154.7

_

1.1%

6.6

7.8 -_

15.6%

15.4% 2.7 50.5 1.4

70.0%

-

Total Sales—Europe $179.1 100.0% $41.9 100.0% $221.0 100.0%

Note: Columns may not add to totals shown because of rounding.

Source: Dataquest July 1988

SEMS Markets and Technology © 1988 Dataquest Incorporated July 33 0000819

Page 199: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

ROW

Figure 4 illustrates the shift in market share of the regionally based silicon companies in ROW in 1985, 1986, and 1987. Europe- and United States-based silicon suppliers continued to dominate the ROW silicon market with a combined share of 76.1 percent, or $61.3 million, of the silicon and epitaxial wafers sold in ROW in 1987. An important element in the ROW silicon market has been the emergence of a domestic supplier base in the newly industrialized countries of the Pacific Rim over the last several years.

In particular, Korean silicon companies Korsil and Lucky Advanced Materials have accounted for the majority of increase in ROW-based company market share, which has risen from 1.1 percent in 1985 to 9.8 percent in 1987. Japan-based silicon companies have also experienced some modest growth in share, from 11.3 percent in 1985 to 14.0 percent in 1987. While United States-based company share experienced a slight increase in 1986, it returned to close to its 1985 level in 1987, with a 28.4 percent share. Europe-based silicon companies still remain the largest regionally based group of silicon suppliers in ROW but have lost Share steadily over the last several years, as new suppliers entered this highly competitive regional market.

Figure 4

Regionally Based Silicon Company Market Share in ROW. 1985-1987

(Millions of Dollars)

Percent 100

$43.5 $61.0 $80.5

1985

l'"-""l United States-Based Companies l-*'-- -l Europe-Based Companies

1986 l-si-ssi ROW-Based Companies

Japan-Based Companies

1987

Source: Data quel t July 198S

34 © 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819

Page 200: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 17

ROW Silicon and Epitaxial Wafer Market. 1987 (Millions of Dollars)

Company

United States-Based Companies Cincinnati Milacron Crysteco Epitaxy, Inc. Monsanto Secticon Other U.S. Companies Total

Japan-Based Companies Kawasaki Steel, NBK Corp. Komatsu Electronic Metals Mitsubishi Metal

Japan Silicon Siltec Silicon

Osaka Titanium Company OTC (Japan) U.S. Semiconductor

Shin-Etsu Handotai Toshiba Ceramics Other Japanese Companies Total

Europe-Based Companies DNS Electronic Materials Topsil Wacker Other European Companies Total

ROW-Based Companies Lucky Advanced Materials, Inc. Korsil Other ROW Companies Total

Total Sales—ROW

S i l i c o n S9 lS5

-

_ $ 1 6 . 9

Ot l $ 1 7 . 0

$ 1 . 1 1 . 9 2 . 7 1 . 6 1 . 1 1 . 4 1 . 4

-3 . 5

_

$ 1 0 . 6

$ 5 . 7 0 . 5

3 2 . 0 -

$ 3 8 . 2

$ 0 . 7 5 . 0 l . O

$ 6 . 7

$ 7 2 . 5

Wafe rs Sh9ir^

-

_ 23.3%

Ot l 23 .4%

1.5% 2 . 6 3 . 7

1 . 9

4 . 8

.

14 .6%

7.9% 0 . 7

4 4 . 1 —

52.7%

1.0% 6 . 9 1 . 4 9 .2%

100.0%

E p i t a x i a l Waferi S a l e s Sha re

$ 1 . 0

4 . 4 0 . 3

0 . 2 $ 5 . 9

^

$ 0 . 1 0 . 3 0 . 3

-0 . 2 O . l O . l O . l

mm

$ 0 . 7

_ -

$ 0 . 2 —

$ 0 . 2

-$ 1 , 2 $ 1 . 2

$ 8 . 0

12 .5%

5 5 . 0 3 . 8

2 . 5 73 .8%

^

1.3% 3 . 8

2 . 5

1.3

^

8.8%

^ -

2.5% —

2.5%

-15,0% 15 .0%

ioo.o%

s T o t a l Sne5

$ i.o

4 . 4 1 7 . 2

0 . 3 $ 2 2 . 9

$ 1 . 1 2 . 0 3 . 0 1 . 9 1 . 1 1 . 6 1 . 5 o . l 3 . 6

^

$ 1 1 . 3

$ 5 . 7 0 . 5

3 2 . 2 —

$ 3 8 . 4

$ 0 . 7 5 . 0 2 t ?

$ 7 . 9

$ 8 0 . 5

Sha re

1.2%

5 . 5 2 1 . 4

0 , 4 28 .4%

1.4% 2 . 5 3 . 7

2 . 0

4 . 5

_

14.0%

7.1% 0 . 6

4 0 . 0 —

47 .7%

0.9% 6 . 2 2 . 7 9 .8%

ioo.o%

Note: Columns may not add to totals shown because of rounding.

Source: Dataquest July 1988

SEMS Markets and Technology 0000819

© 1988 Dataquest Incorporated July 35

Page 201: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Worldwide Silicon and Epitaxial Sales

Figure 5 illustrates the shift in world market share of the regionally based silicon companies in 1985, 1986, and 1987. Japan-based silicon companies dominated the market in 1987 and have steadily increased their share of the world silicon and epitaxial wafer market, from 60.2 percent in 1985 to 69.7 percent in 1987. This increase is due primarily to rapid yen appreciation during that time period, while the remainder of the increase is accounted for by the recent acquisitions of United States-based silicon companies by Japanese corporations. In Figure 5, it appears that United States-based silicon companies and, to a lesser extent, European suppliers have lost share of the world market between 1985 and 1987. Again, when currency appreciation and company acquisitions are taken into accoimt, 1987 regionally based company share remains at essentially the same level as in 1985. Although the shift is not visible in Figure 5, ROW-based suppliers have increased in world market share, from less than 0.1 percent in 1985 to approximately 0.4 percent in 1987.

Figures

Regionally Based Silicon Company Market Share in the World, 1985-1987

(Millions of Dollars)

Percent 1C0-f

$1,167.8 $1,551.5 $1,836.0

EZS3

1985

Europe-Based Companies

United States-Based Companies

Japan-Based Companies

1S86 1937

Source: Dataquest July 1988

36 © 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819

Page 202: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 18

Worldwide Silicon and Epitaxial Wafer Market, 1987 (Millions of Dollars)

Company

United States-Based Companies Cincinnati Milacron Crysteco Epitaxy, Inc. Monsanto Recticon Other U.S. Companies Total

Japan-Based Companies Kawasaki Steel, NBK Corp. Komatsu Electronic Metals Mitsubishi Metal

Japan Silicon Siltec Silicon

Osaka Titanium Company OTC (Japan) U.S. Semiconductor

Shin-Etsu Handotai Toshiba Ceramics Other Japanese Companies Total

Europe-Based Companies DNS Electronic Materials Topsil Wacker Other European Companies Total

SOW-Based Companies Lucky Advanced Materials, Inc. Korsil Other ROW Companies Total

Tota l Sales—World

Silicon Wafers Sales

,

$ 9.5 -

147.9 7.0 7,0

$ 171.4

$ 7.0 155.1 189.2 161.9 27.3 203.1 203.1

-

349.9 59.2 11.2

$ 974.6

$ 63.0 12.3 175.7 3.0

Share

.

0.7% -

10.5 0.5 0.5 12.2%

0.5% 11.0 13.4

14.4

24.9 4.2 0.8 69.3%

4.5% 0.9 12.5 0.2

Epitaxial Wafers

$

$

$

:

S? l©5

34.0 -

7.0 37.1

-

5.3 83.4

^

42.2 76.1 76.1

-

32.5 27.4 5.1

134.8 19.7 0.3

$305.6

$

• »

-

39.1 —

Shaire

tt

7.9% -

1.6 8.6

-

1,2 19.4%

_

9.8% 17.7

7.6

31.4 4.6 0.1

71.2%

^

-

9.1% -

$

$

$

$]

$

Total S?ilps

34.0 9.5 7.0

185.0 7.0 12.3 254.8

7.0 197.3 265.3 238.0 27.3 235.5 230.5 5.1

484.7 78.9 11.5

.,280.1

63.0 12.3 214.8 3.0

Share

1.9% 0.5 0.4 10.1 0.4 0.7 13.9%

0.4% 10.7 14.4

12.8

26.4 4.3 0.6 69.7%

3.4% 0.7 11.7 0.2

$ 254.0 18.1% $ 3 9 . 1 9.1% $ 293.1 16.0%

$ 0.7 5.0 1.0

$ 6.7

$1,406.7

0 0.4% 0,1 0.5%

100.0%

--

$ 1,2 $ 1.2

$429.3

--

0,3% 0.3%

100.0%

$

$

$1.

0.7 5.0 2.2 7.9

,836.0

0 0.3 0,1 0.4%

100.0%

Note: Columns may not add to totals shown because of rounding.

Source: Dataquest July 1988

SEMS Markets and Technology 0000819

© 1988 Dataquest Incorporated July 37

Page 203: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

MARKET ANALYSIS—WAFER PRICING

Dataquest surveyed the merchant silicon companies to establish 1987 average selling prices (ASPs) for silicon and epitaxial wafers. Table 19 presents these estimates (measured in dollars) for the United States, Japan, Europe, and ROW, on a per wafer basis and per square inch basis for 3 inches through 200mm wafers. (Japanese wafer prices are reported in yen, as well as dollars.) The silicon wafer prices reflect the ASP for polished CZ wafers. Epitaxial wafer prices can vary considerably, depending upon the level of custom specifications and the thickness of the epitaxial layer required. It is important to understand that the regional ASPs presented in Table 19 reflect a broad distribution in product specifications for each wafer size. The reader is cautioned to use this information only as a general tool in understanding regional pricing differences and trends, rather than as a specific pricing guide.

38 © 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819

Page 204: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 19

1987 Regional Average Selling Prices Of Silicon and Epitaxial Wafers by Wafer Size

Wafer Diameter Wafer Area (Square Inches)

Per Wafer (t/vafer) CZ Polished Wafers

United States Europe ROW Japan Japan* (Yen/wafer)

Epitaxial Wafers United States Europe ROW Japan Japan (Yen/wafer)

Per Square Inch ($/SI> CZ Polished Wafers

United States Europe ROW Japan Japan (Yen/SI)

Epitaxial Wafers United States Europe ROW Japan Japan (Yen/SI)

*V144 = $1

3 - i n c h 7 . 0 7

$ 6 . 0 0 -

$ 5 . 5 0 $ 1 2 . 5 0 ¥ 1 , 8 0 0

$ 2 1 . 2 0 --

$ 3 4 . 7 3 ¥ 5 , 0 0 0

$ 0 . 8 5 -

$ 0 . 7 8 $ 1 .77 V 255

$ 3 . 0 0 --

$ 4 . 9 1 It 707

lOOmm 12 i . l 7

$ 1 0 . 1 0 $ 1 3 . 5 0 $ 8 . 9 0 $ 1 3 . 4 7 VI . , 9 4 0

$ 2 9 . 5 0 $ 2 9 . 7 0

-$ 4 0 . 5 0 V£

$ $ $ $ V

$ $

$ ¥

>,830

0 . 8 3 1 . 1 1 0 . 7 3 1 . 1 1

159

2 . 4 2 2 . 4 4

-3 . 3 3

479

125mm 1 9 . 0 2

$ 1 8 . 6 0 -

$ 1 6 . 8 0 $ 2 6 . 9 0 ¥ 3 , 8 7 0

$ 5 1 . 0 0 --

$ 6 9 . 4 7 ¥ 1 0 , 0 0 0

$ 0 . 9 8 -

$ 0 . 8 8 $ 1 . 4 1 ¥ 203

$ 2 . 6 8 --

$ 3 . 6 5 ¥ 526

150inm 2 7 . 3 9

$ 3 3 . 0 0 $ 4 6 . 9 0 $ 3 2 . 0 0 $ 4 9 . 1 0 ¥ 7 , 0 7 0

$ 8 3 . 3 0 $ 1 1 8 . 0 0 $ 9 5 . 0 0 $ 1 2 5 . 0 0 ¥ 1 8 , 0 0 0

$ 1 .20 $ 1 . 7 1 $ 1 .17 $ 1 .79 ¥ 258

$ 3 . 0 4 $ 4 . 3 1 $ 3 . 4 7 $ 4 . 5 6 ¥ 657

200inm 4 8 . 7 0

$ 1 8 8 . 0 0 --

$ 2 6 4 . 0 0 V38 ,000

---

\

$ 3 . 8 6 --

$ 5 . 4 2 V 780

---—

Note: The regional average selling price information presented in this table reflects a broad distribution in product specifications for each wafer size. The reader is cautioned to use this information only as a general tool in understanding regional pricing differences and trends, rather than as a specific pricing guide.

Source: Dataquest July 1988

SEMS Markets and Technology 0000819

© 1988 Dataquest Incorporated July 39

Page 205: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

The regional ASP per square inch of silicon and epitaxial wafers is dependent upon the wafer size mix in the different regions of the world. Table 20 presents a three-year perspective of the regional ASP per square inch for both silicon and epitaxial wafers, weighted by the wafer size distribution. In general, the regional ASPs per square inch have decreased every year. While it appears in Table 20 that both Japan and Europe have experienced an increase in ASP per square inch, this is due to currency appreciation within these regions in 1986 and 1987. Dataquest believes that when measured in local currency, silicon and epitaxial wafer ASPs per square inch in Japan and Europe, as in the United States, decreased a modest amount in both 1986 and 1987.

It also should be noted that the weighted silicon wafer ASP in Table 20 reflects several different types of wafers sold by merchant silicon companies including test, polished CZ, and float zone wafers.

Table 20

Silicon and Epitaxial Wafers Weighted Average Selling Price by Region, 1985-1987

(Dollars per Square Inch)

United States Japan Europe ROW

Si 198?

$0.96 $0.98 $0.89 $0.93

l i c o n Wafers 1996

$0.90 $1.32 $1.03 $0.89

1997

$0.85 $1.47 $1.14 $0.91

Epitaxial Ws 1985 1986

$2.93 $2.60 $2.89

1

$2.86 $3.53 $2.93

$2.90-$3

Source:

ifers 1987

$2.71 $3.94 $3.05

1.00 >

Dataquest July 1988

In particular, it is difficult to establish an average selling price for float zone material since there is a wide range in prices. For some applications, such as IC fabrication, the price of float zone material may be less than or equal to the price of a polished Czochralski wafer, on the order of $1.00 per square inch. In other applications, such as the fabrication of power devices and other discretes, thicker wafers of float zone material, as thick as 1,000 microns (two to three times thicker than a polished wafer), may be required. The cost of such wafers will be substantially higher, with the price equal to or greater than that of epitaxial silicon.

40 © 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819

Page 206: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Wafer Pricing Pressures

One of the factors that characterizes the silicon wafer market of the last several years is the decrease in wafer prices. Table 21 illustrates this issue by presenting average wafer prices for 100mm and 150mm polished Czochralski wafers in the United States and Japan between 1984 and 1987. According to Dataquest estimates, the prices of 100mm and 150mm wafers in the United States have declined at respective compound annual rates of 5.0 percent and 7.7 percent during this time period, while in Japan, the decline has been 6.8 percent and 10.9 percent, respectively.

Table 21

Historical Wafer Prices, United States and Japan Polished Czochralski Wafers

United States lOOmm ($/Wa£er) ISOrnm ($/Wa£er)

Japan lOOmm (Yen/Wafer) ISOnun (Yen/Wafer)

1984

$ 11.80 $ 42.00

V 2,400 vio^ooo

198$

$11.35 $37.80

¥2,225 ¥8,600

1986

$10.85 $34.00

V2,030 ¥7,220

1987

$10.10 $33.00

¥1,940 ¥7,070

Source:

CAGR 1984-1987

(5.0%) (7.7%)

(6.8%) (10.9%)

Dataquest July 1988

Historically, as large wafer products mature, prices decrease because silicon wafer companies move down the learning curve of wafer manufacturing. Pricing has been an important competitive issue as well. However, with the recession of the last few years, there have been additional pressures from cost-conscious semiconductor manufacturers for lower and lower prices. At the same time, increasing device complexity has led to demands for tighter wafer specifications; in turn, this means that silicon companies have had to perform more analytical tests to assure wafer quality. More analytical testing and product qualification mean higher costs to the silicon companies, and with the continued price decreases, silicon companies are forced to accept smaller margins on their products. Dataquest believes that wafer pricing pressure has been one of the major factors that has affected profitability in the silicon industry over the last several years.

SEMS Markets and Technology 0000819

© 1988 Dataquest Incorporated July 41

Page 207: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Recently, there has been much discussion of silicon companies' trjnng to raise their prices. Dataquest expects this effort to experience some modest success in 1988; however, it is unclear whether stable silicon wafer prices will be maintained through the next downturn in the semiconductor business cycle.

Effects of Yen Appreciation

Another factor that has affected the silicon market over the last several years has been currency appreciation, in particular, for Japanese silicon companies seeking to expand their business activities. In addition to the domestic competitive factors, Japanese silicon companies had to face the pressures of restricted markets overseas because of the yen's appreciation in 1986 and 1987. Silicon wafer pricing has always varied from region to region. However, Japanese silicon companies without local manufacturing facilities foimd it difficult to compete in the U.S. market in 1986 and 1987, due to the large differences in wafer prices affected by exchange rate factors. For example, in 1985, the average U.S. price for a 100mm wafer was $11.35 (see Table 21). At an exchange rate of ¥238 per $1, a Japanese silicon company would receive ¥2,700 for a 100mm wafer. In 1987, the U.S. average selling price of a 100mm wafer came down to $10.10. However, at the 1987 exchange rate of ¥144 per $1, a Japanese silicon company would now only receive ¥1,450 for a 100mm wafer sold in the United States.

(To a lesser extent, this price differential due to the currency exchange rate existed for Japanese companies selling wafers in Europe as well. However, Europe has experienced currency appreciation at a level similar to the rise in the yen, and thus wafer price differentials are not as large as those in the United States.)

Because of the high value of the yen, Japanese silicon companies exporting silicon wafers to the United States have the following two options:

• To meet and maintain U.S. average selling prices for wafers and lose on margins in order to maintain U.S. market share and customer relationships

• To raise wafer prices in the United States to regain a given yen rate of return and, as a consequence, lose market share

A third option—acquisition of U.S. silicon companies—has also been pursued over the last several years.

Overseas Acquisitions. While the high yen has made silicon exports from Japan more difficult, it has also made the acquisition of U.S. firms more attractive. As mentioned earlier, in 1986, two Japanese silicon companies chose the latter method of competing in the U.S. market. Mitsubishi Metal acquired Siltec in the third quarter of 1986, and OTC armoimced its plans in December to acquire U.S. Semiconductor, an epitaxial wafer manufacturer.

42 © 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819

Page 208: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Other Japanese companies that have established or acquired silicon facilities in the United States include silicon manufacturer Shin-Etsu Handotai (which established SEH America, a wholly owned subsidiary in Vancouver, Washington) and steelmakers Kawasaki Steel and Nippon Kokan K.K. Kawasaki Steel acquired Santa Clara-based NBK Corporation in the third quarter of 1985, and Nippon Kokan K.K. bought Great Western's polysilicon facility in December 1985. In December 1986, Nippon Kokan K.K. annoimced its purchase of land in Millersburg, Oregon, for a new 1,000-metric-ton polysilicon plant. Groimd-breaking ceremonies took place in June 1987; however, construction plans were put on hold in January 1988. Dataquest believes that NKK is reevaluating its plan to build a poly plant in light of sluggish demand and polysilicon over-capacity in the industry.

Of the top four Japanese silicon companies—Shin-Etsu Handotai, Mitsubishi Metal, Osaka Titanium, and Komatsu Electronic Metals—Komatsu is the only company that does not have wafer manufacturing facilities in the United States today. Dataquest believes that Komatsu must pursue a strategy to buy or build a silicon wafer plant in the United States, if the company plans to become competitive in this regional market in the long term.

SEMS Markets and Technology © 1988 Dataquest Incorporated July 43 0000819

Page 209: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

MARKET ANALYSIS BY MILLION SQUARE INCHES

Both merchant and captive silicon producers manufacture silicon wafer substrate materials. The following section presents 1985, 1986, and 1987 MSI estimates for the merchant silicon and epitaxial wafer market. Internal production of silicon by semiconductor manufacturers (captive silicon producers) is estimated.

Merchant Silicon Companies

The merchant silicon and epitaxial wafer market, as measured in MSI, is determined for each of the four regions of the world by dividing merchant silicon company sales in a given region (Tables 14 through 18) by the regional average selling price per square inch (Table 20). Table 22 contains the million square inch market of silicon and epitaxial wafers based on this analysis.

In the United States, several small epitaxial houses do not grow all of their own silicon substrate material, but rather purchase their wafers from other merchant silicon companies. For this reason, it is necessary to correct the square inches of silicon derived from sales of silicon wafers in the United States for the amount of silicon substrate sold to epitaxial silicon companies. Dataquest estimates that this corresponds to approximately 11 to 13 MSI in the years 1985 through 1987. The U.S. silicon million square inch estimates presented in Table 22 include this corrective factor.

Table 22 indicates that epitaxial wafers represent 36 out of 372 MSI sold in the United States in 1987, or approximately 9.7 percent. Japan's percentage is slightly higher, at about 10.9 percent. Europe's figure is approximately 8.2 percent. In Europe, the percentage is slightly lower than for the United States and Japan, we believe, because epitaxial wafer demand for discretes is accounted for by semiconductor manufacturers that do their own epi, such as Philips, Siemens, and Thomson. Remember that the epitaxial million square inches presented in Table 22 do not include epitaxial deposition performed by semiconductor manufacturers, but only merchant silicon company sales of epitaxial wafers to the industry.

44 © 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819

Page 210: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 22

Merchant Siliom and Epitaxial Wafer Market by Region. 1985-1987

(Million Square Inches)

IMS. 198g 1987

Merchant Silicon Wafers United States Japan Europe ROW Total

Merchant Epitaxial Wafers United States Japan Europe ROW Total

Total Merchant Wafers United States Japaua Europe ROW Total

282 515 134 41 972

25 49 6 2 83

307 564 140 43

1,055

297 552 155 62

1,066

29 60 10 2

102

326 612 165 64

1,168

Source:

336 586 157 90

1,159

36 72 14 3

124

372 658 171 83

1,284

DatagueSt July 1988

Captive Silicon Production

In 1987, six semiconductor manufacturers grew single-crystal silicon to produce wafers for internal consumption. In the United States, these companies were AT&T, IBM, Motorola, and Texas Instruments. (As previously noted, Fairchild closed its silicon operations in June 1985; Delco stopped internal silicon production in the spring of 1986.) Hitachi in Japan, through its subsidiary, Hitachi Ohme Denshi (Yanai City, Yamaguchi), and Philips in Europe also perform captive silicon production. Table 23 contains Dataquest's estimates of the silicon produced by these semiconductor manufacturers in 1985, 1986, and 1987. No distinction is made between silicon and epitaxial wafers in the MSI estimates for the captive silicon producers.

SEMS Markets and Technology 0 0 0 0 8 1 9

© 1988 Dataquest Incorporated July 45

Page 211: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

As shown in Table 23, Dataquest believes that Texas Instruments ships some of the wafers produced at its Sherman, Texas, facility to its semiconductor manufacturing plants in Japan and Europe. One benefit of this practice is the ability to avoid the relatively higher wafer cost in those regions because of currency appreciation over the last several years. In addition, a captive silicon producer can manufacture wafers with custom and proprietary specifications and may want to use such wafers in device fabrication at offshore facilities. Dataquest expects the practice of shipping silicon to offshore facilities to extend to other captive silicon producers, such as AT&T and IBM, when AT&T's Spain facility and IBM's new 200mm fab in West Germany come on-line.

Table 23

Captive Silicon Production, 1985-1987 (Million Square Inches)

Total Captive Silicon Production AT&T Delco Fairchild Hitachi IBM Motorola Philips Texas Instruments Total—Worldwide

Regional Consumption of Captive Silicon Production United States AT&T Delco Fairchild IBM Motorola Texas Instruments Total—United States

Japan Hitachi Texas Instruments Total—Japan

Europe Philips Texas Instruments Total—Europe

1985

15 3 3 18 18 15 6

_4S 123

1986 1987

15 1 0 18 18 15 5 46 118

15 0 0 18 18 15 2

^S 113

46 © 1988 Dataquest Incorporated July

15 3 3 18 15 21 91

18 _& 24

6 2 8

15 1 0 18 15 12 81

18 U 29

5

a 8

Source:

15 0 0 18 15 25. 73

18 16 34

2 4 6

Dataquest July 1988

SEMS Markets and Technology 0000819

Page 212: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Total Million Square Inch Market

Table 24 combines 1985, 1986, and 1987 million square inch sales by the merchant silicon companies (Table 22) and captive silicon production (Table 23) in the four regions of the world. Internal production by the captive silicon producers, at 73 MSI, accounts for approximately 16.4 percent of the 445 MSI in the United States in 1987. The captive silicon market in Japan and Europe is much smaller, and ROW has no captive silicon production at this time. Japan had the largest share of the world million square inch market with 692 MSI, or 49.5 percent of the total square inch market in 1987.

Table 24

Total Silicon and Epitaxial Wafer Market by Region, 1985-1987 (Million Square Inches)

2M5. ISM 2M1

Merchant Silicon United States Japan Europe ROW Total—Merchant

Captive Silicon United States Japan Europe Total—Captive

Merchant and Captive Silicon United States Japan Europe HOW Total

307 564 140 43

1,055

91 24

_ _ f i 123

398 588 148 43

1,178

326 612 165 64

1,168

81 29

_ a 118

407 641 173 64

1,285

Source:

372 658 171 83

1,284

73 34 6

113

445 692 177 83

1,397

DatagueSt July 1988

SEMS Markets and Technology © 1988 Dataquest Incorporated July 47 0000819

Page 213: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

HISTORICAL AND FORECAST DEVICE PRODUCTION BY REGION

The market for silicon and other fabrication materials is dependent upon the level of semiconductor device production in the different regions of the world. This section presents Dataquest's historical and forecast production revenue for captive and merchant semiconductor manufacturers by region of production.

Historical Production Revenue by Region

Table 25 presents historical semiconductor production revenue (merchant and captive) from 1982 through 1987. In this table, United States refers to device production in the United States by either a U.S., Japanese, European, or ROW semiconductor manufacturer. A similar definition applies to producers of semiconductor devices in Japan, Europe, and ROW. (Please note that the regional designation. United States, includes Canadian semiconductor manufacturing activities.)

Table 25

Historical Semiconductor Production by Region*, 1982-1987 (Millions of Dollars)

CAGR 1982 1983 1984 1985 1986 1987 1982-1987

United States $ 9,017 $10,912 $15,551 $12,607 $13,343 $15,791 11.9% Growth 21.0% 42.5% (18.9%) 5.8% 18.3%

Japan 5,688 8,055 11,970 10,606 14,832 18,504 26.6% Growth

Europe 2,299 2,539 3,474 3,402 4,057 4,765 15.7% Growth

ROW 98 175 237 394 545 796 52.0% Growth

World $17,102 $21,681 $31,232 $27,009 $32,776 $39,855 18.4% Growth 26.8% 44.1% (13.5%) 21.4% 21.6%

ARegion of device production, not country of company ownership Note: Columns may not add to totals shown because of rounding.

Source: Dataquest July 1988

48 © 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819

8,055 41.6%

2,539 10.4%

175 78.6%

11,970 48.6%

3,474 36.8%

237 35.4%

10,606 (11.4%)

3,402 (2.1%)

394 66.2%

14,832 39.8%

4,057 19.3%

545 38.3%

18,504 24.8%

4,765 17.5%

796 46.1%

Page 214: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Forecast Production Revenue by Region

Table 26 presents Dataquest's forecast of production revenue for merchant and captive semiconductor manufacturers by region of production.

Table 26

Forecast Semiconductor Production By Region*, 1987-1992 (Millions of Dollars)

CAGR 1987 1988 1989 1990 1991 3-99? 1987-1992

United States $15,791 $19,219 $20,858 $21,914 $25,917 $31,089 14.5% Growth 18.3% 21.7% 8.5% 5.1% 18.3% 20.0%

Japan 18,504 23,274 25,565 24,454 27,471 32,538 12.0% Growth

Europe 4,765 5,553 6,299 6,446 7,253 9,159 14.0% Growth

ROW 796 1,076 1,364 1,433 2,127 3,153 31.7% Growth

World $39,855 $49,122 $54,086 $54,247 $62,767 $75,939 13.8% Growth 21.6% 23.3% 10.1% 0.3% 15.7% 21.0%

*Region of device production, not country of company ownership Note: Columns may not add to totals shown because of rounding.

Source: Dataquest July 1988

1 8 , 5 0 4 24 .8%

4 , 7 6 5 17 .5%

796 4 6 . 1 %

2 3 , 2 7 4 25.8%

5 , 5 5 3 16.5%

1 ,076 35 .2%

2 5 , 5 6 5 9.8%

6 , 2 9 9 13.4%

1,364 26.8%

2 4 , 4 5 4 (4.3%)

6 , 4 4 6 2 .3%

1 ,433 5 .1%

2 7 , 4 7 1 1 2 . 3 %

7 , 2 5 3 12 .5%

2 , 1 2 7 48.4%

3 2 , 5 3 8 18 .4%

9 , 1 5 9 2 6 . 3 %

3 , 1 5 3 48.2%

SEMS Markets and Technology © 1988 Dataquest Incorporated July 49 0000819

Page 215: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

HISTORICAL AND FORECAST SILICON CONSUMPTION BY REGION

Dataquest assumes that, in a given year, the total square inches of silicon sold by the merchant silicon companies or produced by the captive silicon producers will be consumed by semiconductor manufacturers in that same year. This corresponds to an inventory flow at steady state conditions. With that assumption in mind, the historical and forecast silicon market is presented.

Silicon Consumption by Region

Table 27 contains Dataquest's analysis of historical silicon consumption by region. In 1986 and 1987, all regions experienced growth in silicon consumption after the industry downturn in 1985. In Table 27, it appears that semiconductor manufacturers in the United States were hit worst by the industry recession in 1985, with a reduction in silicon consumption of almost 44 percent. In actuality, the decline in 1985 may not have been quite that severe, because significant silicon purchases in late 1984 went into inventory for device production in 1985.

Table 27

Historical Silicon Consumption by Region*. 1982-1987 (Million Square Inches)

United States Growth

Japan Growth

Europe Growth

ROW Growth

Total Growth

1982

480

266

103

26

875

1983

566 17.9%

428 60.9%

112 8.7%

35 34,6%

1,141 30.4%

1984

707 24.9%

661 54.4%

160 42.9%

51 45.7%

1,579 38.4%

1985

398 (43.7%)

588 (11.0%)

148 (7.5%)

43 (15.7%)

1,177 (25.5%)

198^

406 2.0%

641 9.0%

173 16.9%

64 48.8%

1,284 9.1%

1987

445 9.6%

692 8.0%

177 2.3%

82 28.1%

1,396 8.7%

CAGR 1982-1987

(1.5%)

21.1%

11.4%

25.8%

9.8%

*Region of s i l i c o n consumption, n o t country of company ownersh ip Note: Columns may not add to t o t a l s shown because of round ing .

Source;

50 © 1988 Dataquest Incorporated July

Dataquest July 1988

SEMS Markets and Technology 0000819

Page 216: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

In 1986, silicon MSI consumption in the United States and Japan experienced modest growth, at 2.0 percent and 9.0 percent, respectively. Europe and ROW experienced more vigorous growth in silicon consumption, which was up 16.9 percent and 48.8 percent, respectively. Overall, world silicon MSI consumption grew 9.1 percent in 1986. In 1987, world silicon consumption increased 8.7 percent to 1,396 MSI.

Table 28 contains Dataquest's forecast of silicon consumption by captive and merchant semiconductor manufacturers by region of device production. In general, we believe that the growth in silicon consumption tracks with growth in device production revenue in each of the four regions through 1992. We believe the long-term trend will be for silicon consumption (in MSI) to grow more slowly than production revenue (as measured in dollars). This translates to a slowly increasing revenue per square inch as a function of time. Factors that increase revenue per square inch include higher device ASPs and device yields. ASPs will increase as higher-valued products such as ASICs become a larger percentage of the product mix. Yields should improve as manufacturers focus on tighter particulate control and achieve shorter cycle times through manufacturing automation. However, as devices become more complex, die size typically increases. This will slow the upward trend of average revenue per square inch since yields drop with proportionately larger chips.

Table 28

Forecast Silicon Consumption by Region*, 1987-1992 (Million Square Inches)

United States Growth

Japan Growth

Europe Growth

ROW Growth

Total Growth

1997

445 9.6%

692 8.0%

177 2.3%

82 28.1%

1,396 8.7%

1988

516 15.9%

791 14.3%

202 14.2%

106 28t7%

1,615 15.7%

19?9

543 5.3%

836 5.6%

223 10.2%

124 17.4%

1,726 6.9%

1990

560 3.0%

784 (6.2%)

222 (0.5%)

118 (4,5%)

1,683 (2.5%)

1991

633 13.2%

855 9.1%

244 10.3%

153 29.1%

1,885 12.0%

1992

738 16.5%

983 15.0%

294 20.2%

197 28.9%

2,211 17.3%

CAGR 1987-1992

10.6%

7.3%

10.7%

19.1%

9.6%

ARegiou of silicon consumption, not country of company ownership Note; Columns may not add to totals shown because of rounding.

Source: Dataquest July 1988

SEMS Markets and Technology © 1988 Dataquest Incorporated July 51 0000819

Page 217: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Wafer Size

The changing mix of wafer size is an indicator of the penetration of leading-edge equipment and new fab capacity. Further, as wafer diameter increases, poly usage increases at a more rapid rate, due to greater wafer thickness at the larger diameters. The estimated percentage of consumption of MSI of silicon by wafer size for 1985 through 1992 is presented in Table 29. This information reflects Dataquest's estimate of wafer size consumption for semiconductor production in the United States.

For convenience, Table 29 has been translated into percent wafer starts, presented in Table 30. Wafer starts are calculated by taking the percent consumption for a given wafer size in a given year, multipljdng by the U.S. silicon consumption in that year, and dividing by the number of square inches in that given wafer size.

Table 29

United States Square Inch Distribution by Wafer Size, 1985-1992 (Percent Million Square Inches)

Diameter 1985 1986 1987 1988 1989 1990 1991 1992

2-Inch 3-Inch 100mm 125mm 150mm 200mm

0.5% 6.8%>

55 .3% 29 .9%

7.5% 0

0.4% 5.9%

44 .9% 33 .9% 14.9%

0

0 . 1% 3 .3%

3 6 . 3 % 4 0 . 1 % 19.4%

0.7%

0 . 1% 2.8%

3 4 . 3 % 39 .0% 22 .1%

1.7%

0 . 1 % 2 .3%

32 .2% 3 6 . 1 % 26 .7%

2.6%

0 . 1 % 1.8%

30.2% 33 .0% 3 1 . 3 %

3.7%

0 1.3%

2 8 . 1 % 29.9% 35 .9%

4 .8%

0 0 .8%

2 6 . 1 % 27 .0% 40 .4%

5.7%

MSI 398 406 445 516 543 560 633 738

Source; Dataguest July 1988

52 © 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819

Page 218: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

Table 30

United States Wafer Start Distribution by Wafer Size. 1985-1992 (Percent Million Wafer Starts)

Diamete

2 - I n c h 3 - I n c h lOOnim 125nun ISOrnm 200mm

Wafe r s

ir

(M)

1985

2 . 1 % 12 .8% 60 .5% 20.9%

3.6% 0

2 9 . 9

1986

1.8% 12.0% 52 .9% 25 .5%

7.8% 0

2 8 . 3

1987

0.6% 7 .3%

4 7 . 2 % 33.4% 11 .2%

0.2%

2 8 . 1

1988

0 .5% 6.4%

45 .9% 33.4% 13.2%

0.6%

3 1 . 7

1989

0.4% 5.4%

44 .7% 32.0% 16 .5%

0.9%

3 2 . 2

1990

0 .3% 4 .4%

4 3 . 5 % 30 .4% 20.0%

1.3%

3 1 . 9

1991

0.2% 3 .3%

4 2 . 1 % 28 .6% 23.9%

1.8%

3 4 . 7

1992

0 . 1 % 2 . 1 %

40 .6% 26.9% 28.0%

2.2%

3 8 . 9

Source: Dataquest July 1988

In 1987, 125mm wafers represented the largest segment of wafer size distribution by percentage of MSI, with 40.1 percent. Dataquest expects 125mm wafers to continue to represent the majority of MSI processed in the United States until the 1990 to 1991 time frame. At that time, Dataquest estimates that each of the three major sizes—100mm, 125mm, and 150mm wafers—will represent approximately. an equivalent amount of square inches, on the order of 30 percent each. Dataquest believes that 125mm wafer consumption in the United States has been strong because it provides an interim step in the technology transition to ISOmm wafer processing. Dataquest believes, however, that the majority of new fab capacity in the United States over the next several years will be for ISOmm wafer processing and that by 1992, ISOmm wafers will represent 40.4 percent of MSI consumption.

Device production on 200mm wafers is still in its infancy. Dataquest expects that large-scale movement into 200mm production will not occur imtil some time after 1991. Note that 200mm wafers are forecast to be 5.7 percent of the wafer size mix, as measured in MSI, in 1992. This translates to only 2.2 percent of the wafer starts in that year. This apparent paradox is due to the proportionately larger area represented by a 200mm wafer—it is almost 1.8 times larger than a ISOmm wafer, 2.5 times larger than a 125mm wafer, and 4.0 times larger than a 100mm wafer. When 100mm wafers are evaluated on the basis of wafer starts, they dominated the 1987 wafer size distribution, with 47.2 percent of wafer starts. Even with new 150mm capacity coming on-lme, the 100mm wafer size is expected to continue to represent the largest segment of the wafer size distribution in 1992, accounting for 40.6 percent of all starts in the United States.

SEMS Markets and Teclinology 0000819

© 1988 Dataquest Incorpwrated July 53

Page 219: Semiconductor equipment, manufacturing, and materials …archive.computerhistory.org/resources/access/text/2013/... ·  · 2013-04-30publishing for the first time its comprehensive

Silicon and Epitaxial Wafer Markets

(Page intentionally left blank)

54 © 1988 Dataquest Incorporated July SEMS Markets and Technology 0000819