Pump Induced Slurry Handling Effects on CMP Performance...Changes during slurry handling 110 0...

29
Pump Induced Slurry Handling Effects on CMP Performance Rajiv K. Singh, F.C. Chang and S. Tanawade Materials Science and Engineering Particle Science Engineering Center University of Florida, Gainesville FL

Transcript of Pump Induced Slurry Handling Effects on CMP Performance...Changes during slurry handling 110 0...

  • Pump Induced Slurry Handling Effects on CMP Performance

    Rajiv K. Singh, F.C. Chang and S. Tanawade

    Materials Science and EngineeringParticle Science Engineering CenterUniversity of Florida, Gainesville FL

  • OutlineIntroductionCMPOversize Distribution (OSD) and DefectivityExperimental DesignResults and Discussion

    Interparticle Force and Particle AgglomerationEffect of pH, Ionic Strength and Particle SizeCorrelation Defectivity- Oversize

    Conclusions

  • CMP of Metals and DielectricsSlurry (mixture of chemicals and particles) is a key enabling technology for metal and dielectric CMP.

    Chemical action from aqueous media (sometimes abrasives)Mechanical action from abrasivesCMP regime: increased removal rate and better surface at lower pressures (vs mechanical regime)

    ωs

    ωp

    slurry feed

    polishing pad platen

    wafer

    pressure

    Carrierωs

    ωp

    slurry feed

    polishing pad platen

    wafer

    pressure

    Carrier Removal Rate

    Incr

    ease

    Dec

    reas

    e

    Surface Finish

    PoorEnhanced

    Mechanical Chemical

    Chemo-Mechanical

    RegimeRemoval Rate

    Incr

    ease

    Dec

    reas

    e

    Surface Finish

    PoorEnhanced

    Mechanical Chemical

    Chemo-Mechanical

    Regime

  • CMP : Polishing MechanismFormation of chemically modified surface layerMechanical removal of this modified layer

    Åto

    nm

    Chemically modified surface layer

    Substrate

    Thin passivating layer results in lower defectivity and planarization

  • CMP SlurriesMixture of Particles and ChemicalsTypical Slurry Composition

    ParticlesType (silica, alumina, ceria)Size (30 - 200 nm)Size distribution (monosize desirable)ChemistrypH (acidic – basic)Oxidizer (H2O2)Other additives (surfactants, salts)Slurries are distributed by pumpsIssues

    - Particle Stability- Particle Size Distribution

    Dilution &

    mixing station

    Day Tank

    Slurry Distribution System

  • CMP Performance – Slurry DependentParticle Characteristics• Size• Size Distribution• Shape• Mechanical Prop. • Chemistry• Dispersability• Concentration

    Chemistry• Slurry Chemicals• pH

    Down Pressure & Velocity

    Pad Characteristics• Mechanical Prop.• Topography

    Substrate• Mechanical Prop.• Feature size & density

    ωs

    ωp

    slurry feed

    polishing pad platen

    wafer

    pressure

    Carrierωs

    ωp

    slurry feed

    polishing pad platen

    wafer

    pressure

    Carrier

    OUTPUT PARAMETERS

    Removal ratePlanarizationSurface finishSelectivity

  • Particle Size and Size DistributionParticle size and size distribution should not change significantlySilica relatively stable over a wide range of pH (2-10) and salt concentration (1mM to 300mM)Very low concentration of oversize tail affects defectivity during polish.

    50 100 150 200 250 300-2

    0

    2

    4

    6

    8

    10

    12

    14

    16

    pH 10.4 pH 2.03

    Diff

    eren

    tial V

    olum

    e %

    Particle size (nm)0.1 1

    0

    2

    4

    6

    8

    10

    12

    14

    16

    Klebosol 12 wt%, pH 10.4

    No Salt 0.1 M NaCl 0.3 M NaCl 0.5 M NaCl

    Diff

    eren

    tial V

    olum

    e (%

    )

    Particle Size (µm)

    OSD OSD

  • Oversize Distribution

    Particle Size

    Defectivity

    Con

    cent

    ratio

    n

    Polishing Rate

    Affects defectivity during polishing (even at ppm levels)Oversize tail distribution is not constantChanges during slurry handling

    1 100

    10,000

    20,000

    30,000

    40,000

    50,000

    60,000

    70,000 0 turnover 500 turnovers 1000 turnovers

    Particle Size (µm)

    Bellows Pump5wt% Silica / pH 7

    Cum

    ulat

    ive

    Con

    c(#

    / m

    l)

    Pump Induced EffectspH 2

    5% Silica

  • Pump Induced Oversize Distribution (OSD)

    CentrifugalPump

    Positive Displacement Pumps

    Commercial low K slurries

    0

    2

    4

    6

    8

    10

    12

    0 2 4 6 8 10 12

    BellowsDiaphragmCentrifugal

    R2= 0.90

    0

    2

    4

    6

    1 1.5 2 2.5 3 3.5normalized oversize particles

    scra

    tch

    den

    sity

    #/s

    q.m

    m

    Centrifugal pumps significantly reduce OSD effectsReduced defectivity (scratches) in low K polishing

    Centrifugal

    Positive Displacement

    Centrifugal

    Positive Displacement

  • Key Issue – Dynamic Changes in OSDWill all slurries (pH or salt) show similar particle agglomeration response during slurry handling?How do pumping characteristics affect slurry agglomeration ?What are the fundamental issues in slurry design that control the agglomeration characteristics?Is there a direct correlation between oversize and CMP defectivity under all slurry composition conditions?

    Dilution &

    mixing station

    Day Tank

  • ObjectivesParticle Agglomeration During Slurry Handling with Pump

    Role of Slurry Chemistry and Particle SizeRole of pumps (Centrifugal vs Positive Displacement)

    Polishing Defects Due to Slurry HandlingFundamentals/ Mechanisms of Particle Agglomeration

  • Slurry Engineering IssuesSlurries possess a wide range of characteristicsPump Induced Agglomeration (OSD)

    External Forces (Pump Characteristics)Repulsive Forces (Slurry Parameters)

    Slurry DesignParticle Type Silica (STI, copper, low K, W)Particle Size (30 – 100 nm)Ionic Strength (1 mM – 200 mM)

  • Interparticle Forces

    Electrostatic Force

    0

    0.02

    0.04

    0.06

    0.08

    0.1

    0 2 4 6 8 10 12pH

    F/R

    (mN

    /m)

    Electrical Double Layer

    - ------ -

    --⊕- -

    -⊕

    ⊕⊕

    ⊕⊕⊕

    ⊕ ⊕

    ⊕⊕⊕

    ⊕⊕

    ⊕⊕

    ⊕⊕

    -- ------

    --⊕- -

    -⊕

    ⊕⊕

    ⊕⊕⊕

    ⊕ ⊕

    ⊕⊕⊕

    ⊕⊕

    ⊕⊕

    Stern PlaneShear Plane

    Interparticle Distance

    )exp(/ 2 DRF κκψ −∝∝κ (Ionic Strength)1/2

    DZeta Potential

    ψ = Surface Potential1/k = Debye Layer

    Electrostatic Force

    00.010.020.030.040.050.060.070.080.09

    0.1

    1 10 100 1000Monovalent salt Concentration (mM)

    F/R

    (mN

    /m)

  • Experimental Protocols1. Slurry variation

    pH 2 – 11Size (30 – 60 nm)Ionic Size ( 10-3 M to 0.1 M)

    2. Subject slurries via: turnovers in a slurry loopFlow Rate ~ 12 lit/minTurnovers ~ up to 1000Pump ~ Centrifugal and Positive Displacement pumps

    3. Conduct CMP ExperimentsIC1000 pad.Low K BD1 substratePressure 1.5 – 3 psiTime (1 minute), 0.6 m/sec

    4. Conduct Metrology (Size, OSD, Defectivity)5. AFM Force Measurements

  • Atomic Force Microscope

    Cantilever With Probe Tip

    PositionSensitive Detector

    Laser

    PiezoelectricCrystal

    Topographic ImagesForce Measurements:

    Silica slurry particle:2R= 1.5 µm

  • Typical Oversize Distribution with turnovers

    Oversize particles significantly increases at low pH values

    1 100

    20,000

    40,000

    60,000

    80,000

    100,000

    120,000

    140,000

    160,000 0 turnover 500 turnovers 1000 turnovers

    Cum

    m C

    onc.

    (#/m

    l)

    Particle Size (µm)

    Bellows PumppH-2

    5% 30nm silica

    1 100

    20,000

    40,000

    60,000

    80,000

    100,000

    120,000

    140,000

    160,000 0 turnover 500 turnovers 1000 turnovers

    Cum

    m C

    onc.

    (#/m

    l)

    Particle Size (µm)

    Bellows PumppH-11

    5% 30nm silica

  • OSD vs Slurry Parameters

    Low pH and salt addition significantly enhances particle agglomeration

    5 100

    5

    10

    15

    20

    25 pH 2 pH 7 pH 11

    C10

    00/C

    0 tur

    nove

    rs

    Particle Size (µm)

    Bellows Pump5% 30nm silica

    5 100

    5

    10 pH 11 100 mM KCl

    C10

    00/C

    0 tu

    rnov

    ers

    Particle Size (µm)

    5% 30nm silica

    pH Salt

    pH-2

    pH-7

    pH-11

    100mM KCl

    0mM KCl

  • OSD Vs Particle Size

    0 5 10

    10

    20

    30

    40

    50

    60

    70 30 nm 30 nm with 0.1M KCl 60nm 60 nm with 0.1M KCl

    C10

    00/C

    0 tu

    rnov

    ers

    Particle Size (µm)

    1000 turnovers- pH 11

    Large particle size cause higher particle agglomeration

    60nm-100mM KCl

    30nm-100mM KCl

    0mM KCl

  • Measurement of Interparticle Force

    HAaVA 12

    −=

    a

    wafer

    Cantilever

    • Interparticle Potential Energy

    • Particle- Plane Surface Potential Energy

    HAaVS 6

    −=

    H

    HSEM of 5 micron size silica attached to AFM tip

  • Inter-particle Force Measurements

    Silica-Silica Interparticle Forces

    -1

    0

    1

    2

    3

    4

    5

    0 5 10 15 20 25 30 35 40

    Separation Distance (nm)

    F/R

    (mN

    /m) pH 11

    pH 7pH 2

    Interparticle force increases with pH

    pH 11

    pH 2pH 7

  • Particles Agglomeration Vs pH

    0

    1

    2

    3

    4

    5

    6

    7

    8

    9

    10

    pH 2 pH 7 pH 11

    C10

    00/C

    0 tur

    nove

    rs

    Normalized OversizeParticles

    02468

    10

    pH 2 pH 7 pH 11

    C10

    00/C

    0 tur

    nove

    rs

    0246810

    F/R

    (mN

    /m)

    Normalized OversizeParticlesInterparticle Forces

    Particle agglomeration increases as pH decreases

    Particle agglomeration is higher for lower interparticle forces

  • 1 2 3 4 5 6 7 8 9 100

    5

    10

    15

    20

    25

    30

    35

    40

    Centrifugal Bellows

    C10

    00/C

    0 tu

    rnov

    ers

    Particle Size (µm)

    5wt% Silica / pH 2

    1 2 3 4 5 6 7 8 9 100

    5

    10

    15

    20

    25

    30

    35

    40

    Centrifugal Bellows

    C10

    00/C

    0 tu

    rnov

    ers

    Particle Size (µm)

    5wt% Silica pH 2/ 0.1M KCl

    Positive Displacement vs Centrifugal Pumps

    Centrifugal Pump causes significantly less particle agglomeration

  • Polishing Defectivity – Positive Displacement Pump

    Bellows-pH 2

    0

    5

    10

    15

    20

    25

    30

    As-received slurry 1000 turnovers 1000 turnovers- 0.1MKCl

    C10

    00/C

    0 tur

    nove

    rs

    Normalizedoversize particles

    1000 turnovers 1000 turnovers- 0.1M KCl

    Positive displacement pump significantly increases in defectivity

    As-received slurry

  • Defectivity- Positive Displacement Pumps

    Bellows-pH 2

    0

    0.5

    1

    1.5

    2

    2.5

    0 5 10 15 20 25 30Normalized Oversized Particles

    RM

    S (n

    m)

    0510152025303540

    Rm

    ax (n

    m)

    RMSRmax

    •As oversize particle distribution increases, Rmax and RMS increase.

    •Defectivity higher as lower pH and high salt concentration.

    Bellows-pH 11

    00.20.40.60.8

    11.21.41.61.8

    0 5 10 15 20 25Normalized Oversized Particles

    RM

    S (n

    m)

    0

    5

    10

    15

    20

    25

    30

    35

    Rm

    ax (n

    m)

    RMSRmax

  • Polishing Defects – Centrifugal Pump (pH 11)

    Centrifugal-pH 11

    0

    2

    4

    6

    8

    As-received slurry 1000 turnovers 1000 turnovers-0.1M KCl

    C10

    00/C

    0 tur

    nove

    rs

    Normalizedoversize particles

    As-received slurry

    1000 turnovers 1000 turnovers-0.1M KCl

    Centrifugal pump does not increase polishing defectivity

  • Defectivity – Centrifugal Pumps ( pH 2)

    Centrifugal-pH 2

    00.10.20.30.40.50.60.70.8

    0 1 2 3 4 5 6 7 8 9Normalized Oversize Particles

    RM

    S (n

    m)

    0

    5

    10

    15

    20

    25

    30

    35

    Rm

    ax (n

    m)

    RMSRmax

    Centrifugal pump does not increase polishing defectivity

    No Handling

  • Oversize Particles-pH 2

    050,000

    100,000150,000200,000250,000300,000350,000400,000450,000500,000

    pH 2 pH 2 with 0.1M KCl

    Cum

    Con

    c. (#

    /ml)

    BellowsCentrifugal

    RMS-pH 2

    0

    0.5

    1

    1.5

    2

    2.5

    pH 2 pH 2 with 0.1M KCl

    RM

    S (n

    m)

    BellowsCentrifugal

    Rmax-pH 2

    05

    10152025303540

    pH 2 pH 2 with 0.1M KCl

    Rm

    ax (n

    m)

    BellowsCentrifugal

    Centrifugal vs Positive Displacement Pumps (Acidic Slurries)

    Centrifugal pump causes significantly less particle agglomeration and lower defectivity (surface roughness) at pH 2

  • Oversize Particle-pH 11

    050,000

    100,000150,000200,000250,000300,000350,000400,000

    pH 11 pH 11 with 0.1M KCl

    Cum

    Con

    c. (#

    /ml)

    BellowsCentrifugal

    RMS-pH 11

    00.20.40.60.8

    11.21.41.61.8

    pH 11 pH 11 with 0.1M KCl

    RM

    S (n

    m)

    BellowsCentrifugal

    Rmax-pH 11

    0

    5

    10

    15

    20

    25

    30

    35

    pH 11 pH 11 with 0.1M KCl

    Rm

    ax (n

    m)

    BellowsCentrifugal

    Centrifugal pump results in significantly less OSD and lower defectivity (surface roughness) at pH 11

    Centrifugal vs Positive Displacement Pumps (Basic Slurries)

  • Conclusions

    Slurry composition plays a critical role in increase in oversize fraction during slurry handling with conventional positive displacement pumps.

    OSD increases under acidic pH conditionHigh ionic strengthLarger particle size

    Centrifugal pumps significantly reduced oversize particle formation under all slurry conditions.The increased number of oversize particles correlates to higher defectivity during CMP polishing of low k dielectrics.