Internet Technologies Drive Digital Convergence at...

16
T he collection of papers by leading world experts to be presented at the International Solid-State Circuits Conference 2001 (ISSCC) 5–7 February 2001 at the San Francisco Marriott provides an exten- sive overview of achievements in the Internet Age (see Table 1). The Inter- net Age is a direct result of the tremendous advances in solid-state circuits enjoyed over the last three decades. Its impact is revolutionary in terms of cost-effective delivery of new products and services. The conver- gence of wireless and wireline digital communications with advanced com- puting technologies enables new Sys- tem On A Chip concepts, apparently limited only by the imagination of the designers. The three plenary speakers support this theme: “i-mode: 21st century mobile Internet,” by Kei-ichi Enoki, NTT DoCoMo, Tokyo, Japan i-mode mobile telephone services in Japan feature browsers that read HTML and send and receive email or obtain information from the Web. Of the 60 million mobile phone users in Japan, which is more than the number of fixed phone sub- scribers, 12 million have subscribed to i-mode in its first 18 months. In the future, i-mode will evolve into mobile multimedia and will feature Java technology, Secure Socket Layer (SSL) functions, and in the third-generation era of IMT2000, image transmission will become a reality. The key to i-mode evolution is processors that handle audio sig- nals and execute a variety of appli- cations. The author will discuss the status and future of i-mode services and their impact on IC technologies. “Broadband access: The last mile,” by L. Cloetens, Alcatel, Brussels, Belgium Driven by deregulation, a multi- tude of new transmission technolo- gies has been deployed and stan- dardized in recent years. Complex evolving standards require architec- tures with programmable DSP cores, standard processors, and memories complemented by downloadable software. New structures for analog drivers, power amplifiers, ADCs, and DACs are required to achieve transmission performance at reason- able power. Design is dominated by analog aspects. Achieving transmis- sion performance with reasonable power consumption needs to be tackled by new structures for analog drivers, power amplifiers, ADCs, and DACs. Three families of access technology will be discussed: DSL, best known of the broadband tech- nologies and outpacing the others in deployment speed; wireless in the local loop (WLL) and local mul- tipoint distribution system (LMDS); and point-to-multipoint optical (PON), offering large bandwidth. ® IEEE Solid-State Circuits Society Quarterly Newsletter Volume 6 Number 1 January 2001 Continued on next page Solid-States Circuits Society Newsletter 1 Internet Technologies Drive Digital Convergence at ISSCC 2001 Five New AdCom Members Elected —Story Page 8 IN Internet Technologies Drive Digital Convergence at ISSCC 2001 . . . . . . .1 Retrospective of ESSCIRC 2000 in Stockholm . . . . . . . . . . . . . . . . . .4 2000 VLSI Circuits Retrospective . . . . .5 Call for Nominees for SSCS Administrative Committee Election . . . . . . . . . . . . . . .6 Liang Dai of University of Minnesota Receives the Second 2000–2001 SSCS Predoctoral Fellowship . . . . . . . . . . .7 Call for IEEE Fellow Nominations . . . .7 AdCom Results of 2000 SSCS Elections . .8 AdCom Actions: August 2000 . . . . . . .9 IEEE Solid-State Circuits Award—Call for Nominations . . . . . . . . . . . . . . . . .11 Chapters Round-Up . . . . . . . . . . . . .12 Chapter Chairs Rate SSCS Distinguished Lecturers Program . . . . . . . . . . . . .14 JSSC Accepting Submissions in PDF Format via Email . . . . . . . . . . . . . .14 SSCS Membership News . . . . . . . . . .15 SSCS Events Calendar . . . . . . . . . . .16

Transcript of Internet Technologies Drive Digital Convergence at...

Page 1: Internet Technologies Drive Digital Convergence at …sscs.ieee.org/images/files/newsletter_archive/sscs...T he collection of papers by leading world experts to be presented at the

The collection of papers byleading world experts to bepresented at the International

Solid-State Circuits Conference 2001(ISSCC) 5–7 February 2001 at the SanFrancisco Marriott provides an exten-sive overview of achievements in theInternet Age (see Table 1). The Inter-net Age is a direct result of thetremendous advances in solid-statecircuits enjoyed over the last threedecades. Its impact is revolutionary interms of cost-effective delivery of new

products and services. The conver-gence of wireless and wireline digitalcommunications with advanced com-puting technologies enables new Sys-tem On A Chip concepts, apparentlylimited only by the imagination of thedesigners. The three plenary speakerssupport this theme:

“i-mode: 21st century mobileInternet,” by Kei-ichi Enoki, NTTDoCoMo, Tokyo, Japan

i-mode mobile telephone servicesin Japan feature browsers that readHTML and send and receive emailor obtain information from the Web.Of the 60 million mobile phoneusers in Japan, which is more thanthe number of fixed phone sub-scribers, 12 million have subscribedto i-mode in its first 18 months. Inthe future, i-mode will evolve intomobile multimedia and will featureJava technology, Secure SocketLayer (SSL) functions, and in thethird-generation era of IMT2000,image transmission will become areality. The key to i-mode evolutionis processors that handle audio sig-nals and execute a variety of appli-cations. The author will discuss thestatus and future of i-mode servicesand their impact on IC technologies.

“Broadband access: The lastmile,” by L. Cloetens, Alcatel,Brussels, Belgium

Driven by deregulation, a multi-tude of new transmission technolo-gies has been deployed and stan-dardized in recent years. Complexevolving standards require architec-tures with programmable DSP cores,standard processors, and memoriescomplemented by downloadablesoftware. New structures for analogdrivers, power amplifiers, ADCs,and DACs are required to achievetransmission performance at reason-able power. Design is dominated byanalog aspects. Achieving transmis-sion performance with reasonablepower consumption needs to betackled by new structures for analogdrivers, power amplifiers, ADCs,and DACs. Three families of accesstechnology will be discussed: DSL,best known of the broadband tech-nologies and outpacing the othersin deployment speed; wireless inthe local loop (WLL) and local mul-tipoint distribution system (LMDS);and point-to-multipoint optical(PON), offering large bandwidth.

®

IEEE Solid-State Circuits Society Quarterly Newsletter

Volume 6Number 1

January 2001

Continued on next page �

Solid-States Circuits Society Newsletter 1

Internet Technologies Drive Digital Convergence atISSCC 2001

Five New AdCom Members Elected

—Story Page 8

IN

Internet Technologies Drive Digital Convergence at ISSCC 2001 . . . . . . .1

Retrospective of ESSCIRC 2000 in Stockholm . . . . . . . . . . . . . . . . . .4

2000 VLSI Circuits Retrospective . . . . .5

Call for Nominees for SSCS AdministrativeCommittee Election . . . . . . . . . . . . . . .6

Liang Dai of University of MinnesotaReceives the Second 2000–2001 SSCSPredoctoral Fellowship . . . . . . . . . . .7

Call for IEEE Fellow Nominations . . . .7

AdCom Results of 2000 SSCS Elections . .8

AdCom Actions: August 2000 . . . . . . .9

IEEE Solid-State Circuits Award—Call forNominations . . . . . . . . . . . . . . . . .11

Chapters Round-Up . . . . . . . . . . . . .12

Chapter Chairs Rate SSCS DistinguishedLecturers Program . . . . . . . . . . . . .14

JSSC Accepting Submissions in PDF Format via Email . . . . . . . . . . . . . .14

SSCS Membership News . . . . . . . . . .15

SSCS Events Calendar . . . . . . . . . . .16

Page 2: Internet Technologies Drive Digital Convergence at …sscs.ieee.org/images/files/newsletter_archive/sscs...T he collection of papers by leading world experts to be presented at the

“Microprocessors for the newmillennium: Challenges, oppor-tunities, and new frontiers,” byPatrick P. Gelsinger, Intel Corpo-ration, U.S.A.

Continued advances in computer-system perf o rmance and powermanagement will re q u i re innovationsin all aspects of the computing plat-f o rm — a rc h i t e c t u re, micro a rc h i t e c-t u re, bus memory, and I/O perf o r-mance. Mobile, desktop, and serverp l a t f o rms, as well as networkingp rocessors, will each have its uniquemetrics that drive perf o rm a n c ei m p rovement, enabling such applica-tions as media-rich communications,computer vision, and speech re c o g-nition. Today’s data-based, machine-based computing paradigm willevolve into tomorrow’s knowledge-based, human-based computing, asthe Internet becomes more integralto businesses and consumers.

Contributed Paper SessionsDuring ISSCC 2001, 166 papers

will be presented in 26 sessions.Following are some highlightsselected in part by session Chairs.These and other related topics willbe discussed at length at the ISSCC,the foremost global forum for newdevelopments in the integrated cir-cuit industry. More complete detailsare available at the conference Website: www.isscc.org/isscc.

AnalogSession 3 on Monday aftern o o n

and Session 8 on Tuesday morn i n gwill have presentations demonstrat-ing the continuing shrinkage of ADCpower supplies. All the data convert-ers reported use 3.3 V or less; a band-pass sigma delta ADC implementedby V. Cheung et al. re q u i res only 1 V.In separate papers, M. Choi and G.Geelen will report on 6-b CMOSADCs that top 1 Gsample/s. Closingthe conference on Wednesday after-noon during Session 23, N. Krishna-pura and Y. Tsividis will present ananalog lowpass filter that shows 112-dB input range, and H. Wand willreport on a 50-GHz VCO fabricatedin 1.3-V CMOS.

DigitalIn Session 15 on Tuesday after-

noon and Session 20 on We d n e s d a ym o rning, three processor designs willbe presented that achieve clock ratesin excess of 1 GHz (see Table 2).

Imagers and MEMSIn Session 6 on Monday aftern o o n ,

t h e re will be seven papers pre s e n t e dthat show the rapid innovations inCMOS imager and sensor designs,including embedded image pro c e s-sors. Session 16 on Tuesday aftern o o nwill introduce microsensors of multi-ple functions integrated with on-chipi n t e rfacing and control electro n i c s .

MemoryRapid advances in flash and ferro-

electric memory technology haveyielded two significant results, to bep resented this year at Session 2 onMonday afternoon. T. Cho et al. willreport on a 1Gb NAND flash memo-ry with 2b/cell in 0.15 µm CMOSwhich can be changed to 512Mb1b/cell using fuses. Simultaneousoperation of 4 independent banksresults in 1.6 MB/s program thro u g h-put. Takashima et al. will present an8-Mbit chain FeRAM in 0.25-µm CMOSwith 40-ns random access time and70-ns cycle time. On We d n e s d a ya f t e rnoon during Session 24, H.Yoon et al. will present the world’sfirst 4-Gbit DRAM made of 4 billiontransistors in 0.10-µm technologywith low-voltage operation.

Signal ProcessingNew levels of integration have

been achieved for multimedia sys-tems with the addition of embeddedDRAM. Designs for MPEG andgraphics rendering are scheduledfor Tuesday morning’s Session 9and a DVD system during Session12 in the afternoon. On Wednesdaymorning, Session 21 will include apresentation on the first chips tosupport the 54-Mb/s IEEE 802.11aWireless-LAN Standard and a com-plete set top box System On A Chip(SOC) that integrates a cable TC

January 2001 • Volume 6 – Number 12

Table 1: Overview of EventsWorkshops and short courses have limited seating and preregistration is required.All event registration is available online at www.isscc.org/isscc.

TutorialsAttendees may register for amaximum of three tutorials.

Topics are:• Front-end circuits for optical

communications • Logical effort—Designing fast

CMOS circuits • Network processing ICs • Low-power design techniques

for microprocessors • Broadband design for wireless

and wired systems • Integrated electronics for

displays

I n ternet Te c h n o l o gies c o n t i n u e d

Page 3: Internet Technologies Drive Digital Convergence at …sscs.ieee.org/images/files/newsletter_archive/sscs...T he collection of papers by leading world experts to be presented at the

transceiver; an MPEG-2 decoder; a2D/3D graphics processor; and allA/Ds and D/As for QAM/QPSK,audio, and video.

Technology DirectionsFabrication of flip-flop and clock

circuits in bacterial cells, called agenetic circuit, demonstrates a basisfor future biochemical-based controlcircuits that operate inside a livingcell. T. Gardner will discuss geneticapplets Tuesday morning in Session7. On Wednesday morning in Ses-sion 17, J. Burns et al. will describet h ree-dimensional ICs for low-power, high-bandwidth SOC. Thecircuit implements a back-illuminat-ed 64 x 64 active-pixel sensor withfully parallel A/D conversion.

Wireless CommunicationsBluetooth designs lead off the

Wi reless LAN papers in Session 13on Tuesday afternoon, with others t a n d a rds implementations for wire-less consumer applications also presented. Of note are an IEEE

8 0 2 . 1 1 -b single-chip transceiver, a22-Mb/s zero-IF transceiver for a2.4-GHz WLANs, and a 5-GHz CMOSWLAN re c e i v e r. For WCDMA imple-mentations, attend Session 18 on 3-G wireless on Wednesday morni n g .

Wireline CommunicationsThis year features ICs for gigabit

optical communication at 10 Gb/sand 40 Gb/s. Advances in siliconCMOS and SiGe BiCMOS have nowreached the point where SONET cir-

cuits can take advantage of theselow-cost processes. With the higherlevels of integration enabled, CMOSin particular can be expected toincorporate framing and coding cir-cuitry to reduce package count. Overtime, long-haul telecom systems willi n c reasingly approach datacom sys-tems in both cost and density. Sixp resentations are scheduled on Mon-day afternoon for Session 5 andseven more on Wednesday morn i n gfor Session 19.

S o l i d -States Circuits Society Newsletter 3

Evening Panel Sessions

Monday

• Does Fabless Mean Futurelessfor Imaging?

• Ten Years of RF-CMOS—ButHow Many Products Today?

• Has Scaling Created a Microprocessor Monster?

• How Will Future Portable Sys-tems Store and Access Data:Disk, Semiconductor Memory,Emerging Technology, or viathe Internet?

Tuesday

• Embedded DRAM: Curiosity orWorkhorse?

• Broadband Access—Who WillWin the Race: Copper, Fiber,or Wireless?

• 100 Cubed: Science or Fiction?Is It Possible to Design a 100-mm2 System On A Chip with100-M Transistors in 100 Days?

• A re Startups Killing Innovation?

Table 2: Trends in Processor Frequency and Integration at ISSCC 2001

President:Bruce WooleyStanford University Stanford, [email protected]: +1 650 725 3383

Vice President:Charles SodiniMassachusetts Institute of TechnologyCambridge, MA

Secretary:Asad AbidiUniversity of CaliforniaLos Angeles, CA

Treasurer:David HodgesUniversity of California Berkeley, CA

Past President:

Lewis M. Terman IBM Research Yorktown Heights, NY

Elected AdCom Members at LargeTerms to 12/31/01:Gerhard Fettweis Mark Horowitz Richard C. Jaeger Charles G. Sodini Neil Weste

Terms to 12/31/02:Anantha ChandrakasanJohn CorcoranChris MangelsdorfWilly SansenChrister Svensson

Elected AdCom Members at LargeTerms to 12/31/03:Asad AbidiBryan AcklandGary BaldwinDavid HodgesKiyoo Itoh

Other Representatives:Representative from CAS to SSCS

Eby G. FriedmanRepresentative to CAS from SSCS

T. R. Viswanathan

Chairs of Standing Committees:Awards Richard C. JaegerChapters Jan Van der SpeigelEducational Activities Kevin O’ConnorMeetings Mark HorowitzMembership vacantNominations Robert G. MeyerPublications Richard C. Jaeger

Solid-State Circuits Technology Committee Cochairs:Stan SchusterSteve Garverick

Newsletter Editor:Lewis M. TermanIBM Research Yorktown Heights, [email protected]: +1 914 945 1358

For detailed contact information, see the Society Web page: w w w . s s c s . o rg/i n f o/

For questions regarding Society business, contact the SSCS Executive Office.

Contributions for the April issue of the newsletter must be received by 31 January atthe SSCS Executive Office.

Anne O’Neill, Executive Director Tel: +1 732 981 3400IEEE SSCS Fax: +1 732 981 3401445 Hoes Lane, P.O. Box 1331 Email: [email protected], NJ 08855-1331

Page 4: Internet Technologies Drive Digital Convergence at …sscs.ieee.org/images/files/newsletter_archive/sscs...T he collection of papers by leading world experts to be presented at the

The European Solid-State Cir-cuits Conference (ESSCIRC2000) was held 19–21 Septem-ber 2000 in Stockholm, Sweden.The conference was considere da success, with a re c o rd numberof 355 participants (405 atten-dees, including the workshops).The conference featured a total of 116papers: 8 invited talks, 65 re g u l a rpapers, and 43 posters. A brief sum-mary of the conference follows.

Wireless ThemeThe conference was opened by

Yrjö Neuvo from Nokia with thekeynote talk, “Future is wire l e s s ! ”Neuvo sketched the fast develop-ment of future, even more - a d v a n c e dw i reless systems, thus indicating thedominant theme of this confere n c e .Two more invited talks were re l a t e dto wireless: J. Haartsen with “Blue-tooth: From antenna to silicon” andB. Hedberg speaking on “Software -radio base-stations, a challenge foranalogue IC-design.” The strong ana-log trend of the ESSCIRC was furtheremphasized by K. Bults’ invited talk,“Analog design in deep submicro nCMOS.” New trends in technologyw e re described in the invited talks,“SOI CMOS circuit design exposed—Another dirty tricks campaign,” by W.Redman-White and K. Bernstein; and“3-D ICs: Motivation, perf o rm a n c eanalysis and technology,” by K. C.Sarawat, K. Banerjee, A. R. Joshi, P.Kalavade, K. Kapur, and S. J. Sour.K . - T. Neumann of Volkswagen gavea fascinating description of what wecan expect from future cars in“ F u t u re trends in automotive elec-t ronics, sensors, and communicationsystems.” Finally, we learned abouthigh data rates on twisted pairs in“VDSL, from concept to chips,” by P.Spruyt, P. Antoine, S. Schelstraete, W.D e Wilde, and C. Gendarm e .

Analog DominanceAs expected, analog contributed

papers dominated the confere n c e .These papers covered subjects

ranging from simple amplifiers andanalog filters to AD converters andRF tranceivers. In this confere n c e ,the RF receivers, transceivers, andf requency synthesizers included anumber of dual-band designs.T h e re were also strong pre s e n t a-tions on RF designs with CMOS. G.Gramegna et al. from STMicro e l e c-t ronics presented a 900-MHz LNAwith a 1.05-dB noise figure. Also,two CMOS power amplifiers with aPAE exceeding 50% were pre s e n t e d :One by K. Mertens et al. fro mK.U.Leuven has received a PAE of62% with an output power of 1 W,using a Class E amplifier. Low-volt-age analog circuit designs played aremarkable role in this confere n c e ;for example, the paper by T.Lehman et al. from the Te c h n i c a lUniversity of Denmark re g a rding a1-V supply voltage operating CMOScascode amplifier having a high-gain output range over 0.4 V, usinga current-driven bulk technique tolower the MOS threshold voltage.On the subject of filters, D. Pythonand C. Enz from CSEM presented apaper on a micropower log-domainfilter implementation with CMOSdevices biased into weak inversionreaching over an 84-dB dynamicrange at 5 kHz from 1.5-V supply. Apipeline A/D converter with 9-bitaccuracy at 5 Msample/s operatingf rom a 1-V supply consuming only1.6 mW was introduced by M. Wa l-tari et al. of Helsinki University ofTechnology. Also, high-speed A/Dconverters and analog filters werewell presented.

Sensor TechniquesAs usual, there were a few papers

on sensor techniques. An interesting

example is the completelyself-contained, implantableintraocular sensor for measur-ing the pressure inside thelens of the eye, a paper pre-sented by K. Stangel et al. ofFraunhofer Institute, Duis-burg, Germany.

Digital and MemoryTw e n t y - t h ree digital and memory

papers were presented at ESSCIRCthis year, which is a clear impro v e-ment over previous years. Thre epapers described high-speed logictechniques: One paper, from A.Solomatnikov et al., demonstrated askewed static logic technique withthe same speed as domino logic, butwith better robustness. Severalpapers described high-speed digitali n t e rfaces, including optical re c e i v e r sand laser drivers. H. Ransin et al.p resented a paper on 10-Gb/slaser/modulator driver activelymatched output buffer and 60–120-mA driving capability. It was inter-esting to note a re c o rd number ofpapers concerning CMOS dividers,including a paper on 12 GHz in 0.25-µm process by B. DeMuer and M.Steyaert from K.U.Leuven.

System On A ChipA few papers described real Sys-

tem On A Chip designs; for example,a paper by A. Abrial et al. of FranceTelecom, “A new contactless smart-c a rd IC using an on-chip antennaand an asynchronous micro - c o n-t ro l l e r.” Even the power is suppliedt h rough the antenna!

ESSCIRC ’2001 will be held 18–20September in Villach, Austria.

Kari Halonen, Technical Program Co-Chair

Retrospective of ESSCIRC 2000 in Stockholm

Christer SvenssonTechnical P rogram Co-Chair

ESSCIRC ’2000c h r i s t e r @ i f m . l i u . s e

January 2001 • Volume 6 – Number 14

ESSCIRCProceedings are available through www.esscirc.org/proceedings.htm

Page 5: Internet Technologies Drive Digital Convergence at …sscs.ieee.org/images/files/newsletter_archive/sscs...T he collection of papers by leading world experts to be presented at the

The 2000 VLSI Circuits Symposiumwas held 15–17 June 2000 in Honolu-lu, Hawaii, in concert with the VLSITechnology Symposium. This closeassociation provided an intern a t i o n a lforum for those in technology and cir-cuit/system design to interact. A high-light of both symposia was the JointRump Session. On the threshold ofthe twenty-first century, this year thesession took a look into the future ina panel discussion, Circuit and SystemTechnology in the Year 2010. Alwaysheavily attended, this session sawnoted panelists from a number of dis-ciplines, including design, pro c e s s ,and wafer production, discussingtheir vision of the systems that wouldbe built in the coming decade and thep rocesses and advances necessary torealize them.

The VLSI Circuits Symposium cov-e red all aspects of transistor- l e v e ldesign, including imagers, patternrecognition, memories, micro p ro c e s-sors, analog circuits, and high-speedserial links. This year, 396 peoplef rom around the world were inattendance. Sixty-two papers werep resented, chosen from 193 submis-sions from 19 countries. In addition,t h e re were four invited papers.

Short CourseThe day before the symposium,

Behzad Razavi of UCLA organizedan outstanding Short Course, CircuitDesign for Wireline and “OpticalFiber Communications.” The follow-ing topics were presented by recog-nized experts in the field:

• “Analog front end design forADSL,” Richard Hester, TexasInstruments

• “ADSL circuit design,” BernhardZojer, Infineon

• “Overview of gigabit Ethernetover twisted pair,” Sailesh Rao,Level One Communications

• “Gigabit Ethernet implementationissues,” Kamran Azadet, Lucent

• “Overview of optical communica-tion standards,” Noboru Ishihara,NTT

• “Broadband circuits for opticalcommunications,” Edi Sackinger,Lucent

• “Overview of clock recoverytechniques,” Behzad Razavi,UCLA

• “Clock recovery circuits forSONET,” Yuriy Greshishchev,Nortel Networks

Short Courses are usually oversub-scribed and seating is limited, so ifyou decide to attend next year’s Sym-posium, be sure to register early.

Invited TalksBoth days of the Symposium

began with invited talks. Prof. KhalilNajafi of the University of Michiganp resented an invited talk on theopening day entitled “Micro m a c h i n e dm i c ro systems: Miniaturizationbeyond micro e l e c t ronics,” in whichhe described a broad range of appli-cation of micromachining. Baro m e t r i ctransducers, gyroscopes, accelero m e-ters, silicon micro p robes, and even aself-levitating wafer were described,as well as the process technologiesthat lead to these and other applica-tions. On the second day, C. G.Hwang of Samsung pre s e n t e d ,“ W h e re does memory go in the twen-ty-first century?” in which hedescribed the challenges and achieve-ments that lie ahead for memorytechnology in the next few years.

SessionsA paper from MIT’s Lisa McIlrath,

“A low power, low noise, ultra-widedynamic range CMOS imager withpixel-parallel A/D conversion,”whetted attendee interest in sensorand display technology. In this samevein, T. Blalock of Agilent Labs pre-sented “8-bit/color 1024 x 768microdisplay with analog in-pixelpulse width modulation and retinalaveraging offset correction.”

As wireless technology has risento the fore f ront of integrated circ u i tdesign, the advances have beenc h ronicled in the P ro c e e d i n g s of theSymposium. This year, Behzad Raza-

vi and Jafar Savoj of UCLA jointlyp resented two papers, “A 5.2-GHzCMOS receiver with 62-dB imagerejection” and “A 10-Gb/s CMOSclock and data recovery circuit.” Thefirst paper described the applicationof CMOS to the 5-GHz band for usein a radio LAN network, and the sec-ond paper reported the use of a 5-GHz clock to recover 10Gb/s datausing 0.18-mm CMOS technology.Changsik Yoo of the Swiss FederalInstitute of Technology discussedlow-power RF transmission for cellu-lar telephony in “A common-gateswitched, 0.9W Class-E power ampli-fier with 41% PAE in 0.25mm CMOS.”

M i c ro p rocessors continue toadvance their clock fre q u e n c i e sbeyond 1 GHz. Phillip Restle of IBMdescribed the analysis of a varietyclock network strategies and thefinal design of a 22-ps skew clocknetwork for a 1-GHz pro c e s s o r.Detailed simulations and designconsiderations for such a high-speed processor were presented inhis paper, “A clock distribution net-work for microprocessors.”

As usual, analog progress waswell represented at the Symposium,with two full analog sessions and anadditional two sessions on high-speed communications. Pio Blamel-li’s paper, “A 50-mW 14-bit 2.5MS/sS-D modulator in a .25 µm digitalCMOS technology,” describes af i f t h - o rder single-loop modulatorthat uses a tri-level quantizer toimprove loop stability. RF analogdesigners were given a simple ana-lytical model for the thermal noisein deep submicron CMOS in Ger-hard Knoblinger’s paper, “A newmodel for thermal channel noise ofdeep submicron MOSFETs and itsapplication in RF-CMOS design.”The model, verified by measure-ments, took into consideration theadditional noise due to hot carriereffects and their impact on low-noise amplifiers in the gigahertzrange. Finally, the session onNyquist Converters and Filters was

S o l i d -States Circuits Society Newsletter

2000 VLSI Circuits Symposium Retrospective

Continued on next page →

5

Page 6: Internet Technologies Drive Digital Convergence at …sscs.ieee.org/images/files/newsletter_archive/sscs...T he collection of papers by leading world experts to be presented at the

highlighted by Miguel Figueroa ofthe University of Washington withhis presentation, “A 200 MHz, 3mW,16-tap mixed-signal FIR filter.”

The VLSI Symposium has had atradition of reporting significantbreakthroughs in the area of memo-ry, and this year was no exception.A sampling of the many fine papersexploring memory includes:

• “A bit-line leakage compensationscheme for low-voltage SRAMs,”K. Agawa, Toshiba

• “A skew and jitter suppressedDLL architecture for high fre-quency DDR SDRAMs,” T.Hamamoto, Mitsubishi

• “A 2.5V, 2.0Gbyte/s 288M packet-based DRAM with enhanced cellefficiency and noise immunity,”K. H. Kyung, Samsung

• “A dual page programmingscheme for high-speed multi-Gb-scale NAND flash memo-ries,” K. Takeuchi, Toshiba

• “The scaling of data sensingschemes for high speed cachedesign in sub-0.18mm technolo-gies,” K. Zhang, Intel

We hope you can join us nextyear when the VLSI Symposium willconvene in Kyoto, Japan, so youmay experience all aspects of theSymposium.

The Proceedings of the 2000 Symposium on VLSI Circuits containsall the papers presented, but not the details of the Short Course pre-sentations. For soft- or hard b o u n dcopies or CD-ROMs, shop online atw w w . s h o p . i e e e . o rg / s t o re / and QuickS e a rch for “VLSI.” For single papersonly, email to: [email protected].

January 2001 • Volume 6 – Number 16

Bill Biderm a n nC h a i r2000 Symposium on VLSI C i rc u i t s

IEEE Solid-State C i rcuits Societyb i d e rm a n n @ p i x i m . c o m

In accordance with its Constitution, the IEEE Solid-State Circuits Society invites members of our Societyto nominate candidates for the Society’s Administra-tive Committee (AdCom).

Nominees by PetitionNominees will automatically be placed on the ballotprovided: • The nominee is presently a member of the IEEE

Solid-State Circuits Society. • The petition is supported by at least ten identifi-

able signatures of present members of the Society. • The nominee is both aware of and agrees to the

petition. • The petition is received by the Chair of the

Nominations Committee by 1 May 2001.

Terms of Office• The term of office is three years beginning

1 January 2002. • AdCom members may be reelected to a second

consecutive term. • The five nominees receiving the highest number

of votes from the Society membership will beelected.

ScopeElected AdCom members can expect to attend at

least two yearly meetings. In addition, much of theCommittee work is carried on by email, telephone, andfax throughout the year. The Solid-State Circuits Soci-ety currently sponsors The J o u rnal of Solid-State Cir -c u i t s, the International Solid-State Circuits Confere n c e ,the Custom Integrated Circuits Conference, the VLSIC i rcuits Symposium, and the Solid-State Circuits andTechnology Committee’s workshops. In addition, theSociety cosponsors or technically cosponsors a numberof other conferences and meetings.

The AdCom has responsibility for the overseeing ofthese and other potential future technical activitieswithin the Society’s field of interest.

Mailing PetitionsAll petitions should be accompanied by a personal

photograph and a short biography (200 words orless), including the nominee’s technical areas of inter-est. Petitions must be received by 1 May 2001. Peti-tions are to be mailed to:

IEEE SSCS Executive Office445 Hoes Lane, Piscataway, NJ 08855-1331

Robert G. SwartzPast Chair Nominating CommitteeIEEE Solid-State Circuits [email protected]

Call for Nominees for SSCS Administrative Committee Election

2000 VLSI Retrospective c o n t i n u e d

WHAT'S NEW: The “What’s New @ IEEE” email newsletter service recently took top honors, the Trophy Aw a rd, at the Gold Circ l eAw a rds, sponsored by the American Society of Association Executives. The Gold Circle Aw a rds recognize excellencein association communication programs. “What’s New” won in the Electronic Newsletters/Bulletins Category for associations with a total budget of $2 million or more .

What’s New @ IEEE in Circuits is one of the 10 free opt-in monthly e-news alerts. Sign up at w h a t s n e w . i e e e . o rg /.

Page 7: Internet Technologies Drive Digital Convergence at …sscs.ieee.org/images/files/newsletter_archive/sscs...T he collection of papers by leading world experts to be presented at the

What do Paul Gray, Mark Horowitz, To s h i a k iMasuhara, Rudy van de Plassche, Ian Young, andNicky Lu have in common? They are but a few of themany SSCS members who have been elected to thegrade of IEEE Fellow through the nomination and sup-port of the Society’s members.

The grade of IEEE Fellow is in recognition of excep-tional accomplishment and is conferred by the IEEEBoard of Directors on members having outstandingqualifications and experience who have made impor-tant individual contributions to their technical field.The total number selected in any one year can notexceed one-tenth percent of the total voting IEEEmembership.

Unfortunately, in recent years the number of nomi-nations for IEEE Fellow evaluated by the Society hasdropped below that for other IEEE societies of compa-rable size. As a Society, we simply are not doing anadequate job of recognizing the very significant contri-butions our members have made to our profession. Itis very important that we reverse this trend.

The Fellow nomination process is relatively straight-f o r w a rd but does re q u i re some forethought and plan-ning. The deadline for receipt of the nomination formand re f e rence letters is 15 March 2001. The nominatordoes not have to be an IEEE Fellow or even an IEEE

member; self-nomination, however, is not allowed. Thefive (minimum) to eight (maximum) re f e rences must bef rom current IEEE Fellows (Fellows are listed alphabeti-cally at the beginning of the IEEE Membership Dire c t o-ry. The nominee must be an IEEE Senior Member; forthose that are not, the IEEE Senior Member applicationand its three supporting re f e rences must be submitted no later than 4 February 2001. Senior Member forms and re f e rences can be emailed to Senior- m e m b e r-f o rms@ ieee.org or completed online at w w w . i e e e . o rg /o rg a n i z a t i o n s / r a b / m d / s m f o rm s . h t m.

The Fellow nomination form is four pages long. It isnot difficult to complete and should clearly focus onthe technical achievements for which the candidate isbeing nominated. It is usually completed in collabora-tion with the nominee.

Fellow kits can be requested in hard copy format ordownloaded from the Web: Fax: +1 732 981 9019; Email:f e l l o w - k i t @ i e e e . o rg; URL: h t t p : / / w w w . i e e e . o rg / a b o u t /a w a rd s / f e l l o w s / re q u e s t . h t m.

The Society welcomes suggestions of members whoshould be nominated for Fellow.

Richard JaegerIEEE SSCS Awards Committee [email protected]

S o l i d -States Circuits Society Newsletter 7

For the first time, theSSCS has awarded twoP redoctoral Fellowshipsin a given year. LiangDai, a doctoral candidateat University of Minneso-ta, has been selected toreceive the second IEEESolid-State Circuits Soci-ety Predoctoral Fellow-ship for 2000–2001. TheSSCS AdCom is pleasedto be able to award twoP redoctoral Fellowshipsannually beginning this year. “With afield of so many exceptional candi-dates, being able to award two makesthe choice slightly easier,” reports theAw a rds Chair, Richard Jaeger, “and sowe commend Mr. Dai for beingselected for this prestigious award . ”

Liang Dai (SM’96) was born inBeijing, China, in 1971. He re c e i v e d

the B.S. degree inphysics from BeijingUniversity in 1995 andthe M.S.E.E. degre ef rom the University ofMinnesota, Minneapo-lis, in 1998. He is cur-rently working towardthe Ph.D. degree inelectrical engineering atthe University of Min-nesota under Pro f .Ramesh Harjani.

His masters re s e a rc hfocused on the design of aswitched-opamp-based sample-and-hold that eliminates charge injectione r rors. Research results from hismasters thesis were published at theIEEE International Symposium ofC i rcuits and Systems and in the I E E EJ o u rnal of Solid-State Circ u i t s. Heworked as a summer intern at

Lucent Technologies, Allentown,PA, in 1998 and 1999. There hisre s e a rch focused on CMOS ringoscillator phase noise analysis andcharacterization of a low-jitter PLLfor the SONET OC-12 application.His contribution to the latter worka p p e a red in the IEEE Journal ofSolid-State Circ u i t s in October 2000.As a Ph.D. student, his re s e a rc hfocuses on the design and modelingof low-phase-noise CMOS VCOs forPLLs. In particular, he has doneextensive re s e a rch on the phasenoise for CMOS ring oscillators.R e s e a rch results have appeared atthe IEEE International Symposiumof Circuits and Systems and theI n t e rnational Symposium on Low-Power Electronics and Design. Hismain re s e a rch interests includehigh-speed analog and RF IC designfor communications systems.

Liang Dai of University of Minnesota Receives theSecond 20 0 0 – 20 01 SSCS Predoctoral Fe l l o w s h i p

Liang Dai

Call for IEEE Fellow Nominations

Page 8: Internet Technologies Drive Digital Convergence at …sscs.ieee.org/images/files/newsletter_archive/sscs...T he collection of papers by leading world experts to be presented at the

January 2001 • Volume 6 – Number 1

With this note, I report to you theresults of the fifth annual election formembership on the AdministrativeCommittee (AdCom) of the IEEESolid-State Circuits Society. For somereason, this election has not achievedthe same degree of notoriety andpublicity as the recent national elec-tions in the United States. Perhaps itwas because none of our candidatesrequested a recount! As has been thecase in all recent SSCS elections, thevote totals were very close, and

every candidate on the slate re c e i v e da significant number of votes fro mour membership.

The following candidates havebeen elected: Asad Abidi, Bryan Ack-land, Gary Baldwin, David Hodges,and Kiyoo Itoh. All five will take off i c eon January 1, 2001 and will serve for aperiod of three years as your re p re-sentatives on the AdCom. Their pho-tos and biographies are below.

I thank all of the candidates whoa g reed to run for this office. We are

all winners as a result of their spiritof volunteerism and their willingnessto commit their own time to advancethe technical development of ourmembers and our pro f e s s i o n .

AdCom Members Elections

Five New AdCom Members Elected for a Th r e e -Ye a rTerm Beginning 1 January 20 01

Asad A. Abidi(S’75 – M’80 – S’80– M’81 – SM’95 –F’96) received theB.Sc. (Hon.) de-g ree from Imperi-al College, Lon-don, U.K., in1976, and the M.S.

and Ph.D. degrees in EE from theUniversity of California, Berkeley, in1978 and 1981, re s p e c t i v e l y .

He was with Bell Laboratories,Murray Hill, NJ, 1981–84, and since1985 he has been a Professor in theEE Department at UCLA. He was aVisiting Faculty Researcher atH e w l e t t - P a c k a rd Laboratories in1989. His research interests are inCMOS RF design, high-speed ana-log integrated circuit design, dataconversion, and other techniques ofanalog signal processing.

D r. Abidi served as the Pro g r a mS e c retary for ISSCC 1984–90 andGeneral Chairman of the Sympo-sium on VLSI Circuits in 1992. In1992–1995, he was Editor of theIEEE Journal of Solid-State Circ u i t s.He received the 1988 TRW Aw a rdfor Innovative Teaching, the 1997IEEE Donald G. Fink Aw a rd, wasc o recipient of the Best Paper Aw a rdat the 1995 European Solid-StateC i rcuits Conference, and re c e i v e dthe Jack Raper Aw a rd for Outstand-ing Technology Directions Paper atthe 1997 ISSCC and the Design Con-

test Aw a rd at the 1998 DesignAutomation Conference. Hereceived an IEEE Millennium Medaland is a Fellow of the IEEE.

Bryan Ackland( S ’ 7 7 – M ’ 7 8 –S M ’ 9 0 – F ’ 9 2 )received the B.Sc.d e g ree in physicsf rom Flinders Uni-versity, Australia,in 1972, and theB.E. and Ph.D.d e g rees in EE

f rom the University of Adelaide, Aus-tralia, in 1975 and 1979, re s p e c t i v e l y .

In 1978, he joined Bell Laborato-ries. In 1986, he was appointedDirector of the DSP & VLSI SystemsResearch Department in Holmdel,NJ. Recent contributions of his teamhave included a single-chip videocodec for ISDN and POTS videoc o n f e rencing; a low-cost, low-power single-chip CMOS camerawith video quality comparable tothat of CCDs; and a high-perfor-mance, multiprocessor architecturefor DSP Systems On A Chip. Hiscurrent interests are focused onVLSI architectures and circuits forhigh-performance signal processingapplications, particularly in opticalcommunication and multimedia.

Dr. Ackland is the author of over60 conference and journal publica-tions and 11 U.S. patents. He

became a Bell Laboratories Fellowin 1993 for leadership in VLSI toolsand circuits. He received Best Paperawards at ICCD in 1985 and 1990,at DAC in 1986, and in JSSC in1998. He was an Editor of the IEEETransactions on Computers from1987 to 1994. From 1988 to 1994,he served on the Program Commit-tee for the IEEE ICCD, and hasbeen a member of the IEEE/ACMDesign Automation Confere n c eExecutive Committee since 1997.He is a Fellow of the IEEE.

Gary L. Baldwin( S ’ 6 6 – M ’ 7 0 –S M ’ 7 6 – F ’ 8 2 )received the B.S.,M.S., and Ph.D.d e g rees in EEfrom the Universi-ty of Californ i a ,Berkeley, in 1966,1967, and 1970,respectively.

He was an Acting Assistant Pro-fessor of EE at UC Berkeley1969–70 and was a member of thetechnical staff 1970–78 at Bell Labo-ratories, Holmdel, NJ. Since 1978,he has been with Hewlett-PackardLaboratories (now Agilent Laborato-ries), Palo Alto, CA, where he wasthe Director of the Solid-State Tech-nology Laboratory from 1987 to1999. His activities there includedthe management of work on com-

Asad A. Abidi

Bryan Ackland

8

Robert G. SwartzPast Chair, Nominating C o m m i t t e e

IEEE Solid-State C i rcuits Societyr. s w a r t z @ i e e e . o rg

Gary L. Baldwin

Page 9: Internet Technologies Drive Digital Convergence at …sscs.ieee.org/images/files/newsletter_archive/sscs...T he collection of papers by leading world experts to be presented at the

S o l i d -States Circuits Society Newsletter

pound semiconductor and organicmaterials and devices for electronicand photonic applications, GaAsand silicon IC design and fabrica-tion, and silicon micro m e c h a n i c sresearch. Since November 1999, hehas been on faculty loan to UCBerkeley, where he is the ProgramDirector of the Gigascale SiliconResearch Center.

Dr. Baldwin was a member of theISSCC Program Committee1974–1982. He was Editor of theIEEE Journal of Solid-State Circuits1980–88 and was President of theSolid-State Circuits Council fro m1986 to 1988. He was the ProgramCochair of the International Confer-ence on Semiconductor and Inte-grated Circuit Technology in Beijing,China, in 1995. He is a recipient ofthe IEEE Third Millennium Medal, amember of Eta Kappa Nu and SigmaXi, and is a Fellow of the IEEE.

David A. Hodges( S ’ 5 9 – M ’ 6 5 –S M ’ 7 1 – F ’ 7 7 )received the B.E.E.d e g ree from Corn e l lUniversity, Ithaca,N Y, and the M.S.and Ph.D. degre e sf rom the Universityof California, Berke-

ley, in 1961 and 1966, re s p e c t i v e l y .F rom 1966 to 1970, he was with

Bell Laboratories in Murray Hill andHolmdel, NJ. Since 1970, he hasbeen a faculty member in EECS atUC Berkeley. Following a year asChair of the EECS Department, hewas Dean of the College of Engi-neering from 1990 to 1996. Since1991, he and Professor R. C. Leach-man have led UC Berkeley’s inter-disciplinary re s e a rch program onCompetitive Semiconductor Manu-facturing. He has worked in re s e a rc hon semiconductor memories, signalp rocessing circuits, and switched-capacitor data converters and filters,and has authored or coauthore dm o re than 120 archival publications.He coauthored Analysis and Designof Digital Integrated Circ u i t s.

Prof. Hodges is a past Editor ofthe IEEE Journal of Solid-State Circuits and a past General Chair-man of the ISSCC. He was foundingEditor of the IEEE Transactions onSemiconductor Manufacturing. Hewas corecipient of the 1983 IEEEMorris N. Liebmann Aw a rd forswitched-capacitor circuits andreceived the 1997 IEEE EducationMedal. He is a member of the U.S.National Academy of Engineeringand a Fellow of the IEEE.

Kiyoo Itoh (SM’89 – F’96) re c e i v e dthe B.S. and Ph.D. de-grees in EEf rom Tohoku University, Japan, in1963 and 1976, re s p e c t i v e l y .

He is currentlyone of two Fel-lows at Hitachi,Ltd. He was a vis-iting lecturer atUC Berkeley in1994, a visitingP rofessor at theUniversity of

Waterloo in 1995, and is now a Con-sulting Professor at Stanford Univer-sity. Since 1972, he has led memory(especially DRAM) technology atHitachi. He was the lead designer ofthe first prototype for eight genera-tions of Hitachi DRAMs rangingfrom 4 Kb to 64 Mb. Since 1989, hehas been developing low-power/low-voltage CMOS circuits.He holds over 140 patents, includ-ing the folded bit line, in both Japanand the U.S. He has authored orcoauthored two books and over 110papers in IEEE journals and confer-ence proceedings.

Dr. Itoh received the 1993 IEEESolid-State Circuits Award, the 1984IEEE Paul Rappaport Award, and theBest Paper Award from ESSCIRC90.He has won many awards in Japan,including a Commendation by theMinister of State for Science andTechnology in 1997 and a NationalMedal of Honor with Purple Ribbonin 2000. He has served on the Pro-gram Committee of ISSCC and is aFellow of the IEEE.

Adcom Members Elections c o n t i n u e d

The IEEE Solid-State Circuits SocietyAdCom met in San Francisco on 28August 2000. Actions at the meetingincluded expanded funding of digitala rchives, continued cooperation top rovide technical cosponsorship for anumber of conferences, expandedsubsidies for the Society’s gro w i n gnumber of chapters, funding of anadditional predoctoral fellowship,and plans to survey membership sat-isfaction in 2001. Full minutes of themeeting, along with backgro u n dattachments and presentations, areavailable at w w w . s s c s . o rg / i n f o / m i n u t e s.

Meetings The Society currently has two lev-

els of conference sponsorship: fullsponsorship and technical cospon-sorship. Dealing first with sponsore dmeetings, the AdCom approved Ti mTredwell of Kodak as the new chairof the ISSCC Executive Committeebeginning in 2001. A re p re s e n t a t i v eof each major SSCS-sponsored con-f e rence, ISSCC, CICC, and the VLSIC i rcuits Symposium reported papersubmission and acceptance pattern s ,attendance and financial figures. Allc o n f e rences reported a financial sur-

plus. A loan of $35K to the 2001 VLSIC i rcuits Symposium was approved.

For technical cosponsored confer-ences, the AdCom reviewed BCTM,GaAsIC, and ISLPED. The SSCS agre e dto provide technical cosponsorship in2001 for each of these confere n c e s .New technical cosponsorship for DACand ICCAD was appro v e d .

At the AdCom meeting, the Meet-ings Committee proposed a thirdlevel of sponsorship. When the SSCS“cooperates with” conferences andworkshops, it will provide limited

AdCom Actions: August 20 0 0

Continued on next page →

9

Kiyoo Itoh

David A. Hodges

Page 10: Internet Technologies Drive Digital Convergence at …sscs.ieee.org/images/files/newsletter_archive/sscs...T he collection of papers by leading world experts to be presented at the

publicity for conferences and work-shops. This allows the Society tocooperate with conferences andworkshops that are already spon-s o red by other organizations, includ-ing SSCS chapters, which are valuablein certain geographic regions and/orsubspecialties in the SSCS field ofi n t e rest, although they may not be ofprimary interest to all our members.

Electronic PublishingFurther pro g ress toward pro v i d i n g

DVDs of archival solid-state circ u i t sarticles and papers have been fundedfor 2001. Funding of $230,000 hasenabled production to begin on aDVD of the ISSCC Digests from 1955to 2000. The DVD will also includethe J S S C 1966–2000. New andi m p roved indexing will be included,along with citation linking. The DVD

is expected to be available to mem-bers for purchase in the spring, withthe material also ported over to theI E E E X p l o re database later in the yearat w w w . i e e e . o rg / i e e e x p l o re.

AwardsThe economic health of the Soci-

ety has also enabled the funding ofa second predoctoral fellowship.See “Liang Dai Awarded SSCS Pre-doctoral Fellowship” on page 7.Deadline for 2001–2002 applicationsis 15 May 2001. Look for the Awardsbutton on the Society home page:www.ieee.org/sscs.

ChaptersThe AdCom approved $18,000 of

subsidy applications for 17 Chapters,up from 14 last year. Additionalmoney is also set aside for newly

f o rmed chapters to apply as they ini-tiate their activities. The ChaptersCommittee selection of the JapanChapter as recipient of the first SSCSOutstanding Chapter Aw a rd was alsoa p p roved at this meeting. See thestory on the cover of the October2000 SSCS Newsletter.

MembershipFunding was approved for a survey

in 2001 of Society membership satis-faction. The survey will examine waysof attracting new SSCS members andthe climate of existing SSCS members.The IEEE Office of InstitutionalR e s e a rch will conduct the survey.

To promote new memberships atthe premier SSCS and EDS meetings,ISSCC, and IEDM, the AdCom votedto continue the $25 new member-ship voucher program.

January 2001 • Volume 6 – Number 1

Technically Cosponsored Meetings

2001 VLSI-TSAInternational Symposium on VLSI Technology,Systems, and Applicationsw w w . e r s o . i t r i . o rg . t w / V L S I - T S A /18–20 April 2001 Taipei, Taiwan, R.O.C.Paper deadline: passedContact: Ran-Hong Ya n

Lucent Te c h n o l o g i e sHolmdel, NJ

Tel: +1 732 949 7695E m a i l : rh y @ l u c e n t . c o m

2001 Symposium on VLSI Technologyw w w . v l s i s y m p o s i u m . o rg12–14 June 2001Rihga Royal Hotel, Kyoto, Japan Remaining details identical to 2001 Symposium on VLSIC i rcuits on page 16.

ISLPED 2001International Symposium on Low Power Electronics and Design w w w . c s e . p s u . e d u / ~ i s l p e d /6–7 August 2001Huntington Beach, CAPaper deadline: 9 February 2001Deadline for tutorial, panel, talk proposals:

2 March 2001C o n t a c t : Vivek Di

Intel CorporationH i l l s b o rogh, OR

Tel: +1 503 696 3915Fax: +1 503 696 1442

ESSCIRC 2001European Solid-State Circuits Conferencew w w . e e s c i rc . o rg18–20 September 2001Villach, AustriaPaper deadline: 6 April 2001C o n t a c t : Herbert Grünbacher

Carinthia Tech InstituteR i c h a rd - Wa g n e r-Strasse 19A-9500 Villach, Austria

Tel: +43 4242 2004 123Fax: +43 4242 2004 179E m a i l : h g @ c t i . a c . a t

BCTM: IEEE Bipolar/BiCMOS Circuits and Technology Meeting e c t m . e t . t u d e l f t . n l / w w w / B C T M /Short Course: 30 September 2001C o n f e rence: 1–2 October 2001Minneapolis Marriott City CenterMinneapolis, MNReceipt of abstract and summary deadline: 16 March 2001

C o n t a c t : Janice JopkeCCS AssociatesEden Prairie, MN

Tel: +1 612 934 5082Fax: +1 612 934 6741E m a i l : j o p k e @ a o l . c o m

Events Calendar continued from page 16

Adcom Actions c o n t i n u e d

1 0

Page 11: Internet Technologies Drive Digital Convergence at …sscs.ieee.org/images/files/newsletter_archive/sscs...T he collection of papers by leading world experts to be presented at the

S o l i d -States Circuits Society Newsletter 1 1

S o l i d - S t a te Circuits Award—Call for Nominations

Solid State Circuits Award Recipients2000 Robert H. KrambeckTandem Computers (Retired)Cupertino, CAHung-Fai (Stephen) LawAlaris, Inc.Fremont, CA “For pioneering the introduction and implementationof domino CMOS logic.”

1999 Kensall D. WiseUniversity of MichiganAnn Arbor, MI“For pioneering contributions to the development ofsolid-state sensors, circuits, and integrated sensing s y s t e m s . ”

1998 Nicky Chau-Chun LuEtron Technology Inc.Hsinchu, Taiwan“For pioneering contributions to high speed dynamicmemory design and cell technology.”

1997 Robert W. BrodersenUniversity of CaliforniaBerkeley, CA“For contributions to the design of integrated circ u i t sfor signal processing systems.”

1996 Rudy J. Van De PlasschePhilips Research LabsEindhoven, The Netherlands“For pioneering contributions to the design of integrated circuits for data conversion.”

1995 Lewis M. TermanIBM - T. J. Watson Research CenterYorktown Heights, NY

“For leadership in the field of MOS devices and circuits for semiconductor memories.”

1994 Paul R. GrayUniversity of CaliforniaBerkeley, CA“For contributions to analog integrated circuit design,especially for MOS switched capacitor circuits.”

1993 Kiyoo ItohHitachi, Ltd.Tokyo, Japan“For technical contributions to folded data-line circ u i t sand the development of high-density dynamic RAMs.”

1992 Barrie GilbertAnalog DevicesBeaverton, OR“For contributions to non-linear analog signal p rocessing circuits.”

1991 Frank WanlassStandard Micro SystemsSan Jose, CA“For the invention of Complementary MOS (CMOS)Logic Circuitry.”

1990 Toshiaki MasuharaHitachi, Ltd.Tokyo, Japan“For pioneering contributions to NMOS depletion-load circuits and the development of high speedCMOS memories.”

1989 James D. MeindlGeorgia Institute of TechnologyAtlanta, GA “For contributions to solid-state circuits and solid-state circuit technology.”

The highest honor of the Insti-tute for outstanding contributionsin our field is the IEEE Solid-StateC i rcuits Technical Field Aw a rd .For more than a decade, thisa w a rd has reflected the pro g re s sof the technology. “Awesome” ishow young members see the sem-inal bre a k t h roughs that have givensolid-state circuits the technicaland economic impact it has today.The history of the field is the text-book for tomorrow’s engineers.

January 31 is the deadline fornominations for the Solid-State Cir-cuits Aw a rd, which consists of ab ronze medal, a certificate, and a

$10,000 cash prize. Itis presented at theISSCC. It honors anindividual, or teamof up to three, foroutstanding contri-butions in the fieldof solid-state circ u i t s ,as exemplified by en-hancement to technology, benefitto society, and professional leader-ship. The nomination form is available online to download:h t t p : / / w w w . i e e e . o rg / a b o u t /a w a rds/noms/solidnom.htm.

Guidelines, also available online,emphasize the importance of the

quality of the nominationitself and of the supportingendorsement letters. Thetrue merits of a candidateneed to be conveyedt h rough the nomination

and endorsement paper-work. The nomination mate-

rials must be received at theIEEE by the 31 January 2001 dead-line. For further information, toc o o rdinate your efforts with oth-ers, or to determine if a nomina-tion is already in pro g ress, pleasecontact Dick Jaeger, the Chair ofthe SSCS Aw a rds Committee atj a e g e r @ e n g . a u b u rn.edu.

Page 12: Internet Technologies Drive Digital Convergence at …sscs.ieee.org/images/files/newsletter_archive/sscs...T he collection of papers by leading world experts to be presented at the

Introducing the Central UkraineChapter Yuri Poplavko, Chapter Chair

Our Chapter was organized in1996, when the IEEE Electro nDevices Society and Micro w a v eTheory and Techniques Society ini-tiated a program to add the coun-tries of the former Soviet Union tothe IEEE. Starting with 16 membersfrom the Ukrainian cities of Kiev,Odessa, Kharkov, and Sevastopol,the Central Ukraine Chapter wasthe second IEEE Chapter in the

Ukraine. The Chapter is located atthe National Technical University ofUkraine (see photo).

In September 2000, our Chapterwas expanded to include the scopeof the Solid-State Circuits Societyand added five new members.Receiving the JSSC and the annualCD-ROM is extremely useful sup-port because our universities andfaculty cannot currently afford liter-ature from abroad. It is well knownthat the Ukraine is presently in adeep economic crisis, and the

Ukrainian education system facesgreat difficulties. That is why thesupport from the IEEE and its soci-eties is very valuable for Ukrainianscientists, engineers, and students.

We see the goals of the CentralUkrainian Chapter to be the pro p a-gation of technical and scientifici n f o rmation as well as the org a n i z a-tion of technical meetings and con-f e rences in accordance with thei n t e rests of Chapter members.

Solid-state circuits is one of themajor topics in Ukrainian electro n-

ics. During 15–18 Octo-b e r, with the support ofthe IEEE and our Chap-t e r, an intern a t i o n a lworkshop entitled Pro -g ress in Semiconductor-on-Insulator Structure sand Devices Operatingat Extreme Conditionswas organized in Kiev,the capital of theUkraine. The host wasthe Institute of Semicon-ductor Physics (NationalAcademy of Sciences ofUkraine). More than 100

January 2001 • Volume 6 – Number 1

It’s a pleasure to begin this latestcolumn with news of new Chapterf o rmations in To ronto, Canada, Cen-tral Ukraine (Kiev), France, and SanDiego CA, bringing the total numberof SSCS Chapters to 35. My congratu-lations and welcome to the re s p e c-tive Chairs Raymond Chik, Yu r iPoplavko, Laurent Fesquet, andUpkarjit S. Dhaliwal.

The fifth IEEE Region 8 Division I& IV Chapters Meeting in Paris on 1October 2000 was a great success,with 12 SSCS Chapter Chairs partici-pating. This annual meeting is anexcellent opportunity for IEEE chap-ters and societies to meet and dis-cuss regional issues and best prac-tices, particularly important in sucha large division with 35 participatingcountries and 260 chapters. SSCSChapter reports were given byValery Romodin (Novosibirsk),Alexander Gridchin (NovosibirskState Technical University Branch),

Olga Zamorska (West Ukraine),Peter Kennedy (Republic of Ire-land), and Zoran Projic and Alek-sandar Jaksic (Yugoslavia and Uni-versity of Nis Student Branch).

As we have done for the last twoyears, we will have another meetingof the Chapters during the week ofthe ISSCC (the week of 5 February).The exact date of the ChaptersMeeting will be communicated byemail to all Chapter Chairs. The goalof the meeting will be to exchangeinformation and allow the Chapterrepresentatives to give brief presen-tations on their best Chapter prac-tices. It will also give us an oppor-tunity to recognize the Japan Chap-ter, which received the first Out-standing SSCS Chapter Award.

I would also like to congratulatethe ED/SSC Yugoslavia Chapter,which has been selected as the 2000recipient of the Electron DevicesSociety Chapter of the Year Award.

Special thanks should go to Profes-sor Ninoslav Stojadinovic of the Uni-versity of Nis for his leadership asthe Chapter Chair.

Finally, I would like to draw your attention to the completelyrevised Distinguished Lecturer (DL)Web page: w w w . e w h . i e e e . o rg / s o c / s s c s /Chapters/dl.htm. I am sure that youwill find it useful in helping to planChapter activities. The DL programis an excellent resource for Chaptersin organizing high-quality programs.If you haven’t taken advantage of it,I would highly recommend that youconsider it.

C h a p ters Round-Up

Jan Van der SpiegelChapters C o o rd i n a t o r

IEEE Solid-State C i rcuits Societyj a n @ p e n d e r. e e . u p e n n . e d u

The National Technical University of Ukraine (NTTU), home of theCentral Ukraine Chapte r, wasfounded in 1908 by the famousRussian scientist, DmitriyMendeleev, who invented the Peri-odic Table of the Elements. Today,NTUU has more than 30,000 stu-dents and 100 departments cover-ing Ukrainian industrial interests.The electronics faculty has 12departments and institutes in theareas related to solid-state cir-cuits, including the Departmentsof Microelectronics, Semiconduc-tor Devices, CAD in Electronics,and Biomedical Electronics.

1 2

Page 13: Internet Technologies Drive Digital Convergence at …sscs.ieee.org/images/files/newsletter_archive/sscs...T he collection of papers by leading world experts to be presented at the

S o l i d -States Circuits Society Newsletter 1 3

scientists from several countries tookpart in this workshop.

One month before, in September2000, our Chapter organized theI n t e rnational Conference, Micro -wave Telecommunication Te c h n o l o-gy, in southern Ukraine (Crimea,town of Sevastopol), with the work-shop, Integrated Microwave Te l e -communication Systems. This thesecond year the conference hasbeen held, and we plan to continueit as an annual event. More than 150participants from Russia, Ukraine,Byelorussia, the United States, Eng-land, South Korea, and Turkey tookpart. The P ro c e e d i n g s of both con-f e rences were published as two vol-umes, containing more than 250reports. It is worth mentioning thatin the year 2000, members of theCentral Ukraine Chapter took part inother international electronics andm i c ro-wave conferences in Ger-many, South Korea, Poland, andRussia. The Central Ukraine Chaptergave partial support to its memberst o w a rd their conference participa-tion. In May 2000, Prof Y. Poplavko,Chair of the Central Ukraine Chap-t e r, presented two EDS Distin-guished Lectures at the University ofHouston and Pennsylvania StateUniversity on “Microwave therm a lstable dielectrics” and “Micro w a v ephase shifter.”

West Ukraine ChapterMykhaylo I. Andriychuk,Chapter Chair

The West Ukraine Chapter, cen-t e red in Lviv, hosts a broad spec-trum of technical activities, in keep-ing with the many IEEE societiesp roviding joint scope. Besides theSSCS, its most recent expandedview, the West Ukraine Chapterfocuses on MTT, ED, AP, and CPMT.The 2000 IEEE CPMT Chapter of theYear Aw a rd went to this Joint Chap-t e r. Olga F. Zamorska, the ChapterS e c retary, received the bannera w a rded by the CPMT Society at theIEEE Divisions I & IV Region 8Chapters Meeting in Paris, France,on 1 October 2000.

During 3–6 October, the WestUkraine Chapter and the EDS/MTTChapter of Georgia cosponsoredthe Fifth International Seminar/Workshop on Direct and InverseProblems of Electromagnetic andAcoustic Wave Theory (DIPED-2000) in Tbilis, Georgia. Twenty-sixpapers from Georgia, Germ a n y ,Poland, Russia, Taiwan, Ukraine,and the United States were present-ed at the Seminar in five oral ses-sions. The most interesting presen-tations were the following: G. Sh.Kevanishvili’s “On the theory ofHallen integral equation,” B. Z. Kat-senelenbaum’s “The antenna shapein the line for the microwave powertransmission by a long beam,” andP. O. Savenko’s “About structure ofsolution of the nonlinear synthesisproblem of linear antenna accord-ing to the prescribed power direc-tivity pattern.” Three young speak-ers received Best Paper Awards: A.Geonjian for “Non-uniform con-forming mesh generator for FDTDscheme in 3D cylindrical coordinatesystem,” K. Tavzarashvili for “Themethod of acoustic and EM wavesfield visualization and based on it experimental setup for ultra-sonic tomography,” and Yu. V.Kasyanyuk for “Calculation of sin-gular and hypersingular integrals inscalar diffraction problem.” TheDIPED-2000 Proceedings were pub-lished before the event and areavailable through the IEEE BookB roker Program: S h o p . i e e e . o rg / s t o re.

DIPED-2001 will be held at theInstitute of Applied Problems ofMechanics and Mathematics, Lviv,Ukraine, in September.

For more details on future activi-ties, please consult the Chapter’snew Web page: w w w . e w h . i e e e . o rg /s o c / c p m t / u k r a i n e /.

Dallas Chapter Yin Hu, Chapter Chair

The SSCS Dallas Chapter openedits 2000–2001 monthly seminarseries in September with a ceremo-ny to present an IEEE pin to lastyear’s Chapter Chair, Dr. Paul Yu,honoring him for his outstandingcontributions to the SSCS DallasChapter. Dr. Yu founded the Chap-ter in September 1999 and ran anexcellent program for 1999–2000.

Following the ceremony, Dr.Chih-Ming Hung from Texas Instru-ments presented his work on“Design and optimization of multi-GHz CMOS LC voltage-contro l l e doscillators.” Dr. Hung presented thelayout optimization techniques toc reate chip inductors, varactors,and MOS capacitors for high Q fac-tor and a modified negative-re s i s-tance oscillator topology that uti-lizes only PMOS transistors forCMOS VCOs working at 5.5 GHzand 25.9 GHz.

In October, Dr. Micheal Polley ofTexas Instruments gave a very inter-esting talk on “Technical challengesand flexible chipset arc h i t e c t u res forADSL modems.” During his impre s-

SSCS Dallas Chapter (l-r): Dr. John Fattaruso (Secretary), Dr. Yin Hu (Chair), Dr. PaulYu (Past Chair), Prof. Jin Liu (Program Chair), Dr. Brock Barton (Vice Chair).

Page 14: Internet Technologies Drive Digital Convergence at …sscs.ieee.org/images/files/newsletter_archive/sscs...T he collection of papers by leading world experts to be presented at the

In a recent survey, the IEEE Solid-State Circuits Soci-ety assessed the popularity of its Distinguished Lecture r sP rogram. The survey, which gathered opinions fro mChapter Chairs, indicated the program provides out-standing lecturers who are enthusiastically received atChapter meetings.

The SSCS Executive Office recently compiled a newDistinguished Lecturer Web page for Chapter Chairs.This helpful site includes current lecture topics and con-tact information, tips for planning effective meetings,

and answers to frequently asked questions. Please visitthis site at w w w . s s c s . o rg / D L. Chapters considering form-ing a joint SSCS chapter are invited to use this inform a-tion and request a Distinguished Lecture r.

Sections around the world have shown re c o rdgrowth with the formation of more than 30 Chapters ofthe Solid-State Circuits Society in the three years sincethe Society’s formation. For more information on howto form a chapter, contact [email protected] or visit ourWeb site at www.sscs.org/chapter.

J SSC Accepting Submissions in PDF Format via Email

Effective immediately, the Journal of Solid-State Cir -cuits allows electronic submission of manuscripts inPortable Document Format (PDF). To submit a manu-script by email, include the following information in thebody of the email message:

• Name of the contact author• Address of the contact author• Phone number of the contact author• Fax number of the contact author• Email address of the contact author• Title of manuscript• Author(s) name(s)

Then include the PDF file of the entire manuscriptas an attachment. Send the entire email message to: [email protected].

The only format acceptable for email submission is PDF.H o w e v e r, email submission of manuscripts is not re q u i re d .Manuscripts may still be submitted in the traditional wayby sending five paper copies to the Editor’s office. All sub-mitted manuscripts should follow the formatting guide-lines published on the inside back cover of each issue ofthe J o u rn a l.

Manuscripts submitted by email will be printed andcopied for review. The review process will still be car-ried out on paper in the same manner as has been donein the past. The contact author will receive a letter bymail acknowledging receipt of the manuscript withinabout two to three weeks of submission.

S. H. LewisEditor, JSSCUniversity of California, [email protected]

C h a p ters Round-Up c o n t i n u e d

C h a p ter Chairs Rate SSCS Distinguished LecturersP r o g r a m

January 2001 • Volume 6 – Number 11 4

sive presentation, Dr. Polley led theaudience through the various devel-opment stages of ADSL, from its def-inition, to arc h i t e c t u re selection, tophysical implementation, and finallyto product deployment. Dr. Polleypointed out in his presentation thatthe success of deploying an ADSLmodem depends on three keydesign criteria: ability to upgrade,cost and form - f a c t o r, and powerconsumption.

For information on past andf u t u re presentations, please visitour Web site: www.seas.smu.edu/orgs/ssc.

Nis Yugoslavia ChapterNinoslav Stojadinovic, Chapter Chair

November 2000 marked the 40thanniversary of the Faculty of Elec-tronic Engineering in Nis. Duringthe fall of 2000, the YugoslaviaEDS/SSCS Chapter, in cooperationwith the Yugoslav Simulation Soci-ety, organized the 1st Small SystemsSimulation Symposium (SSSS 2000),held 4–5 September at the Universi-ty of Nis. Well-known specialists inthe field from five countries (U.K.,France, Switzerland, Austria, andYugoslavia) presented 11 papers

(four invited and seven con-tributed). Taking into account theinitial success as well as the interestof prospective participants, ourintention is to apply for IEEE tech-nical cosponsorship for the nextSSSS. The Chapter has also begunto organize a session on Microelec-t ronics and Optoelectronics for the Conference on Electro n i c s ,Tele-communications, ComputerScience, Automatics, and NuclearScience (ETRAN 2001).

Page 15: Internet Technologies Drive Digital Convergence at …sscs.ieee.org/images/files/newsletter_archive/sscs...T he collection of papers by leading world experts to be presented at the

Solid-States Circuits Society Newsletter

JanFeb

MarApr

MayJune

JulyAug

SeptOct

NovDec

8

9

10

11

12

13

14

Tho

usan

dsS

SC

S A

ctiv

e M

embe

rs 1997

1998

1999

2000

CAS CPMT ED LEO SSC COM MTT C-3

-2

-1

0

1

2

3

Tho

usan

dsN

ew M

embe

rs J

uly

2000

July

199

9–

Fig. 3: Percentage growth July 1999–July 2000

CAS CPMT ED LEO SSC COM MTT C-5

0

5

10

15

% G

row

th J

uly

2000

Jul

y 1

999–

Fig. 4: Total active membership July 2000 in thousands

CAS CPMT ED LEO SSC COM MTT C0

10

20

30

40

50

60

70

80

90

100

Tho

usan

dsA

ctiv

e M

embe

rshi

p Ju

ly 2

000

Fig. 1: SSCS active members in thousands Fig. 2: New members July 1999–July 2000 in thousands

The IEEE Solid-State Circuits Soci-ety continued to grow at a strong ratein 2000, even though the growth ratebegan to level off. As can be seen inFigure 1, active membership hasbeen increasing, but with decreasinggrowth rates. From July 1997 to July1998, the SSCS grew 13.8%; from July 1998 to July 1999, we grew 9.1%;and this past year during the sameperiod, the SSCS grew 6.7%. As canbe seen in the chart, active member-ship takes a major dip in February,when the IEEE drops members inRegions 1–6 who don’t renew, andagain in April, when the IEEE drops members in Regions 7–10who don’t renew. The SSCS contin-ues to exceed the overall growth rateof the IEEE by three times.

The charts also show membershipstatistics in related IEEE societies.Figure 2 shows total new activemembers, Figure 3 shows percentageof growth, and Figure 4 shows totalactive membership for this subset ofall IEEE societies. Figure 2 shows theSSCS is third in total new members,behind the Communications Society

and the MTT Society and also third ingrowth rate, behind LEOS and theMTT Society (see Figure 3). It isfourth in total membership behindthe Computer Society, Communica-tions Society, and Circuits and Sys-tems Society, and slightly edging outthe Electron Devices Society (seeFigure 4). This shift in membership ismost likely a result of industry shifts,reflecting the hot growing areas ofcommunications, optics, and inte-grated circuits.

To ensure that the SSCS continuesto be relevant in a changing tech-nology, the AdCom would like todetermine what makes the SSCSattractive to its members. In August2000, the SSCS AdCom approved thefunding for a membership survey,which is expected to be sent to arandom sample of our members in2001. This will allow us to betterunderstand what our members valuein their SSCS membership, so thatthey can be better served by theSociety. If you receive one of thesesurveys, please take the time to fill itout and send it back. Your voice will

help our Society continue to growand will guide the Society in makingdecisions affecting the SSCS and itsmembership in the years to come.

Congratulations New SeniorMembersEdison FongDonald P. GageRamesh HarjaniJohn K. JenningsTadahiro KurodaDuane G. LaurentDavid K. LovelaceBehzad RazaviIngrid M. Vergauwhede

Membership News

Steve KosonockyMembership Chair

IEEE Solid-State Circuits [email protected]

15

Page 16: Internet Technologies Drive Digital Convergence at …sscs.ieee.org/images/files/newsletter_archive/sscs...T he collection of papers by leading world experts to be presented at the

445 Hoes Lane Piscataway, NJ 08855

2001 SSCTC WorkshopCircuits for 2.5-G and 3-G Wireless Systemsw w w . i e e e . o rg / s s c t c4 February 2001San Francisco Marriott Hotel, San Francisco, CARegister through the ISSCC Web site: www.isscc.org

2001 ISSCCInternational Solid-State Circuits Conferencew w w . i s s c c . o rg5–7 February 2001San Francisco Marriott Hotel, San Francisco, CAContact: Courtesy Associates

Washington, DCTe l : +1 202 331 2000Fax: +1 202 331 0111E m a i l : I S S C C @ c o u r t e s y a s s o c . c o m

2001 CICC Custom Integrated Circuit Conferencew w w . h i s . c o m / ~ c i c c /6–9 May 2001 San Diego, CAPaper deadline: passedC o n t a c t : Ms. Melissa Wi d e r k e h r

Widerkehr & AssociatesG a i t h e r s b u rg, MD

Te l : +1 301 527 0902Fax: +1 301 527 0994 E m a i l : c i c c @ h i s . c o m

2001 Symposium on VLSI Circuitsw w w . v l s i s y m p o s i u m . o rg14–16 June 2001Rihga Royal Hotel, Kyoto, JapanDeadline for receipt of summaries: 10 January 2001Contact: Ms. Melissa Wi d e r k e h r

Widerkehr & AssociatesG a i t h e r s b u rg, MD

Te l : +1 301 527 0902Fax: +1 301 527 0994E m a i l : [email protected]

C o n t a c t : c/o Business Center for Academic Societies Japan, Conference Dept. 5-16-9 Honkomagome, Bunkyo-ku, Tokyo 113-8622, Japan

Tel: +81 3 5814 5800 Fax: +81 3 5814 5823 Email: v l s i s y m p @ b c a s j . o r. j p

To keep all your IEEE subscriptions coming to yournew address, email corrections to:

[email protected]

IEEE SOLID-STATE CIRCUITS SOCIETY NEWSLETTER (ISSN 1098-4232) is published quarterly by the Solid-State Circuits Society of TheInstitute of Electrical and Electronics Engineers, Inc. Headquarters: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. $1 per memberper year (included in society fee) for each member of the Solid-State Circuits Society. This newsletter is printed in the U.S.A. Periodicalspostage paid at New York, NY and at additional mailing offices. Po s t m a s ter: Send address changes to IEEE Solid-State Circuits SocietyN e w s l e t t e r, IEEE, 445 Hoes Lane, Piscataway, NJ 08855. ©2000 IEEE. Permission to copy without fee all or part of any material without acopyright notice is granted provided that the copies are not made or distributed for direct commercial advantage and the title of publica-tion and its date appear on each copy. To copy material with a copyright notice re q u i res specific permission. Please direct all inquiries orrequests to IEEE Copyrights Manager, IEEE Service Center, 445 Hoes Lane, Piscataway, NJ 08855 +1 732 562 3966.

Also posted on www.sscs.org/meetings

SSCS EVENTS CALENDA R

Continued on page 10