6. Lithography

download 6. Lithography

of 60

Transcript of 6. Lithography

  • 8/11/2019 6. Lithography

    1/60

    C H A P T E R 6

    Lithography

    K. Nakamura

    6 . 1 INTRODUCTION

    Lithography is a kind of ark made by impressing, in turn, several flat embossed slabs, eachcovered with greasy ink of a particular color, onto a piece of paper. The various colors or levelsmust be accurately aligned with respect to one another within some registration tolerance. Manyorigina1s can be made from the same slabs as long as the quality remains adequately high.

    Several methods can be used to make ULSI circuit patterns on wafers, as shown in Fig, la. Themost common process is to make the master photo mask using an electron beam exposure systemand replicating its image by optical printers, as shown in Fig. lb. The exposing radiation istransmitted through the "clear" part of a mask. The opaque part of the circuit pattern blocks someof the radiation.. The resist, which is sensitive to the radiation and has resistance to the etching, iscoated on the wafer surface. The mask is aligned within the required tolerance on the wafer;then radiation is applied through the mask, the resist image is developed, and the layer underneaththe resist is etched.

    Therefore, lithography for integrated circuit manufacturing is analogous to the lithography ofthe art world. The slabs correspond to masks for the various circuit levels. The press correspondsto the exposure system, which not only exposes each level but also aligns it to a completed level.The ink

    may be compared to either the exposing radiation or theradiation-sensitive resist;

    the paper can represent the wafer into which the pattern will be etched, using the resist as a stencil.Lithography is the key technology in semiconductor manufacturing, because it is used

    repeatedly in a process sequence that depends on the device design. It determines the devicedimensions, which affect not only the device's quality but also its product amount andmanufacturing cost.

    270

  • 8/11/2019 6. Lithography

    2/60

  • 8/11/2019 6. Lithography

    3/60

    272 ULSI Technology

    6.2 OPTICAL LITHOGRAPHY

    Optical lithography comprises the formation of images with visible or ultraviolet radiation

    in a photo resist using proximity or projection printing. Two methods are available to make masksfor optical lithography: electron beam exposure and laser beam scanning. These are described inthe next section. In the 1970s, the major technology was the combination of a negative resist and

    proximity printing. At present the most common method is the combination of a positive resist and astepper. However, proximity printing is still used because of its convenience and low cost.

    Table I lists examples of commercially available optical printers 1 designed to manufactureULSI circuits. These machines are classified into three groups: proximity, reflective projection,and refractive projection. The key parameters such as numerical aperture (NA), depth of focus(DOF), resolution (usable line width), overlay accuracy, and throughput are also listed,

    The most advanced ULSI has a minimum feature size of 0.3um to 0.4um, which has beenreported by several organizations in 64-Mbit dynamic random access memories (DRAMs). It is

    believed that the line width limit of optical lithography lies near 0.2um using phase-shifting

    masks combined with a high numerical aperture projector and a short-wavelength light source.The performances of the machines listed in Table 1 are very close to the resolution limit of opticallithography.

    6.2.1 Contact and Proximity Printing

    Contact and proximity printings are relatively simple because they do not have any means ofimage formation between masks and wafers. A typical contact or proxim ity mask aligner consistsof a light source, a condenser, a fitter, a mirror, a shutter, the wafer stage, and the alignmentmicroscope. In contact printing, a photo mask is pressed against the resist-covered wafer, with

    pressures typically in the range of 0.05 atm to 0.3 atm, and is exposed by light with a wavelengthnear 400 nm. Very high resolution of less than 0.5um line width is possible, but because ofspatial non-uniformity of the contact, resolution may vary considerably across the wafer. To provide

    better contact over the whole wafer, a thin (0.2 mm) flexible mask has been used; 0.2u.m space patterns have been formed by using 3-um thick PMMA (poly-methyl methacryla te) resist and 200 to260 nm radiation. 2 Quartz or Al 203 mask substrates must be used to pass these shorterwavelengths, since the usual boros ilica te glass strongly absorbs wavelengths less than 300 nm.

    Contact printing produces defects in both the mask and the wafer while the two are in contactand as they are separated from each other, so that the mask, whether thick or thin, may have to bereplaced after a short period of use. Nevertheless, contact printing is still widely used. It is the mostconvenient way to get high resolution. Strict ly speaking, contact printing is never actually practiced,

    because of the difficulty of uniform contact. 3 When a typical mask and wafer are brought into hardcontact, the non uniform gap can be as large as 15um because of unevenness of the surfaces. Besidesthat, the thickness of the resist between the mask and the wafer cannot be neglected for featuresof less than 1 um.

  • 8/11/2019 6. Lithography

    4/60

  • 8/11/2019 6. Lithography

    5/60

    274 ULSI Technology

    Masks used for proximity printing have the advantage of longer life because there is no contact between the mask and the wafer. Typical separations between mask and wafer are in the range of20 to 50 um. Resolution is not so good as in contact printing or projection printing. Commercialmachines can select the mode automatically and switch to either contact or proximity printing.

    Figure 2a shows proximity printing schematically with a slit of width W, illuminated by amonochromatic source, separated from a parallel image plane (wafer) by a gap g. We assume that gand W are larger than the wavelength of the imaging light and that

  • 8/11/2019 6. Lithography

    6/60

    be l arge enough to give a value of (Fig. 2) that allows the smallest features to be printed, typically, a few degrees. The mercury arc lamp used as the source 5 is too small to yield therequired . The illumination is telecentric or normally incident at the mask to prevent runout(magnification) error similar to that in x-ray lithography. The optical system must also minimizenon-uniformity of the intensity across the field. The illumination system illustrated in Fig. 2battains -3% uniformity across a 6-inch wafer, as well as a large enough and normalincidence. With a Hg arc source, the strong lines at 436 nrn, 405 nm, and 365 nm provide theexposure flux shown in Fig. 3. The same printer is available with a Xe-Hg source for enhancedoutput in the 2.00-300 nm spectral region.

    Commercially available machines are listed in the first group in Table 1.

    6.2.2 Projection Printing

    Projection printing offers higher resolution than proximity printing. It has a larger separation betweenthe mask and the wafer because of its image formation system. According to Rayleigh's criterion, theresolution W of the optical systems and the depth of focus (D0F) are given in the followingequations, where the numerical aperture NA=n sin , n is the refractive index = unity for

    air, 2 i s t he soli d angle of the ray reaching the imaging poin t from the objective lens, and isthe wavelength:

    W = 0. 6 /NA (6.2)

    DOF = /2(NA) 2 (6.3)

  • 8/11/2019 6. Lithography

    7/60

    276 ULSI Technology

    Modern projection printers employ diffraction-limited optics, which means that the design andfabrication of the optical elements are not a source of optical problems. Accordingly, the imagecharacteristics are dominated by diffraction effects associated with the finite apertures in thecondenser and with projection optics rather than by aberrations. When monochromatic light froma very small point is imaged by a diffraction-limited lens, the image consists of diffractionrings of light surrounding a central bright spot called the Airy disc. 6 The diameter of the pattern is1.2/NA.

    It is very useful to apply a modulation transfer function (MTF) to characterize the . resolution capability of projection printers and proximity printers as well.7 We consider the mask

    pattern as consisting of a per iodic grid (line and space) with equal linewidths b. Light intensities inthe center of dark lines and of bright lines are assigned as Imin and Imax, respectively. Modulation isdefined as

    M= (6.4) The MIT is the ratio of the modulation in the image plane (wafer) to that in the object

    pl ane (mask). It is a funct ion of the spat ia l fr eque ncy (v=1 /2 b) of the mask and the NA. Theshape of the MTF, related to the spatial frequency curve, depends on the coherence of theillumination systern. 7 The degree of coherence is defined by = (NA)c / (NA)0 , where (NA)c isthe numerica l aperture of the condenser and (NA)0 is the, numerical aperture of the objectiveas shown in Fig. 4. In the coherent system, Fig. 4a, an object at point A is illuminated by only anarrow angle. Hence, all the light diffracted by A is coherent in a mplitude at the image plane.In Fig. 4b, A is illuminated by rays from all portions of the extended incoherent source. Each ray isdiffracted by the object A and forms an image in the image plane.

    In Fig. 5, the solid lines show a plane wave front [ = (NA)c / (NA)0 0] incident normal to themask, which contains a grating pattern with b width lines and spaces (spatial frequency v= 1/2b). 8

    The undiffracted component of light emerging from the mask contains no information about v.This information is contained only in the diffracted light. The direction of the first diffraction peakis given by the grating formula 2b sin = , so that. v = sin /A. If the light diffracted todirection is to reach the image plane, where NA= sin . Therefore, the highest gratingfrequency that can be imaged by an optical system with coherent illumination becomes the resultof Eq. (6.5).

    For incoherent illumination (shown by dotted lines in Fig. 5), incident at a general angle i thedirection of the first maximum is given by 2b(sin i + sin )= . For both the undiffracted

    beam and the fi rst diff raction peak to reach the image plane, i and both . Therefore, 2b /2sin and v m a x = 2b = 2NA/ . Consequently, v m a x (incoherent) =2 v m a x (coherent). In modern printers

    the illumination is intermediate between the coherent and the incoherent limit.The Curve for MTF (H(v)) vs. spatial frequency 9 is shown in Fig. 6 and is described as

    v max=NA/ (coherent) (6.5)

  • 8/11/2019 6. Lithography

    8/60

    CHAPTER 6: Lithography 277

    H(v)=2/[cos -1 (v/v max )-(v/v max ) ] (incoherent) (6.6)where v m a x = 2NA /

    Figure 6 shows the modulation of the image intensity versus the spatial frequency fordifferent values of coherence with a 0.3 NA lens. Note that the MTF of a fully coherent opticalsystem has a spatial frequency cutoff that is half that of an incoherent system as explained inEq.(6.5) and Eq.(6.6). Partially coherent illumination has a higher MTF in the region of v,larger than (1/2)vo. The useful range, MTF > 0.6, is extended to higher spatial frequencies: edgegradients in the image become steeper, and the image is somewhat less sensitive to focusing.

    The focus error is a simple but important aberration. The error is a displacement of bestfocus away from its intended position. In Fig. 6, the dashed curve shows the effect of adisplacement of the image plane or wafer from the focal plane by one. Rayleigh unitw= /2(NA) 2, cor responding to a phase error of / 2 at the edge of the pupil.

    It was once believed that a high NA is always better. However, in the sub micrometer region

    there is an optimum NA if a resolution requirement and the imaging wavelength are given.10

    Ifthe NA is too low, the resolution cannot be achieved, butif the NA is too high, the DOF, which is inversely proportional to (NA) 2, becomes

  • 8/11/2019 6. Lithography

    9/60

    278 ULSI Technology

    un-acceptable. There is an optimum NA at which the DOF is maximum. The normal ization of theresolution W is given by

    (6.7)where k

    1 is usually known as Rayleigh's coefficient for resolution but is now redefined as the

    normalized resolution. The normalized DOF is given by

    k2= (Z* (NA)2 )/ (6.8)

    where Z is the physical axial displacement from the focal plane, and k 2, which is usually knownas Rayleigh's coefficient for DOF, is now redefined as the normalized DOF

    With normalized resolution and defocus, the lithographic imaging behavior of a given featureand illumination can be universally plotted in the exposure-defocus (E-D) space in the form ofconstant line width contours. The exposure dosage required to keep the image line widthconstant at each defocal plane is evaluated to form contours. This set of contours, which is the E-D tree of the particular feature and illumination condition, is universally applicable for all W- -

    NA combinations leading to an identical k1. The DOF is defined as the total amount of defocusallowed without violating a given line width tolerance. Typically, a 10% tolerance is adopted.

  • 8/11/2019 6. Lithography

    10/60

  • 8/11/2019 6. Lithography

    11/60

  • 8/11/2019 6. Lithography

    12/60

  • 8/11/2019 6. Lithography

    13/60

    282 ULSI Technology

    For a rnultilayer resist process (30% budget), k1, ranges from 0.42 to 0.7, although it is generallydefined as 0.6 as shown in Eq. (6.2).

    Reflection and catadioptric projection

    A significant advantage of the reflective or the catadioptric system 11 (combining reflecting andrefracting components) is the larger spectral bandwidth. Reflection projection systems generally havehigher throughput with less standing-wave interference than refraction systems because of their

    polychromatic characteristics. Catadioptrie systems usually require more than just one optical axisand thus can lead to great difficulty in aligning the optical elements. Several systems of this type 12 have been developed as shown in the second category of Table 1 and in Fig. 9.

    The Micralign e, made by Perkin Elmer, consists of only three optical components, as shown inFig. 9a. It has a bandwidth of 400 nrn. The aberrations vary as a function of the distance from thecenter and can be zero for a narrow zone about the center, Only a small zone of the spherical mirror isused, limited by the slit, providing nearly diffraction-limited imaging. The mask and the wafer areswept in unison through an arc to form an image of the whole mask. Figure 9b is the catadioptricsystem, also by Perkin Elmer, which is still widely used as a 1X full-scan system. The throughput isvery high, as shown in Table 1, but the disadvantages of these systems are the low resolution and thedifficulty of alignment during scanning; hence, the overlay accuracy is rather poor, as listed in Table 1.

    Figure 9c is a catadioptric 1 X stepper made by Ultratech (Table 1). Only the wafer has a step-and-repeat movement, and a die-by-die alignment is done. This system also has a very simpleoptical configuration, featuring a variable NA that depends on object field size a low NAcorresponds to a large field size. The practical resolution is 0.7 m.Figure 9d shows a 4x catadioptric reduction projector (Table 1) developed by Perkin Elmer thathas 0.5- m resolution. This machine also has a ring field similar to Fig. 9a and 9b with field-by-field alignment and a focus adjustment. The image field is larger than in I-line steppers.

    Refraction projection

    In refraction projection, the image of the mask is projected on the wafer through a high-resolution lens.A refraction projection system consists of a light source, a condenser, a heat-removing filter, beam-orienting mirrors, a shutter, and the mask and wafer stages. The refraction projection system isvery similar to the proximity printers except for the imaging lens between the mask and the wafer.The optical stepper has become the most common lithography tool for [HSI production, Theresolution is 0.4 um using the I-line of the Hg lamp with the Kohler method, which images the exposuresource through a condenser lens in an entrance pupil of the projection lens, However, the DOF isreduced to 1um because of the stepper's large NA and short wavelength. The features of the stepper arehigh resolution, and overlay accuracy as shown in Table 1.

    The last group in Table 1 is an excimer laser stepper 13 whose wavelength is 248 nm. It canobtain the highest resolution of the equipment listed in Table 1 based on the excimer's shortestwavelength. The system configuration is almost the same as an I-line stepper, but the light source andthe lens system are different. In the deep UV regions, the materials of the optical components are

  • 8/11/2019 6. Lithography

    14/60

  • 8/11/2019 6. Lithography

    15/60

    284 ULSI Technology

    limited to fused silica and several crystalline fluorides because of UV transmittance characteristics. Thelenses are made entirely of fused silica and therefore are chromatic, The source bandwidth must be narrow toachieve sufficient resolution. The focus and the magnification differences due to wavelength differenceare 0.15 m/pm and 0.3 pprn/pm, respectively. The current performance of the KrF laser source, such as a2.2-pm bandwidth and a -0.25-pm wavelength stability, is considered adequate to meet the requirementfor practical use. A 0.35 m resolution within the 0.03- m critical dimension spread is reported. 13 The useof the excimer laser is another possible way to fabricate ULSI patterns without resists by using thedamaging effect of a short-wavelength light.

    6.2.3 EnhancementMany efforts have been made to solve optical lithography problems arising from wavelength or DOF.Among these, the phase-shifting mask and the off-axis illumination technique seem to be useful. The basicconcept of the phase-shifting mask14 is shown in Fig. 10. At the transmission mask, the electric field hasthe same phase at every aperture (clear area) in Fig. 10a. Diffraction and the limited resolution of theoptical system spread the electric held at the wafer, as shown by the dotted line.

  • 8/11/2019 6. Lithography

    16/60

    CHAPTER 6: Lithography 285

    Interference between waves diffracted by the adjacent apertures enhances the field between them.The intensity I is proportional to the square of the electric field.

    The phase-shifting layer that covers adjacent apertures reverses the sign of the electric field asshown in Fig. 10b. The intensity at the mask is unchanged. The electric field of these images atthe wafer, shown by the dotted line, can be canceled. Consequently, images that are projectedclose to one another can be separated completely. A 180 0 phase change occurs when a transparentlayer of thickness d = /2(n - 1), where n is the refraction index and is the wavelength, covers oneaperture as shown in Fig. 10 b.

    The Fourier analysis 14 of phase-shifting and conventional transmission masks is explained inFig. 11. The conventional resolution power of a projection system for transmission objects is thecritical frequency vc = NA/, which is related to the wavelength and numerical apertu re NA andassumes coherent illumination. With incoherent illumination some spatial modulation is transmittedthrough such a system up to a frequency of 2 vc; but for coherent illumination the modulationtransmission function is unity up to vc , and zero for v > v c , as shown in Fig. 6. For the purpose ofcalculation it is convenient to define for the mask a transmission function that has sinusoidal intensity, as

    illustrated at the top of Fig. 11. In each case, the intensity transmitted by the mask is assumed to be

  • 8/11/2019 6. Lithography

    17/60

    286 ULSI Technology

    T(x) = (1/2)(1 +cos 2 vo x) (6.10)

    The electric field at the mask plane can have two possible forms when the illumination is normallyincident and coherent. A transmission mask would produce an electric field profile that is

    proportional to | cos vo x|, as in the left side of Fig. 11, whereas a phase-shifting mask would produce the electric field on the right, or cos vo x Both profiles can be Fourier-analyzed asshown at the bottom of Fig. 11. The transmission mask yields a Fourier spectrum of the form

    T(v)=4/{ (v)+ [(v -v0)+ (v+v 0)]- [(v -2v0)+ (v+2v 0)]+.. } (6.11)where (v) is the Dirac delta function and higher harmonics have been ignored. The phase-shifting mask

    projects only two Fourier components:

    (v)= [(v -v0/2)+ (v+v 0/2)] (6.12)

    The same information, in the sense of an optical intensity, is transmitted in each case, but the phase-shifting mask requires less spatial bandwidth than the transmission mask. The optical system throughwhich these Fourier components must propagate can be modeled as having a response function equalto unity for v< vc and zero for v > v c, where v c, is the cutoff frequency. Thus, the Fouriercomponents of the electric field at the image plane are (except for a scale factor that can he set to unity)identical to those at the mask plane for v < v c and zero otherwise. From this treatment, the criticalfrequency for the transmission of modulation produced by a phase-shifting mask is vo = 2v c, twice that ofa transmission mask.

    It is expected that an I-line stepper can resolve features down to 0.2 m using a phase-shifting maskwithout reducing the DOF. The problem with this technology is the restriction on the pattern layout. It isvery difficult to apply this technology to random patterns, although it is very useful in a periodic layout.

    Several ideas have been proposed15

    to solve the problem, as shown in Fig. 12. The halftone method,shown in Fig. 12a, has advantages such as not requiring extra data and ease of processing, but theresolution is not so good as the alternative method of Fig. 12c.

    Phase-shifting masks are made by using an electron-beam (e-beam) direct- writing method.After the first layer is etched the second layer is exposed on a newly coated resist, referenced tothe alignment marks made in the first layer. In Fig. 12b or 12c, two kinds of patterns arenecessary for each wafer layer. The problems of phase-shift technology are the design of the shifter

    pattern and the complexity of the mask process. Other key technologies required to make phase-shiftingmasks are improved inspection and repair technologies for the shifter patterns and the opaque patterns.

    Off-axis illumination is another useful method to enhance the resolution and DOF. The basic principle is to tilt the illumination to an angle that passes through both the undiffracted light and thefirst-order diffracted light symmetrically as shown in Fig. 1 3b, compared with the conventional method inFig. 13a. The light beams transmitted through the off-axis aperture illuminate the reticle pattern with aspecific incident angle ,

  • 8/11/2019 6. Lithography

    18/60

    CHAPTER 6: Lithography 287

  • 8/11/2019 6. Lithography

    19/60

    288 ULSI Technology

    defined as f sin () = x, where x is the distance between the optical axis and the transmissive portion ofthe aperture and f is the focal length of the condenser. The light beams are diffracted by the reticle pattern.The first-order or higher-order diffracted light will not enter the projection lens because the pitch of

    the pattern is so fine and the diffraction angle (sin ) is larger than the numerical aperture of the projection lens, as explained in Fig. 5. As a result, only zero- and first-order diffracted light will interfereon the wafer surface and contribute to the image formation. It is reported 16 that this technique has aline-and-space resolution of 0.27 um and a 2.8- m DOF for a 0.35 -m line-and-space pattern with a1.18- m resist thickness, it is necessary to adjust the incident angle depending on pattern spatialfrequencies and to increase the exposure time to compensate for the loss of illumination due to tilting.

    6.2.4 Overlay Accuracy

    To this point we have emphasized resolution, but overlay accuracy is another fundamental technologycomponent. 12 Overlay error is generated mainly in two process steps the alignment and the exposure.

    Many types of errors are included in the exposure process, such as the relative placement error betweenthe mask and the wafer, distortion or magnification error of the lens, and mechanical instability of theexposure system caused by vibration, temperature drift, or atmospheric pressure drift.

    Alignment methods are classified into two types: off-axis and TTL (through the lens). Off-axis offersthe possibility of using nonactinic rays and of broad-band and high-NA viewing, with the flexibility of

    brightfield, darkfield, or even phase-contrast viewing. It is also easy to upgrade the off-axis alignmentsystem because of its total separation from the imaging optics. However, off-axis requires highmechanical stability and precision as well as accurate means to refer the positions of the mask, thewafer, and the alignment microscopes to each other.

    TTL offers the inherent advantage of a direct mask-to-wafer reference and thus relaxes the

    requirement for high mechanical stability and precision. However, some accuracy of the direct referenceis lost if nonactinic alignment is used or if the wafer has to be moved into the exposure position afteralignment. Both of these process steps require compensation.

    Brightfield alignment suffers from multiple interferences of the wafer film stacks in case ofTTL narrow-bandwidth illumination. The alignment signal is sensitive to variation in film thickness, onthe order of 10 nm. This problem is reduced by using broad-band illumination, Alternatively, darkfieldalignment removes the dependence on film thickness but does not completely nullify the effect ofuneven resist coverage. Also, darkfield alignment has the potential problem of signal degradation on agrainy substrate. The solution to this problem is using the slit beam and the periodic alignment marks.One way to solve the aforementioned problems is to remove the resist over the alignment marks in the

    positive resist process, but this is impossible in the. case of muitilayer resist and negative resist processes.An alignment system 17 is illustrated in Fig, 14, Off-axis global alignment measured the relative

    position of the wafer with a He-Ne laser. Die-by-die alignment is more accurate because it uses an

  • 8/11/2019 6. Lithography

    20/60

    CHAPTER 6: Lithography 289

    extra laser source (LSA-X, LSA-Y) through the projection optical path, i.e,, the TTL method. Step-and-repeat exposure offers level-to-level registration, which is independent of wafer size, by separatealignment of each exposure field. In the reduction projection system, the mask pattern dimensions are largerthan in systems imaged with unity magnification, and therefore it is more convenient to adjust the overlay

    position.

    The basic sources of overlay error are listed in Table 2, The problem of overlay is common to both opticaland x-ray lithography. X-ray lithography and e-beam direct write are considered distortionless. Theregistration error, defined as the ability to locate the alignment marks, is assumed to be identical for alloptical alignment systems. Resist-induced alignment error is considered to be systematically removable.Wafer inplane distortion is caused by various hot processes. The major part of the magnification error ofreduction systems is eliminated by fine tuning, hut not easily, owing to the inherent symmetry of

    1X optical systems. Coupled with the linear part. of wafer inplane distortion, the magnification error of1 X optical systems can be as large as 0.15 m, Similarly, x-ray systems have an error of 0.1 m as aresult of wafer inplane distortion and mask heating during exposure.

  • 8/11/2019 6. Lithography

    21/60

    290 ULSI Technology

    The mask-to-mask placement error reflects the accuracy of e-beam mask making. For x-ray systems, the placement error of the membrane mask can be worse than for the optical mask, but it is not included here.In the case of e-beam direct write, the mask error is simply the composite placement error of the e-bearn

    between two masking levels. In the case of 5 X lithography, the mask-to-mask placement error is divided by 5 before being included in the RSS (square root of the sum of the squares) total of the contributions.

    6.2.5 Optical Resists

    Photoresist is the general term for polymers that can create patterns by the use of solvents afterirradiation. The development of the resist is based on a chemical reaction and depends on the solubilitydifference between irradiated and unirradiated areas. Photoresists are of two types: negative, which on

    exposure to light become less soluble in a developer solution, and positive, which become more soluble. Negative resists generally consist of a chemically inert polyisoprene rubber, which is the film-

    forming component, and a photoactive agent. The photoactive agent releases nitrogen gas on exposure tolight, and the radicals generated react with the double bonds to form cross-links between rubbermolecules, making the rubber less soluble in an organic developer solvent. The reactive species formedduring the exposure can react with oxygen and be rendered ineffective for cross-linking, so an inertatmosphere is used. The developer solvent dissolves the unexposed resist, The exposed resist has lowmolecular weight, so it swells as the uncrosslinked molecules are dissolved. The swelling distorts the

    pattern features and limits resolution to 2 to 3 times the initial film thickness. For VLSI/ULSIapplications, the use of negative resists has been supplanted by positive resists because negative resists

    have a resolution limit of about 2 m, although they have many advantages such as resistance to etchingand good adhesion to the substrate.

    Positive resists have two components: a resin and a photoactive compound dissolved in a solvent.The photoactive compound is a dissolution inhibitor. When the photoactive compound is destroyed byexposure to light, the resin becomes more soluble in aqueous developer solution.

  • 8/11/2019 6. Lithography

    22/60

    CHAPTER 6: Lithography 291

    The unexposed regions have high molecular weight and so do not swell much in the developer solution;therefore higher resolution, suitable for ULSI, is possible with positive resists. The development

    process of projection-printed images in positive resists has been modeled theoretically ; 15 it is anisotropic etching or removal process.

    One of the fundamental properties of a resist, which determines resolution, is the contrast. 19 Contrast,in the case of negative resist, is related to the rate of formation of a cross-linked network and may bemeasured by exposing pads of known area to varying radiation doses. D 8i is the minimum dosagerequired to form the first insoluble film. Thereafter, the film thickness, and consequently thethickness remaining after development, increases with increasing dose until, ultimately, the thicknessof the developed pad is not detectably different from the original film thickness (in practice it isslightly less owing to volume contraction during cross-linking). This process is shown schematically inFig. 15a. The resist contrast n is then defined as

    For a positive resist, the film thickness of the irradiated region that remains after developmentdecreases with increasing dose until eventually a dose D C is reached, which results incomplete removal of the film on development, as shown in Fig. 15b). The contrast of positiveresist p is defined as

    When photoresist films are exposed using monochromatic radiation, standing waves areformed in the resist, 20 These are caused by coherent interference effects due to a reflectingsubstrate. Coherent interference results in periodic intensity distributions in the direction

    perpendicular to the plane of the resist with a period r /2, where r is the wavelength in theresist. The refractive index of most resists is about 1.6, leading to an optical mismatch at theair/resist interface. Figure 16 shows the standing wave.

  • 8/11/2019 6. Lithography

    23/60

    292 ULSI Technology

    This variation in peak intensity with resist thickness becomes less with decreasing substrate reflectivity

    and increasing absorption by the resist. Since resist thickness varies at a step in the substrate topography,the resulting difference in effective exposure leads to size variations in the resist image.

    Commercially available resists are listed in Table 3. The negative RD2000N-type resists, which have adiazide compound as a reactor with polyvinylphenol resin, have a resolution similar to a positive resist anddo not swell on development. Photoresists are being developed for exposure at shorter wavelengths wherehigher resolution is possible. A few such deep UV resists are PMMA, sensitive for < 250 n m; polybutenesulfone, sensitive for < 200 nm; and Microposit MP-2400, sensi tive for = 250 nm . At these shorterwavelengths, the radiation quantum is large enough to produce scission of the molecular chain.

    The other very important resists for deep UV are the chemically amplified resists, which exhibit high photo-speed, excellent resolution, and process tolerance. The chemically amplified resist consists of a

    polymer host and a generator of acid in the presence of light. In the postexposure bake the photogeneratedacid catalyzes thermal reactions that alter the solubility of the exposed region. Generally, resolution andsensitivity are affected by postexposure bake conditions. Some chemically amplified resists are applicableto electron lithography.

    Other important properties of resists are adhesion to the substrate and resistance to wet and dry etch processing. In general, the commercially available optical resists are compatible with such processes.

    Some inorganic materials are also effective as resists. Fundamental functions are based on the Ag-doping effect, which is induced by photoirradiation or electron- beam irradiation on a stacked-layer systemof Ag and Se-Ge chalcogenide-glass film. When doped with Ag, the chalcogenide-glass films becomealmost insoluble in an alkaline solution. The light source, such as a Hg lamp, should have a wavelength

    that is shorter than the absorption edge of Se-Ge, This method is expected to have high resolution, withapplications in dry processing.

  • 8/11/2019 6. Lithography

    24/60

    CHAPTER 6: Lithography 293

    6.2.6 Process Technologies

    Increasing the NA and decreasing the wavelength are logical approaches to improvingresolution. However, if these techniques are used, DOF decreases, based on Eq. (6.3). In theactual production process, many possible causes of interference to focusing arise, Some occurduring the wafer process, such as surface topography and warping. Others are due to theexposure process, such as vibration, illumination nonuniformity, and aberrations, One solution tothese problems is the combination of planarization and surface imaging. The thick bottom

    planarization layer reduces optical reflection and pattern-width degradation due to the surfacetopography beneath. The thin top layer enhances resolution, pattern-width control, and processlatitude.

    Surface-imaging resist processes are summarized in Fig. 17, The first item istreatment through a development cycle to enhance the contrast of the resist. 24 In this cyclethe wafer is dipped in the developer, rinsed, and dried. This sequence is repeated until theresist film of the exposed area is completely dissolved. This interrupted development process

    promotes the formation of a passivation layer on the unexposed surface.

  • 8/11/2019 6. Lithography

    25/60

    294 ULS1 Technology

    As a result, the side walls become vertical and sensitivity is improved 30 to 50% in AZ resist.This technology is also used in electron lithography.

    The second process in Fig. 17 is silylation. 25 A single layer of PLASMASK (UCB fromBelgium) resist is spincoated onto the substrate, with a thickness from 1.5 to 2.5 m, and

    prebaked to a self-planarizing layer. After exposure, the wafers are treated with a gas-phasesilylating agent (hexamethyldisilazane) at elevated temperature to reduce the silylation time. Theresist material of the exposed areas selectively bonds chemically with silicon to a depth of 100-200 nm, and remains stable for a long time. The wafers are developed in oxygen plasma. Duringthis treatment, the silicon is converted into silicon dioxide, which forms a thin protective maskthat stops the etching of these exposed areas. It is reported that 0.35- m features can be achievedusing a 248-nm source.

    In the bilayer method, the image of the top layer formed by exposure and development istransferred to the bottom planarization layer by reactive ion etching (RIE). Resolution better than0.5 m is achieved by using a resist containing tungsten, which has a high resistance to etching,as the top layer. 26 There are some disadvantages in the bilayer method, such as intermixing

    between the resist layers and the formation of cracks while different materials are coated and baked.

    The trilayer resist structure typically consists of an inorganic interlayer that is 0.1 to 0.2 mthick, sandwiched between a top organic imaging layer that is 0.3 to 0.4 m thick and a bottomorganic planarization layer that is 1 to 2 m thick. The trilayer method is more complex than the

    bilayer but is more flexible because of the intermediate 1ayer. Because of the intermediate barrier, the processes for the top layer and for the bottom layer are independently selectable, somany combinations of processes can be achieved by the proper choice of the intermediate layermaterials and the top layer resists. The thickness of the top layer can be minimized to get high

  • 8/11/2019 6. Lithography

    26/60

    CHAPTER 6: Lithography 295

    resolution with enough etching duration for the intermediate layer (usually oxide). This method isalso used in electron lithography.

    6.3 ELECTRON LITHOGRAPHY

    Electron lithography has the possibility of higher resolution than opticall lithography because of thesmall wavelength (less than 1 angstrom) of the 10-50 keV electrons. Resolution in electronlithography systems is not limited by diffraction but by electron scattering in the targetmaterials including the resist and by the various aberrations of the electron optics. Scanningelectron beam pattern generators have been under development for more than 20 years and werederived from the scanning electron microscope. Because of the serial nature of the pattern writing,throughput is much lower than for optical systems. However, a wide variety of applications isavailable in the pattern-generating function for electron lithography, such as mask fabrication foroptical or x-ray lithography, direct writing on the wafers, and direct reaction with some materialson the substrate. Electron lithography is classified into two types scanning and projection andthe scanning type can be either raster or vector scanning.

    The exposure scheme is illustrated in Fig. 18. The ULSI pattern is composed by a computer-aided design (CAD) system. The output format from the CAD system is converted into theinternal format of the individual exposure systems.

  • 8/11/2019 6. Lithography

    27/60

    296 ULSI Technology

    The electron exposure machine decomposes the data into simple elements (trapezoids or rectan-gles, depending on the machines) to control the electron beam irradiation. Electron beam exposuremachines are bigger and more complicated than the optical printers because of their data-handlingfunction.

    Although electron projection and proximity-printing methods have been developed for full imageexposure, and are expected to be one, of the alternatives to optical technology after an optical resolutionlimit is reached, these techniques have not become practical yet_ Among many kinds of electron beamexposure machines, the MEBES from Perkin Elmer has proven to be the best photomask patterngenerator. Some special products, such as microwave transistors, have for many years beenmanufactured by direct writing in some low-volume production devices, direct writing has also beenapplied in the development stages of ULSIs and in personalization of application-specific integratedcircuits (ASICs) to minimize the mask-related cost and development time_ Commercially availableelectron-beam machines are listed in Table 4. Generally, Gaussian spot vector machines are used forresearch and development of small-geometry devices, and the variable-shaped or raster spotmachines for production. About 450 machines have been installed and used in the world. The lastentry of Table 4 is included because it functions much like an electron-beam exposure machine butuses an optical system.

    6.3.1 Electron Optics Figure 19 shows the basic electron optical system. Magnetic lenses form a demagnified image ofthe source d o , on the image plane d i. The position of an electron beam, irradiated on the substrate,is controlled by deflectors. The on-off control of an electron beam is carried out by a blanking platewith an aperture underneath.

    The characteristics of some cathode materials are shown in Table 5. The one used mostcommonly is the LaB 6 single crystal, which has a long life, high stability, and high current capabilitycompared to the conventional tungsten hairpin cathode. The thermal field emission (TFE) sourceconsists of a tungsten tip with a radius of 0.5 to 1 m heated sufficiently (about 1900 K) toemit high current with high stability.

    Emission current density from the cathode J c is given by

    Jc = AT 2exp(-E w /kT) (6 .15)

    where A is the Richardson constant, k the Boltzmann constant, and E w the work function of the cathodematerial. The electrons are accelerated by the voltage V (10 to 50 kV) and focused by the gun at a

    point near the anode ca lled the crossover, which has a diameter d o , of 10 to 100 m. Theconf igura tion of the elec tron gun is illustrated schematically in Fig. 20a. The maximum value of the

    brightness is

    = J ce V / k T (6.16)

    The lenses in the electron optical c olumn (Fig. 19) are magnetic, and their structure isillustrated in Fig. 20b. If a parallel beam of radius r o, enters the field B of the lens, the electronsexperience a force that causes those not on the axis to revolve about the axis and turn around it.

  • 8/11/2019 6. Lithography

    28/60

  • 8/11/2019 6. Lithography

    29/60

    298 ULSI Technology

    For a thin lens, the electron path beyond the lens is given by

    dr /dz ( -r 0e/8mV) dr /dz = - r 0/f (6.17)

  • 8/11/2019 6. Lithography

    30/60

    299 ULSI Technology

    where r o = beam radiuse = electronic chargem= mass of electronBz = axial component of Bf = focal lengthV = acceleration voltage

    Many rules of light optics apply here also, such as

    1 / l 0 1 / l i = 1 / f M = l i /l 0 (6.18)

    Where l o = object distancel i = image distance

    M = magnification

    The current density J and current I on the image plane are

    J 2 and I = J(d i2/4) (6.19)

    Where = gun brightness

    d i= diameter of the electron beam on the image plane

  • 8/11/2019 6. Lithography

    31/60

    300 ULSI Technology

    Spot sizes of d i that are of interest range from 0.01 to 0.1 m. From Section 6.2.2 the diameterd of the central spot of the Airy pattern is 1.2/ . NA( sin , where 2 is the solid angle, of theray reaching the imaging point from the objective lens) is the numerical aperture. For 15-keVelectrons, the wavelength = 0.1A. Taking = 10 -2 radians, we have a diffraction spot width

    ddiff =1.2/ = 10 -3m

  • 8/11/2019 6. Lithography

    32/60

    Chapter 6: Lithography 3016.3.2 Raster Scan and Vector Scan

    The EBES* machine, developed by AT&T Bell Laboratories, and the commercially available MEBESsystem from ETEC (Hayward, CA) use beam deflection in one dimension (mainly). The writing scheme isshown in Fig. 21 a.

    The stage moves continuously in a direction perpendicular to the beam scanning direction. Theelectron beam is moved repetitively in one direction while it is slowly deflected in the perpendicular

    direction, corresponding to the stage movement. The pattern data are decomposed into a number ofstripes parallel to the stage movement, and one stripe is written on all chips of the same type before thenext stripe is begun. The stripe is decomposed into many scan lines whose widths are basically similar tothe feature addressing unit The electron beam is irradiated on the substrate by controlling the

    blanker that turns the beam on at the exposure starting points and turns it off at the end point duringrastering. The performance of MEBES4 is given in Table 4,

    In vector scan the beam is directed sequentially to the parts of the chip pattern to be exposed. 32 The pattern is decomposed into a number of elements (rectangles, triangles, etc.) and each isexposed by the writing beam Many vector scan machines expose in a step-arid-repeat fashion.Figure 21b shows an exposure field of dimensions F X F, which is the electron beam deflectionarea. The dotted lines indicate where the beam is turned off (deflected by the blanking plates),

    similar to blanking in a raster scan. After all elements in one field are exposed, the stage is stepped to thenext field and the exposure process is repeated. The stage need not provide highly precise positioning. Stage position is monitored by a laser interferometer and small differences from the desiredstage location are compensated by small offsets of the beam. If a chip is larger than an exposurefield, as in Fig. 21b, several fields can be used to expose the chip. The scan field must be as large asallowed by deflection positioning accuracy because stage-stepping time affects throughput.

  • 8/11/2019 6. Lithography

    33/60

    302 ULSI Technology

    There are many vector-type machines commercially available, as shown in Table 4.The EBES4 machine developed by AT&T Bell Laboratories has a continuously moving stage, three

    hierarchical deflection systems, and a high-brightness gun that uses a thermal field emissioncathode in order to manufacture deep-submicron geometry (less than about 0.5 m) masks or waferswithin an acceptable exposure time.

    The CORE* laser reticle writer, the last entry in Table 4, is an optical pattern generator. 34 The writingconcept is very similar to the MEBES* electron beam machine. A narrow laser beam is scanned veryaccurately by the combination of a polygon mirror, a piezo-contro lied steering mirror, and a high-frequency

    beam modulator (on-off controller) on the continuous-movement mask stage. The system con figura -tion is illustrated in Fig. 22. This machine can use the same process as with wafer fabrication for maskmaking because it is an optical method. It also has the capability of direct writing and phase-shiftingmask_ making,

  • 8/11/2019 6. Lithography

    34/60

    Chapter 6: Lithography 303

    6.3 .3 Var iab le Shaping

    For vector scan machines the exposure time of a specified area is proportional to thenumber of electron beam irradiations if the current density and the resist sensitivity arefixed. The shaped-beam method offers a way to project many image points in parallel and toachieve faster exposure by reducing the irradiation numbers. In the JEOL JBX-6A3machine shown schematically in Fig, 23, the shape of the electron beam is rectangular, andthe size is variable. The image of the first square aperture is shifted in two dimensions tocover various portions of the second aperture, which is the actual object of the image

    projected on the mask or wafer. The minimum and maximum rectangle widths are 0.2 um and6 m, respectively. Maximum current density is 2A/cm 2 with the LaB6 cathode. Electrostatic

    beam deflection is employed.

  • 8/11/2019 6. Lithography

    35/60

    304 ULSI TechnologyAnother interesting approach is the combination of a variable shaped beam with continuous stage

    movement technology to minimize stage movement overhead. The first commercially availablemachine is AEBLE-150 from ETEC. It has sta tic and magnetic two-stage deflectors. The magneticdeflector decides the static deflection positions, and the static deflections control the electron-beamexposure positions corresponding to the stage positions, The stage is continuously moved like a rastermachine, and the position, monitored by laser interferometer, is fed back to both deflectors. Machinesdeveloped by NTT" and Toshiba 39 use a similar concept.

    6.3.4 Electron Proximity/Projection Printing

    An electron proximity printing system 4 is illustrated in Fig. 24a. It needs step-and-repeat stagemovement because of its small mask size and thus differs from an optical proximity printer. The distance

    between the mask and the wafer is 0.6mm. The beam, with a diameter of approximately 1 mm, is scannedover the full chip-size mask, which is made on a silicon membrane, To permit electrons to passthrough the transparent parts of the mask physical holes must be present, unlike an x-ray mask.Creating isolated opaque patterns such as the interiors of doughnut shapes is a problem because theyhave no attachment to the rest of the mask. A solution to this problem is to use a set of twocomplementary (half) masks. Registration is by reference to alignment marks on each chip. Resolutionis reported as 0.3 m,

  • 8/11/2019 6. Lithography

    36/60

    Chapter 6: Lithography 305

    Overl ay error is less than 0.05 m (1 ). Estimated exposure time is around 30 sec per 5-inch waferwith 10 C/cm 2 sensitivity. The major disadvantages of this system are the need for two masks foreach pattern and the heating problem associated with the stencil mask.

    Electron projection systems4

    are another method of achieving high resolution over a largefield with high throughput as shown in Fig, 24b. In a 1: 1 projection system, parallel electric andmagnetic fields image electrons onto the wafer. The "mask" is quartz, patterned with chrome andcovered with CsI on the side facing the wafer. Photoelectrons are generated on the mask/cathode by

    backside UV illumination_ 'The advantages of the sys tem include a stable mask, good resolution,fast-repeat exposure with low-sensitivity resists, large field, and fast alignment. Proximityeffects can be compensated for by undersizing or oversizing the features on the mask or byincreasing the acceleration energy to 50 keV or more_ Apparently neither method is entirelysatisfactory for production use. Another problem is that the cathode has an unacceptably short life,only 50 exposures., before the CsI must be replaced by fresh material.

    Recently, another projection method, a modification of the variable-shaping method, has

    been proposed by severa l organizations:42

    Several different unit patterns, such as the cell patterns of a memory chip, are located in the second shaping aperture of the variable -shapingcolumn as shown in Fig. 25. The deflection range of the electron-beam shaping is larger thanwith the variable-shaping method in order to select the different types of cells, Hence,redeflection is necessary to eliminate the beam shape deformation passing through the field farfrom the center of the lenses. The reduction ratio of the cell patterns is the same as that of thevariable rectangle. Repetitive patterns are exposed by using the cell aperture, which isdesigned and manufactured in advance, that is specific to the device layout. Random patternsor areas that connect the repeated patterns are exposed by a conventional variable rectangular

    beam, Cell apertures are manufactured by a method similar to the one used to make the electron proximity mask, but the pattern size of the cell aperture is some ten times as large as the ac tualwafer geometry. This technique is very flexible because it can use either a conventionalvariable-shaping function or preprogrammed shaping. The problems of this method are theformulation of the flexible algorithms that combine the data preparation of the ULSI patternswith exposure control, the adjustment of electron-beam optics, and the life of the cell aperture.

    6.3.5 Electron Resists

    Electron exposure of resists occurs through bond breaking (positive resist) or the formation of bonds between polymer chains (negative resist). The incident electrons have energies fa r greaterthan the bond energies in the resist molecules, so the exposure energies can cause directmolecular reactions. Both bond scission and bond formation occur simultaneously. Which one

    predominates determines whether the resist is positive or negative.In a negative resist, electron beam induced crosslinks between molecules make the polymer

    less soluble in the developer solution. One crosslink per molecule is sufficient to make the polymer insoluble. Resist sensitivity increases with increasing molecular weight.

  • 8/11/2019 6. Lithography

    37/60

    306 ULSI Technology

    If the molecules are larger, then fewer crosslinks are required per unit volume for insolubility. The polymermolecules in the unexposed resist will have a distribution of lengths or molecular weights, and thus adistribution of sensitivities to radiation. The narrower the distrib ution, the higher the contrast similarto an optical resist, as shown in Fig. 15. The exposure dose has units of charge deposited by the beam

    per unit area, or C/cm2,In a positive resist the scission process predominates; the exposure leads to lower molecular weights

    and greater solubility. Again, high molecular weight and narrow distribution are advantageous.

    Two major factors limit resist resolution: swelling of the resist in the developer (more severe fornegative resists) and electron scattering. Swelling of a negative resist, whether optical, electron, or x-ray,has two deleterious effects. First, two adjacent lines of resist may swell enough that they touch. Second,this expansion and contraction weakens the adhesion of very small resist features to the substrate and cancause small undulations in narrow lines.

  • 8/11/2019 6. Lithography

    38/60

    Chapter6: Lithography 307

    Both problems become less severe as resist thickness is reduced.Recently developed chemically amplified resists have good resolution, sensitivity, and dry-etching

    resistance capabilities without swelling. The basic process is explained in Section 6.2.5. It is reported to

    have a 0.2 urn resolution with a 4.0- c/crn2

    sensitivity at 20 kV. Dry-etching performance is as good asthat of conventional diazoquinone-based positive resists.A few of the readily available electron resists are listed in Table 6. As the wavelength of optical

    lithography becomes shorter, several optical resists also have sensitivity to an electron beam, The resistPMMA has the highest resolution known. Note that the values for sensitivity and resolution areapproximate. Because faster electrons penetrate. more deeply, more current is required at higher voltages. Aresist is about one-half as sensitive for 20-keV electrons as it is for 10-keV electrons.

    When electrons are incident on a resist or other material, they enter the material and lose energy by acollision process known as scattering, producing secondary electrons, x-rays, and, finally, heat. Thisfundamental process limits the resolution of electron resists to an extent that depends on resist thickness,

    beam energy, and substrate composition. The envelope of the electron cloud in the material is shaped likean onion bulb; the cloud is pulled closer to the surface as beam voltage decreases. At higher beam voltage the.electrons penetrate farther before being scattered over larger lateral distances. These phenomena areshown in Fig. 26. from the results of Monte Carlo simulations of the penetrating paths of the individualelectrons in the target rnaterials. 44 The scattering range of electrons in the Si substrate is about 1 um for

    both distance and depth at 10 keV, and 2 m for distance and 3.5 m for depth at 20 keV.For an electron beam of zero width incident at position r on the resist-covered substrate, the

    distribution of energy deposited in the resist at depth z is closely approximated by

    f ( r , z ) = al exp[-r 2/ f

    2 ( z ) ] + a 2 exp[ - r 2/ b

    2(z)] (6.23)

    where a 1 , a 2 = ratios of forward- and backscattering of the total electrons, respectively

    f (Z) = width for the forward-scattered beam in the resist

    b (z) = backscattering from the substrate

    and b(z) >> f (z),f (r, z) is the point spread function. Generally, the value of z of interest is thatcorresponding to the resist/substrate interface z i. For a 25-ke V electron beam penetrating a 0.5-m thickresist substrate, for example, B f (z i) = 0.06 m. B b (z i) = 2.6 m, and a 1/a 2 = 2.7 X 10 -4 . Asseparation between lines decreases, the backscattered electrons contribute a greater dose between thelines, where the dose should be zero. This feature is somewhat similar to the reduced modulation in anoptical image at higher spatial frequencies.

    An exposed pattern element adjacent to another element receives exposure not only from the incidentelectron bearn but also from scattered electrons from the adjacent element. This is called the proximityeffect and is, of course, more pronounced the smaller the space between pattern eleme nts. For example,an isolated 0.5- m line requires 20-30% more exposure than 0.5- m lines separated from each other by0.5 m.

  • 8/11/2019 6. Lithography

    39/60

  • 8/11/2019 6. Lithography

    40/60

    Chapter 6: Lithography 309

    Thus as pattern density increases, it becomes necessary to adjust the exposure for various classesof elements or, in the extreme case, for different parts of an e1ernent. 4 This process is carried outthrough data preparation from the CAD output into the machine-oriented format in Fig. 18. Ingeneral, it takes a very long time, even for advanced computers, to apply perfect correction in ULS I

    patterns.6.3.6 Electron-Beam Applications Mask

    Fabrication

    Electron beam exposure machines have a wide variety of applications because of their pattern-generating function. Applications include direct writing and mask writing for the other lithographiessuch as the optical stepper, x-ray, ion, or electron projection. By the beginning of the 1970s the

    10x reticle pattern was exposed by optical pattern generators. The rectangles are generated by a pair of L-shaped mechanical masks, and then the reduced images of these rectangles are projected on the blanks. A large, dense chip requires 20 hours or more of optical pattern genera tortime, but only two hours or less of electron beam pattern generator time. The first widespread use ofelectron beam pattern generations was in photornask making.

    Table 7 lists specifications for the advanced masks required for optical steppers. In thistable pattern placement errors are displacements of patterns from the desired locations on themask. One of the types of error components that degrade these mask specifications is therelative-position error between the stage and the exposure position, which occurs because ofmechanical slippage of the mask due to stage movement or thermal expansion. Fused-silica masksubstrates, with their low thermal expansion (coefficient of linear expansion is (0.4 X 10 -6/oC), canreduce thermal contributions that result in relative-placement errors among several masks.

  • 8/11/2019 6. Lithography

    41/60

    310 ULSI Technology

    Another type of placement error is caused by the bending of the mask. To minimize this error, the use of0.25-inch thick blanks is beneficial compared to the conventional 0.09-inch ones. Commercially available

    machines have metrology capabilities to measure exposure performance of the masks,Several factors also contribute to decrease the critical dimension (CD) uniformity, that is, the

    exposed pattern width uniformity. If the chemical process for the resist development is perfect, thefactors that affect the CD are the dose and beam profile. Usually dosage is confrolled by beam irradiationtime (beam-on time with unblanking) and depends on the beam current. Beam blanking and unblankingcontrol has a very quick response within several nanoseconds. The problem is the heating effect ofelectron bombardment, which is more important in direct writing because of rather thick resists.

    Another important parameter of mask performance is the defect density, Usually, the etched pattern is inspected by an optical method and compared with the original data base used for theelectron exposure, Mask defects are either opaque spots in areas that should be transparent or

    pinholes where the chromium layer should he continuous. The allowable defect size, which dependson the layout rule of the devices, is shown in Table 7. The mask must he perfect within that size,Consequently, inspection and repair technology is very important not only to ensure the device

    performance but also to increase the mask production yield. The minimum thickness of the resist is set by the need to avoid pinholes and by its resistance to etching. The resist thickness of the photoma sk is approximately 0.2 to 0.4 m, In the case of thin resists, it is easy to control the CD byusing uniform process conditions, such as minimizing swelling, proximity effect, heating effect, andcharging of electrons.

    Mask making can be more difficult than direct writing in spite of its 5x larger geometry. Absolute position accuracy and pattern-integrity perfection on the completely clean blanks, without anyreferences such as alignment marks on wafers, are required during a rather long exposure time Thereduction ratio of the optical stepper will become 4x in the case of 256-Mbit DRAM production insteadof the conventional 5x because of the large chip size and the limit on field size of the stepper as shownin Table 1. The required specifications of masks for 256-Mbit DRAM become more severe than the conventional ones because of changing the reduction ratio.

  • 8/11/2019 6. Lithography

    42/60

    Chapter 6: Lithography 311

    Direct writing

    Direct writing means making patterns directly on the resist-coated substrate. The advantagesof direct writing are that no mask is needed and that it produces a high-resolution pattern.Because it is maskless, direct writing can be used in many applications. For example, quickdesign modification; shortened manufacturing time from design to device test, usually calledQTAT for quick turnaround time; and the capacity to put different types of pattern layouts on onewafer (one wafer module) are advantages of maskless applications. In ASIC manufacturing, the

    basic design and process are common from chip to chip; only the wiring and through-hole layersare different, based on the logical functions of the devices. Hence, the common layers can bemanufactured using a stepper, and the personalization layers can be exposed by electron beam.Direct writing is very efficient not only because it is economical but also because of its higher

    production speed. If the mask-and-stepper process is used, it needs almost one full day fromreceiving the exposure data to making a mask without defect. In the direct writing process, theexposed pattern data are transferred directly to an electron beam machine, without the use ofexternal components such as magnetic tape, through a network from the CAD system connectedto the electron exposure machines. The trilayer resist process has been applied to actual

    production to get higher throughput, to achieve better resolution, and to minimize radiationdamage.

    The first 64-Mbit DRAM was made by a mix-and-match method using the electron beam and thestepper. Depending on pattern density, several newly developed technologies were used, such asthe positive resist OEBR200 (Tokyo-oka) and the negative resist SAL601 (Shipley). To controlCD uniformity in 0.3- m geometry, a two-step development process (contrast enhancement), a

    conductive material coating to eliminate charge accumulation, and a new proximity-correctionalgorithm (to classify the pattern density area instead of only the pattern width and gap) are em- ployed.Another direct writing application, with long experience, is GaAs field-effect transistor (FET)

    production. A short gate, length and low resistance are very important for this device to havehigh performance. A T-shaped gate is one way to accomplish this. A double exposure, withdifferent exposure conditions is another. Two types of PMMA. with different sensitivities(molecular weights), are coated on the wafer. The top resist has a higher sensitivity and lowermolecular weight compared to the bottom one. After the top layer has been opened, the secondlayer is exposed by referring to the alignment mark as shown in Fig. 27. It is reported that thegate contact width is 0.15 m.

    As the pattern size becomes smaller and smaller, it becomes more difficult to make the pattern on the resist and transfer it to the wafers. There have been many approaches to making patterns directly on the wafer without a resist, especially in applications that need a geometry ofless than 0.1 m. For direct electron beam deposition, an electron beam reacts with the sourcegas directly, The gas is introduced to the specimen chamber, and a Au pattern is deposited. Fiftynm lines and spaces are reported.

  • 8/11/2019 6. Lithography

    43/60

    312 ULSI Technology

    6.4 X - R AY L I T H O G R A P H Y

    Since x-ray lithography was proposed in 1972, development of the technology has been

    pursued in many laboratories. In the previous section we noted that diffraction effects andresolution are improved by reducing the wavelength. If the wavelength is reduced further thandeep UV, all optical materials become opaque because_ of fundamental absorption, buttransmission increases again in the x-ray region. In x-ray lithography, an x-ray sourceilluminates a mask that casts shadows on a resist- covered wafer. There are several advantages inx-ray lithography in addition to short wavelength. Some, contaminants, such as light organicmaterials, do not print as a defect; and the depth of focus is larger than that of optical printers.The essential technology components of this process are (1) a mask consisting of a device

    pattern made of x-ray-absorbing materials on transmitting material, (2) an x-ray source, and (3)an x-ray resist.

    The x-ray absorption of several materials is shown in Fig. 28. The absorption coefficient

    of an elemental material of dens ity and atomic number Z is proportional to Z4

    3 over a

    wide range of wavelengths. The proportionality constant decreases in a step functionfashion at the absorption edge, a wavelength that corresponds to the ionization energies ofinner electrons of the K, L, and other shells.

  • 8/11/2019 6. Lithography

    44/60

    Chapter 6: Lithography 313

    Note in Fig. 28 that the big differences in absorption coefficients for different materials areobserved at the, same wavelengths that are utilized for absorbing materials to make patternsand the transmitting substrate of the x-ray mask.

    The performance required of x-ray lithography, as the alternative to opticallithography, has become more exacting as optical technology has continued to irnprove. Theresolution and placement accuracy should soon surpass 0.2 m and 0.03 m, respectively.

    6.4.1 Proximity Printing

    Because the x-ray wavelength is short enough, simple geometrical considerations can be used to relate the image formation and the wafer without having to consider diffraction, asshown in Fig. 29a. The opaque parts of the mask cast shadows onto the wafer below. The

    edge of the shadow is not absolutely sharp because of the finite extent of the x-ray source atdistance D from the mask. If the gap between mask and wafer is g, this blur is given by

    = Sg/D (6.24)

  • 8/11/2019 6. Lithography

    45/60

    314 ULSI Technology

    The angle of incidence of the x-ray on the wafer varies from 90 0 at the center of the wafer totan -I (D/ R) at the edge of the exposure field of radius R, The shadows are slightly longer at the edge

    by the amount

    = g(R/D) (6.25)

    This small magnification is generally of no concern. In the special case where it may be undesirable,

    it can be compensated for when the mask is patterned. For multilevel devices the magnification musthave the same value for each level, or at least its variation must be within the registrationtolerance. This implies stringent control of the gap g. Wafer warping in processing can benearly eliminated with a proper vacuum chuck. It is not necessary that the gap have the samevalue at all points on the wafer, only that the spatial variations be the same, within close tolerance,for all levels. The step-and-repeat motion is indispensable to the narrow beam line of x-ray lithography.

    6.4.2 X-Ray Mask

    An example of a typical mask structure is shown in Fig. 29b. An x-ray mask consists of atransmissive membrane substrate and an absorber patterned on it. The ratio of metal thickness tosubstrate thickness is greater than for a photornask because no materials are available that are fullytransparent or fully absorbent, unlike the combination of glass and chromium in optical lithography.These thicknesses are determined by the transmission of the materials for the x-ray wavelength ofinterest. X-ray masks are made by electron lithography and use the technologies of mask making anddirect writing

  • 8/11/2019 6. Lithography

    46/60

    Chapter 6: Lithography 315

    The pattern on the x-ray mask must be as perfect as a photomask, but the dimension is the same as onthe wafer because of proximity printing. For features down to a 0.1 to 0.2- m geometry it is harder tocheck the pattern integrity and to repair defects in x-ray than in optical lithography.

    Of the heavy metals with large p Zr 4

    - values, gold was once widely used, because it is relatively easily patterned by liftoff or electroplating. Tungsten and tantalum are used now, because they are easilyetched by dry etching. The thicknesses of gold necessary for absorption of 90% of the incident x-ray fluxare 0.7 m, 0.5 m, 0.2 m and 0.08 m for x-ray wavelengths 4.4 A (PdL), 8.3 A (AlK), 13.3 A(CuL), or 44.8 A (C K ), respectively. In general, the metal k considerably thicker than the chromiumlayer (0.1 m) on a photornask. Methods for high-resolution patterning of the gold includeelectroplating and ion milling. Electroplating produces excellent definition with vertical walls butrequires a vertical wall primary pattern in a resist that has a thickness equal to that of the metal to be

    plated. 55 More often, a subtractive process has been employed in which a thinner resist layer is used to pattern a thin layer of a refractive metal; the refractive metal serves as a mask for ion-milling theunderlying gold. With this method, walls that depart from the vertical by 20 or less can be formed.The minimum linewidth attainable by ion milling 0.5- m-thick gold is ~0.4 m. For higher resolution,and where gold thickness can be reduced, longer wavelengths such as the 12 A Cu L radiation may beused. Lines as small as 0.16 m have been replicated with this type of radiation.

    The membrane forming the mask substrate should be as transparent as possible to the x-rays,smooth, flat, dimensionally stable, reasonably rugged, and transparent to visible light if an opticalregistration scheme is used. Materials that have been used include polymers such as polyimide and

    polyethylene terephthalate, silicon, SiC, Si3N 4, Al 203, and a Si3N4 Si02 Si3N4 sandwich structure.

    Although different mask substrates are appropriate for different portions of the soft x-ray spectrum, thereis not yet general agreement on the best material for any particular wavelength.

    The major questions remaining about x-ray masks concern their dimensional stability,minimum attainable defect densities, and ease of handling. The stress applied on the thin membraneduring processing and formation of the absorber structure causes distortions. Absorber-induceddistortion is not noticeable because it is evaluated by comparing the measured fiducial marks

    before and after electroplating. Resist films on the membrane produce tensile stre ss on themembrane. In the case of a multilayer process, RIE heating causes membrane distortion with amaximum error of 0.1 um. Dimensional stability can be degraded by radiation damage produced by x-rayflux, which also makes the mask substrate optically opaque. Pattern placement and CD accuracies arereported as 0.06 m (3 ) and are repeatable.

    6.4.3 X-Ray Sources

    X-rays are produced by the interaction of incident electrons and a target material. The maximum x-ray energy is the energy E of the incident electrons. If E is greater than the excitation energy Ec. ofthe characteristic lines of the atoms of the material, the x-ray spectrum will contain these lines.

  • 8/11/2019 6. Lithography

    47/60

    316 ULSI Technology

    X-ray generation by electron bombardment is a very inefficient process; most of the input power isconverted into heat in the target. The x -ray flux is generally limited by the heat dissipation in thetarget. With electrons focused to a spot 1 mm in diameter on an aluminum target on a water-cooledstem, 400 to 500 W is a typical upper limit for the input power. The x-ray power produced is onlyabout 10 mW, and this power is distributed over a hemisphere. The x-ray power is proportional to(E Ec)^1.63.

    Another x-ray source, which is capable of an order of magnitude greater flux, is plasmadischarge. There are several versions, but all function by heating a plasma to a temperaturehigh enough to produce x-ray radiation. The radiation consists of strong lines superimposed on aweak continuum. The source is pulsed at a low rate. In one embodiment, the source size is 2mm. The repetition rate is 3 Hz. Special problems with such a source are reliability andcontamination produced in the plasma chamber.

    Typical x-ray sources" are listed in Table 8. Although the electron bombardment and plasmasources are included, their resolution and registration are limited because of their x-ray trajectory

    divergences and 8 values shown in Table R.

    6.4.4 Synchrotron Radiation

    We have considered many kinds of lithography equipment in this chapter. The synchrotron is the biggest and the most expensive; including its support facilities, it is more than two orders higherthan any other lithography equipment. Some. experiments have been reported in which theradiation from electron synchrotrons and storage rings was used for x-ray lithography. Thesmall angular divergence of the radiation simplifies mask wafer registration, and the highintensity of the radiation leads to short exposure times. A single storage ring could provide radiation to

    a large number of exposure stations.In synchrotrons and storage rings, high-energy electrons are forced into closed curved

    paths, or orbits, by magnetic fields. An elec tron moving through a perpen dicular magneticfield has an acceleration directed toward the center of the orbit and emits radiation convertedfrom the kinetic energy. For the high-energy electrons of interest, which have velocities verynearly equal to that of light, the radiation is emitted in a narrow cone in the forward direction ofmotion of the electron. An observer looking along a tangent to the orbit sees a bright spot. Theradiation is very different, from that of a point source because of the narrow beam from eachelectron. The radiation from a circular divergence of the radiation in the vertical direction is =(1957 E) -1 , where E is the electron energy in GeV and I I is in radians. Thus, for a I GeVmachine the ver tical divergence is only 0.5 mradians. The synchrotron is the only equipment thathas a small divergence angle and high brightness.

    High-energy electrons arc provided to the storage ring by a small synchrotron or a smalllinear accelerator. The ring is operated, briefly, as a synchrotron to boost the electron energy tothe final value. Then the electrons may circulate for several hours in a stable orbit. The loss dueto the power radiated as synchrotron radiation is compensated by one or more acceleration cavitiesaround the ring.

  • 8/11/2019 6. Lithography

    48/60

  • 8/11/2019 6. Lithography

    49/60

    318 ULSI Tec hnology

    Nevertheless, the current slowly decays because electrons collide with residual gas molecules orthe walls.

    The peak of the power spectrum of the synchrotron radiation occurs at wave length . This is

    related to the electron energy E (in GeV) and magnet bending radius R (in meters) byp = 2.35 R/ E 3 (6.26)

    A 0.83 GeV machine with R = 2.1 m would have a power spectrum with a peak at p = 8 . 4 A Oxford Instrument's compact synchrotron Helios was installed at IBM, East

    Fishkill, NY. Figure 30 shows the total facility arrangement. The size of Heliosis about 2mX4m. It needs many other components the linear accelerator, beamRF controllers, magnet controllers, pumping units, and a radiation protection

    building. It has a 0.9-nm wavelength, 0,4-mm source size, and a 45-hr beam life.The x-ray stepper made by Karl-suss has a 0.25- m resolution and 0.1- m overlayaccuracy. 61 Synchrotron radiation actually has been used in 0.35- m static RAM(SRAM) manufacturing. The critical layers (isolation, gate, contact hole, and metal

    1) were fabricated using the synchrotron on the completed layers made by opticali-line or KTF steppers, The CD control of eight lots is reported as 30-50 nm (3 ).

    6.4.5 X-Ray Projection

    The use of soft x-ray projection lithography has been demonstrated. 63 The key components ofthis system are x-ray mirrors, which consist of alternating layers of high-index and low-index materialsdeposited on a smooth substrate. The choice of materials is determined by the x-ray wavelength, andthe individual layer thickness is determined by the wavelength and the incidence angle. Thenormal incidence refl ectivity is better than 50% at = 4.5 urn. A laser-produced plasma source is

    adopted for the x-ray source. The system configuration is illustrated schematically in Fig. 31. Thedemagnification ratio is 1 : 5. The advantages, compared to proximity methods, are greater latitude withrespect to placement of the mask pattern and less radiation-induced damage of the mask. Theoreticalresolution is 8,9 X 10 3 line-pairs per millimeter, or a linewidth of 56 nm, based on 1/ f 2, where = 4.5nm and is 125. The image field size is as small as 5 mm in diameter. The exposure time is estimatedroughly as 15 minutes for a 6-inch wafer.

    6.4.6 X-Ray Resists

    An electron resist is also an x-ray resist, since an x-ray resist is exposed largely by photoelectrons produced during x-ray absorption. The energies of these photoelectrons are much smaller (0.3 kevto3 keV) than the 10 keV to 50 keV energies used in electron lithography, making proximity effectsnegligible with x-rays and promising higher ultimate resolution.

    On traversing a path of length z in a resist or any other material, an x-ray flux is attenuated by thefactor exp(- z). The 1-um thick resist film absorbs about 10% of the incident x-ray flux at the

  • 8/11/2019 6. Lithography

    50/60

    Chapter 6: Lithography 319

  • 8/11/2019 6. Lithography

    51/60

    320 ULSI Technology

    characteristic x-ray Al k (=8.3A), because most resists consist of H, C, and O only with a density p 1 g/c m3. This small absorption has the advantage of providing uniform exposure throughout theresist thickness z and the disadvantage of reduced sensitivity. As in the optical case, x-ray resist sensitivityis generally quoted in terms of incident dose Q (j/cm 2) required for exposure; sometimes absorbed doseQ (J/cm 3) is used.

    For the shorter x- ray wavelengths the 3 dependence of the absorption coefficient leads to lowsensitivity. This can be offset by incorporating heavier elements in resist components to increaseabsorption (sensitivity). ln x-ray lithography, we expect a special sensitivity enhancement due to therelationships between resist materials and x-ray absorption edges. For the characteristic x-rayPd L radiation, = 4.37 A, the DCOPA resist (a mixture of poly (3.3-dichloro-I-propyl acrylate)and PGMA/ZA) incorporates chlorine. The Cl K absorption edge at 4.4 A provides higher absorption,and chlorine is a chemically reactive species. Thus, two effects contribute to higher sensitivity.

    6.5 ION LITHOGRAPHY

    When an ion beam is used to expose a resist, higher resolution is possible than with anelectron beam because of less scattering. In addition, resists are more sensitive to ions than toelectrons. There is also the possibility of a resistless wafer process.

  • 8/11/2019 6. Lithography

    52/60

    Chapter 6: Lithography 321

    However, the most important application is the repair of masks for optical or x-ray lithography, a task forwhich commercial systems are available,

    The sputtering yield increases with beam energy if the beam energy is larger than somesmall threshold value. There is an energy limit beyond which the yield decreases because theions penetrate more deeply and fewer surface atoms receive enough energy to leave the surface.For example, the peak in the sputtering curve for Ar + ions incident on Cu occurs at 23 keV. For animplantation, energies from 30 keV to 500 keV are used, and the dose ranges up to 10 15 ions/cm 2 (or 1,6 X 10-4 C/cm2 for monovalent ions). This represents a much larger dose than that usedfor resist exposure.

    The sensitivity of PMMA resist has been measured for 30 keV, 60 keV, and 200 kev He ions and for100 keV and 150 keV Ar + ions. The required dose is nearly two orders of magnitude less than with20 keV e1ectrons. The perpendicular straggle of the penetrating ion path and the range of low-energy secondary electrons produced are less than the range of backscattered electrons inelectron lithography. The ion energies for exposing a resist depend on the ion species. If the ionmust penetrate 2500 A o of resist, then a proton would need 14 keV; and a Au ion, 600 keV for the

    projected range to be 3000 A o.

    Ion lithography systems are of two types: a scanning focused-beam system and a mask- beam system. The problems of ion optics for scanning ion systems are more serious than forelectron optics. One problem is the ion source, and another is the beam-forming system. In the two

    practical types of field ionization source, ions are produced in the strong electric field near a pointedtungsten tip; the source of the ionized material is a gas or a liquefied metal surrounding the tip. Thelargest current densities obtained in the focused image of such a source are 1.5 A/cm 2 for Ga + in a0.1 um spot and 15 mA/cm 2 for H + in a 0.65 um spot. This value is two orders less than the

    conventional electron beam system. There are no bright sources for such useful implant speciesas B and P. Electrostatic lenses rather than magnetic lenses must be used to focus ion beams. Ifa magnetic lens were used to focus an ion beam, the field would have to be huge since the requiredfield is proportional to(mV/f) 1/2 from Eq. (6.17), where m is the particle mass. Different isotopeswould be focused at different points. Electrostatic optical systems generally have higheraberrations, necessitating a small aperture a (beam solid angle similar to optical or electron beams)and small scan fields.A prototype scanning system 65 in which a beam of 57 keV Ga + ions is focused to a 0.1 mdiameter spot with current density 1.5 A/cm 2, is illustrated in Fig. 32. Spot size is limited bychromatic aberrat ion of the electrostat ic lens and the large 14-eV energy spread of the source.

    Mask-based systems are of two types. One type is the 5X-reduction projection step-and-repeatsystem, which projects 60-100 keV light ions such as through a stencil mask. ' 56 The resolution

    and overlay accuracy are 0.15 m and 0.05 m. The major disadvantage is the fragile foil mask,which can treat re-entrant patterns only by double exposure with two masks, similar to the techniqueused in the electron proximity method.

    The second type is a step-and-repeat proximity printer in which 300 -k eV protons are projectedthrough the 0.5- m thick portion of an all-silicon mask. 67 The mask is ali gned so that the ions traveialon g the channels in the [100] direction. Projected throughput is sixty 100-mm wafers/hr, with an overlay

  • 8/11/2019 6. Lithography

    53/60

    322 ULSI Technolgy

    error of ~ 0.1m barely adequate for 0.5 m lithography. Resolution is set by ions scattering as theyemerge from the channels. Edge resolution is 0.1 m for the beam-scattering angle of 0.3. The maindisadvantage is the fragile mask, with a 0.5 m membrane. However, if a large fraction of themask consists of the thicker silicon absorber, then the mask may be sufficiently rugged. Thehighest resolution in resist is obtained with a stencil mask and a beam of protons with an energy inthe range of 40 to 80 keV. Lines as fine as 400 A 0 have been printed with this proximity printer.

  • 8/11/2019 6. Lithography

    54/60

    Chapter 6 : Li thography 323

    6.6S U M M A RY A N D F U T U R E T R E N D S

    In general, DRAMs have been used as the indicator of progress in ULSI technology. The mostadvanced DRAM currently in mass production is the 4-Mbit type with 0.8- m geometry. The 64Mbit will start production at the end of this century, with 0.35 m feature size. If we consider theresolution, optical lithography systems have a capability very close to the required performance aslisted in Table 1. Optical lithography is also better than the other methods from the overlayconsideration as described in Table 2. Hence, it is likely that conventional optical lithographywill be applied to 64-Mbit DRAM production with the combination of several sophisticatedtechnologies such as the phase-shifting mask and off-axis illumination. Other reasons for thecontinued use of optical lithography are the wide variety of tools and technologies that already exist, itsconvenience, and its reasonable cost. Some commercially available resists can resolve down to 0.2rn, so optical lithography still has a margin over next-generation device manufacturing.

    In addition, the DOF has become very tight because the wavelength has been reduced andthe NA increased, as discussed in Section 6.21. One solution to the problem of tight DOF isthe mult ilayer r esis t process, which has other problems because of its complex process steps.

    The performance of various lithography methods based on throughput and resolution aresummarized in Fig. 33. The borderline of each technology is very fuzzy. It will be difficult foranother technology to replace optical lithography until 0.2- m resolution is required. This chapter mainlydiscussed resolution. However, other key

  • 8/11/2019 6. Lithography

    55/60

    324 ULSI Technology

    issues are the pattern-width uniformity and the overlay accuracy. If the tolerances of these items aredefined as 10% of the feature size, they should be less than 0.02 m.

    It is very difficult to guarantee these accuracies because the accuracy of present measurement tools

    cannot meet this requirement.Pattern-geometry accuracy is degraded in each step of the device manufacturing process. In general,

    the patterni