[XLS]snf.stanford.edu/piperma ··· ment.xls - Stanford...

14

Transcript of [XLS]snf.stanford.edu/piperma ··· ment.xls - Stanford...

Page 1: [XLS]snf.stanford.edu/piperma ··· ment.xls - Stanford ...snf.stanford.edu/pipermail/specmat/attachments/20121223/... · Web viewlifting frame with remote control, 18 different

Ref. Id ManufactureModel Description Quantity Version Condition Deinstallati Vintage Lead Time Comments11357 3S Phoenix 8015 Pick and Place 1 200mm with optical recognition to analyze and pick die from a wafer ring and place on waffle packs, Gel packs or Mylar film.11507 AB Laser ILPM Laser Marker 19863 ABRASIVE I PF 500-3 Powder Blender / Feeder 18667 Accel Micron 2 Accel MicroCel 2 Centrifuga 111778 ACCENT Q7 Lithography 111941 ACCENT Q7 REGISTRATION 1 200mm11112 Accretech / UF200 9 4", 5", 6", 8" good immediately9768 Acculab VL600 ELECTRONIC SCALE 110624 ACOPIAN R2H11AHS REDUNDANT OUTPUT POW1 24 Volt, NEW7245 Adavntek (T UPT6400K DETAPER 1 6" fair7880 Adavntest T5335P TESTER 1 2 UNITS

8614 ADCS AMT550051 ADCS Chemical Distribution 2 BRC22A Refill Controller, 120Volts, 4.1amps, 60Hz7098 ADE 6033T Thickness measurement G 1 good immediately

6853 ADE 9500 ADE Wafer surface Measure1 200 MM excellent immediately9697 ADE 6034 SURFACE MEASUREMENT 4 good immediately

9698 ADE 6033T MICROSENSE SURFACE M 3 good immediately9777 ADE 6033T Flatness measurement Gauge 1 good immediately9865 ADE 9350 Wafer Test System, 200mm 1 01.05.199210611 ADE BIS-WIS-CR WAFER INSPECTION SYSTE1 200mm11892 ADE Ultrascan 9 Incoming wafer flatness insp 19618 ADEC BT1152-240 Board Tester 1 excellent Includes PC Controller/Interface8478 Advance HivoA1013920 Advance Hivolt 10000 Power1

8461 Advanced E 3150274-00 Advanced Energy DCVD Ma50 good immediately8580 Advanced E 3150273-00 Advanced Energy Mercury 128582 Advanced E 3156048-100Advanced Energy PDX-800028608 Advanced E 3152223-02 Advanced Energy MDX 20KW27882 Advanest T5363 TESTER 1 512 PIN9695 Advantek ATL8600 Laminator (Taper) 1 01.06.19959696 Advantek STP-600 Detaper 1 01.11.199511506 Advantek UTP6400K Taper/Detaper 1 150 mm9085 ADVANTEST T7321 IC TESTER 2 TEST good 01.09.1995 01.12.1995 immediately9264 Advantest M6761AD Handler 2 01.06.19999200 Advantest M6761AD Handler 1 01.01.1999 WAFER SIZE 67235 Advantest 8020 1340 EBEAM PROBE SYSTE 0 fair6576 Advantest T5365 Test System 5 TEST excellent 01.06.2004 01.06.1998 immediately9474 Advantest T3326A VLSI tester, replacement for 2 excellent immediately7871 Advantest T3313 tester 1 6 01.08.19907872 Advantest T3320 tester 1 01.10.1989 LSI Test Head7873 Advantest T3320 tester 1 01.03.19957874 Advantest T3324 tester 1 2 test head7875 Advantest T3326 tester 1 2 test head7876 Advantest T3332P tester 1 01.06.1989 memory tester7877 Advantest T3332P tester 1 01.06.1989 memory tester7878 Advantest T3382 TESTER 1 01.03.1990 VLSI7883 Advantest T5363p tester 1 01.06.19937884 Advantest T5363P TESTER 1 01.12.1993 VLSI7885 Advantest T5363P TESTER 1 01.01.1994 VLSI7886 Advantest T5363P TESTER 1 01.01.1994 VLSI7887 Advantest T5365 TESTER 1 immediately7888 Advantest T5722A+P8XTESTER 1 8 01.01.2000 FLASH MEMORY TESTER 9UNITS10232 Advantest M3741A Gravity Feed Memory Handl 12 01.06.1997

10233 Advantest M3841A Gravity Feed Memory Handl 2 Wafer s ize: 12510239 Advantest T6683 SOC Test System 1 01.01.200010235 Advantest T5365 DRAM Test System 610580 Advantest T5581P tester 1 excellent immediately10882 Advantest T6673 Tester 1 300 mm good 01.09.2004 immediately11473 Advantest M6741A Pick and Place Memory Han 4 200 mm11474 Advantest M6761A Pick and Place Memory Han 1 01.01.200311482 Advantest T5581H DRAM Test System 111486 Advantest T6673 SOC Test System 1 01.01.200011487 Advantest T7315 LCD/PDP Driver Test Syste 3 01.07.200012063 ADVANTEST T7321 IC Tester 2 01.12.19959235 ADVANTEST T5365 MEMORY TESTER 1 01.12.19949236 ADVANTEST T5365 MEMORY TESTER 1 01.12.19989494 ADVANTEST T5382A MEMORY TESTER 4 TEST good 01.10.1997 immediately10828 ADVANTEST T5365P/T667ADVANTEST Europe GmbH 4 good 01.05.1996 Number of Driver Channels 5 11163 ADVANTEST/TR1000T HANDLER, TEST. 16024 AE MDX-5K DC Power Supply 1 excellent6025 AE MDX-5K DC Power Supply 1 excellent6040 AE 10Kw Sparc-le 1 excellent No M/Box9017 AE Sparc-le 19357 AE Sparc-le 149356 AE Sparc-le 17526 AE Sparc-LE 20 Sparc-le Filter 18891 AE MDX-5K DC POWER SUPPLY 37811 AE SPARC-LE II SPARC-le filter 1 for MDX 5K or 10K9710 AE RFX-II RF Power Supply 19816 AE Sparc-le 110658 AE 500W Matching Box 210884 AE Sparc-le HR Arking monitor 211634 AE MDX-10K DC Power Supply 211648 AE Pinnacle II DC Power Supply 111756 Aehr Test MTX 30000 HBurn In Ovens 10 Aehr Test Systems 9868 AERONCA WIS-900 Wafer Surface Inspection Sy 111054 AETRIUM QT-50P/ 560 Semi Automated component 2 01.05.19987093 Affinity FWA-300K- Chiller 2 two available7552 AG Associat 210/M Heat Pulse 1 4" 4"

9869 AG ASSOCIA2106 Rapid Thermal Processing 19870 AG ASSOCIA2146 Rapid Thermal Processing Sy11186 AGILENT 5328A Universal Counter 1 TEST good immediately1184 AGILENT 4291A IMPEDANCE ANALYSER 1 TEST excellent immediately OPTIONS 001 AND IC2.NO TEST FIXTURES, MANUALS OR ACCESSORIES.PHOTOS AVAILABLE ON REQUEST, Powers up and passed all tests., Very c lean system.2647 Agilent 83000 TESTER 1 TEST excellent immediately8415 Agilent 83000 TESTER 1 150mm excellent 01.01.1997 immediately9397 Agilent Versatest V TESTER, FLASH MEMORY 27890 AGILENT HP4140B TESTER 1 01.03.19827891 Agilent HP82000 TESTER 17894 Agilent HP84000 TESTER 3 excellent 01.03.2003 immediately See details below.10633 Agilent / HP 93000 E6970S-TESTSYS 1 good immediately11252 AGILENT / H 83000 F660 VLSI TEST SYSTEM 1 TEST good 01.02.2006 immediately10836 Agilent HP Versatest VT Test Equipment 2 Test good immediately11884 Agilent HP 8450A UV SPECTROMETER 1 FACILITIES excellent immediately12118 Agilent HP HP93000 C4Agilent 93000 C-Series, test 1 excellent 01.03.2002 immediately10468 AGILENT TE 1100 110150 Agilent Tech V4400 Flash Memory Test System 1 200 01.10.200311326 AGILENT/HP54750A Digitiz ing Oscilloscope 1 TEST good 01.01.2000 immediately10616 AINSWORTHTYPE 24N BALANCE 1 Analytical, up to 159.9g9066 Air Liquide CH2F2 gas distribution box 19056 Air Liquide lauer PCS09 Air Liquide 2 bottle Gas Cabi 19146 Air Liquide Kr/F2/Ne gas distribution bo 29145 Air Liquide PCS090 2 bottle Gas Cabinet with PC 19143 Air Liquide PCS 090 Air Liquide 2 bottle gas cab 19144 Air Liquide Lauer PCS 0 bottle gas cabinet with Laue 19142 Air Liquide PCS 090 2 bottle gas cabinet with La 19141 Air Liquide PCS 090 2 bottle gas cabinet with La 1

9140 Air Liquide bottle Gas Cabinet with dial 29139 Air Liquide SiH4 gas distribution unit used for 19137 Air Liquide PCS090 2 bottle gas cabinet with P 19138 Air Liquide PCS090 2 bottle gas cabinet with P 19136 Air Liquide GD-K7D gas distribution box with Ri 29135 Air Liquide SiH2CL2 4 line control box 19064 Air Liquide 2 bottle Gas Cabinet with di 1

9065 Air Liquide 2 bottle Gas Cabinet with Lau0 9067 Air Liquide GD-K8D gas distribution box with Ri 0 9068 Air Liquide GD-K8D NH3 gas distribution unit wit 1 9069 Air Liquide NF3 gas distribution unit with 1 9070 Air Liquide gas distribution unit 1 with Air Liquide 6 line control unit9071 Air Liquide GD-K8D Cl2 gas distribution box wit 1 9072 Air Liquide PCS090 2 bottle Gas Cabinet with PC 1 2 bottle Gas Cabinet with PCS090 dialog operator used for CLF39073 Air Liquide 2 bottle gas cabinet for N2/H 1 9623 Air Liquide Gas VMB's 185644 Air Products 500/801470 Gas Control Cabinet 1 Air Products Gasguard VMB 500/8014703741 SiH4 Gas Control Cabinet s/n 6422-9804-167905645 Air Products 500/801470 Gas Control Cabinet 1 Air Products Gasguard 500/8014704152 SiH4 Gas Control Cabinet s/n A73624-0055646 Air Products VMB 500/80 Gas Control Cabinet 1 Air Products gasguard 500/8014703742 CL2 Gas Control Cabinet s/n 16379-9804-166865647 Air Products 500/801478 Gas Control Panel 1 Air Products gasguard 500/8014785258 CF4 Gas Control Panel s/n A72065-80045649 Air Products 500/801470 Gas Control Panel 1 Air Products gasguard 500/8014704150 He Gas Control Panel s/n 16914-9909-176565650 Air Products 500/801470 Gas Control Cabinet 1 Air Products Gasguard 500/8014703760 NH3 Gas Control Cabinet S/n 16425-9806-169985651 Air Products Gas Control Cabinet 1 Air Products gasguard VMB 500 SH4 Gas Control Cabinet5654 Air Products Twin insert Gas Purge Panel 1 Air Products Twin insert Gas Purge Panel for CF45655 Air Products 500/801470 Gas Control Panel 1 Air Products Gasguard 500/8014703751 He-He Gas Control Panel s/n A56934-99115711 Air Products Gas Cabinets 37 Air Products Gas Cabinets5712 Air Products Gas Panels 103 Air Products Gas Panels11062 AIR PRODU 801-470060 Gas Cabinet 19871 AIR SYSTEM SVB-E8EXP Electric Blower, Explosion Pr 19872 AIRCO TEMECV-8 E-Beam Power Supply with 1 excellent immediately8027 Aixacct TF Analyzer parametric wafer tester 1 TEST FE-Module without monitor and without switchbox11041 Aixtron 2400 MO CVD Tool for Ga/As/P pr 1 100 mm good 01.03.1999 immediately11855 Aixtron 2400 G3 MOCVD 1 2 INCH and 3new in box 01.06.2003 immediately1204 AKRION GAMA 98 SOLVENT BENCH/STRIP SMI1 200 MM new unused 01.06.2000 immediately1203 AKRION CUSTOM BUCVD SOLVENT SINK WITH S 1 200 MM as new 01.07.2000 immediately IPA Acetone/QDR1200 AKRION MP2000 SHIELD CLEANER 1, SMIF F 1 200 MM as new immediately Shield c leaner with NH4OH,H202,QDR,KOH,DIW,HF,HNO3,DI

1199 AKRION CUSTOM BUSHIELD CLEANER SMIF FIT 1 200 MM as new 01.01.2002 immediately Shield c leaner for Al-steps are Ultasonic, QDR,HF,HNO39873 AKRION V2-HL.2000 Hybrid-Linear Automatic Aci 19874 AKRION V2-SA.2000 Semi-Automatic Acid Wet Sta 19875 AKRION V2-SA.3200 Semi-Automatic S/S Solvent 19876 AKRION V2-SA.3200 Semi-Automatic Acid Wet Sta 112009 Akrion Automated rearmount 1 200 mm4227 Akrion * ACID SINK Universal plastics Acid Sink 2 200 mm excellent immediately9190 Alcantech/Q APT-4800 AP-CVD 12526 ALCATEL 601E DEEP REACTIVE ION ETCH 1 100-200 mm good 01.12.2003 01.02.2001 immediately8983 ALCATEL 2063 Oil rotary vacuum pump 13089 Alcatel 2033SD Pump 1 PUMP excellent 01.01.2001 immediately For VAS3092 Alcatel ACC 1009 Vacuum jauge 1 FACILITIES excellent 01.01.2001 immediately VAS3094 Alcatel ACP20 Pump 1 PUMP excellent 01.01.2001 immediately For FESEM3095 Alcatel ACP20 Pump 1 PUMP excellent 01.01.2001 immediately For DRIE3096 Alcatel ACP20 Pump 1 PUMP excellent 01.01.2001 immediately For DRIE3097 Alcatel ACP20 Pump 1 PUMP excellent 01.01.2001 immediately For FESEM3102 Alcatel ADP1202P Pump 1 PUMP excellent 01.01.2001 immediately For Bobcat (with Matrix interface)8435 Alcatel RSV1000 Alcatel RSV 1000 Pump 1 PUMP6075 Alcatel 2063 Oil Rotary Vacuum Pump 1 excellent9030 ALCATEL 2033SO Oil rotary vacuum pump 19877 ALCATEL 2004 Mechanical Vacuum Pump, 2e111628 ALCATEL 2063 Oil rotary Vacuum pump 111971 ALCATEL 2033C/2033 Oil rotary Vacuum pump 211986 ALCATEL ATP400 Turbo Molecular pump 511987 ALCATEL ATP400 Turbo Molecular pump 511988 ALCATEL PTM5400 Turbo Molecular pump 111989 ALCATEL 5400CP Turbo Molecular pump 511990 ALCATEL 5400 Turbo Molecular pump 311991 ALCATEL 2015C1 Rotary Pump 311992 ALCATEL 2015C1 Rotary Pump 311993 ALCATEL T2100AC Rotary Pump 111994 ALCATEL 2033C Rotary Pump 111995 ALCATEL CD700 Rotary Pump 111996 ALCATEL 2012AC Rotary Pump 111997 ALCATEL 2008AC Rotary Pump 19878 ALESSI REL-4500 Analytical Manual Wafer Prob1

11861 Alfatech SA NUMI0006i Semi-automatic solder plating1 ASSEMBLY good 01.06.2000 1 month9238 ALLIED SIGN30TM-X200AE-BEAM CURING 1 01.10.19965640 Almond Stainless steel chemical st 2 Almond Stainless steel chemical storage Cupboards10950 Alpha Innote FA-1000 Photo Emission Microscopy 1 excellent 2 weeks3423 ALPHASEM SL9006 DIE SORTER AND FEEDER 1 150 MM good 01.01.2004 01.07.2000 immediately3424 ALPHASEM SL9000 DIE SORTER AND FEEDER 1 150 MM good 01.01.2004 01.02.1994 immediately 81 x 50 x 71 in.6750 Alphasem SL9006 Die Attach 1 150 MM good 01.03.2005 01.11.1999 immediately 58 X 44 X 71 INCHES, 115V 3 PHASE9879 ALPHASEM Swissline 90 Automatic Epoxy Die Bonder,110819 Alphasem SL9002-MM Prototype machine 1 fair 01.06.199810945 Alphasem Swissline 90 Die Attacher 1 excellent 01.05.200010532 Alps FWBC-001 Bonding Checker 1 01.02.19976845 AMAT ULTIMA X HDP 1 300 MM immediately10736 AMAT 8330 Etch 1 150mm8099 AMAT mesa finger assy,peek ,long,wal 110479 AMAT Endura 550 PVD 1 8" good 01.03.2006 01.09.1996 immediately10704 AMAT P-5000 Plasma CVD 2 4 inch10975 AMAT 5000 AMAT 5000 110980 AMAT 5200 CENT AMAT 5200 CENTURA 111569 AMAT 0290-09018 Heat Exchanger 1 excellent 01.04.1996 immediately Type: 0290-09018 Rev F11768 AMAT 5000 Thin Films 111774 AMAT 5000 Thin Films 111775 AMAT 5200 CENTUDiffusion 111942 AMAT 5000 DSAD ILD 1 200mm11943 AMAT 5000 SACVD ILD 1 200mm11944 AMAT 5000 STI DEP ETCH 1 200mm11728 AMAT / ApplieChamber W Chamber WxZ 1 200 mm good immediately With ceramic heater part number of 0010-36631.11729 AMAT / ApplieChamber DxChamber DxZ 1 200 mm good immediately With ceramic heaters 11114 AMAT AppliedCentura Ultima 1 200mm 8" good 01.06.1999 immediately11539 AMAT Applied5500 Enduraconfigured to your specificati1 200mm refurbished 01.12.1997 3 months9201 AMAT ® Odyssey 30 Inspection 1 01.04.20039195 AMAT ® P-5025E Etcher 1 01.03.1997 wafer s ize 89194 AMAT ® Centura ® Etcher 19192 AMAT ® P-5000 BARC Dep CVD 1 01.11.1993 wafer s ize 89191 AMAT ® P-5000 BARC Dep cvd 1 01.09.1993 WAFER SIZE 8

4881 AMAT ® Electra ESP Cu Plater 1 2004883 AMAT ® Excite Flat Film and On Product Defe1 200 Tool has never been used by manufacturing so should be in a relatively new state.

6236 AMAT ® P5000 ETCHER 1 1506570 AMAT ® P5000 MK II Precis ion 5000 Mark II, MxP, 1 200mm, 8" excellent 01.06.2002 immediately6571 AMAT ® P5000 MK II Precis ion 5000 Mark II, Taper1 200mm, 8" good 01.06.2002 immediately8997 AMAT ® Opal 7830 Critical Dimension Scanning 1 01.09.19948210 AMAT ® WF-736 DU Patterned Wafer Inspection 1 200mm excellent 01.09.1999 immediately8425 AMAT ® 8310 Oxide Etcher 2 8 Inch7647 AMAT ® AppliCentura ® II Centura II 5200 Super eMxP 1 200mm excellent 01.08.2000 immediately10808 AMAT ® AppliCentura ® II Centura II 5200 Super MxP+ 1 200mm excellent 01.08.1996 immediately10756 AMAT ® AppliP5000 4 chamber system 1 mark II d1 200 mm good 01.06.1998 immediately 4 chamber system 1 mark II dielectric chamber- mechanical c lamp 1 super e dielectric chamber 1 mark II modified for development 1 strip chamber with Astex AX 2115 microwave generator Leibold Mag 400 pumps Unit 1100 MFCs NF3 / NH3 / HF / N2 / O2 / O2+He / CF4 / CHF3 / He / Ar Forming gas / C4F8 2 Neslab HX 150 chillers 2 AMAT heat exchangers Neslab RTE-111 Chiller Remote CRT with light pen Power distribution box10811 AMAT ® AppliCentura ® 5 Centura 5200 MxP+ Oxide E 1 200mm refurbished immediately11917 AMAT ® AppliCentura 520 CVD TI DEP 112110 AMAT ® AppliP5000 CVD 2 01.06.19902936 AMCO ORION 25 MOLDING TOOL 1 ASSEMBLY good 01.01.2004 immediately10247 Amecon Janus Wafer Inspection Equipment 1 Wafer s ize 20010591 AMETEK 120SSA Helium Leak Detector 1 good8054 AMI 3100 DIE ATTACH 1 ASSEMBLY dimensions 185cm x 135cm x 160 cm8214 Amray 1850 FE Amray 1850 FE SEM 1 excellent immediately8213 Amray 1860 FE Amray 1860 FE SEM 1 excellent immediately10515 AMRAY SEM DRT SEM 1 200 MM good immediately10227 Amray / KLA 3800C KLA Tencor (Amray) 3800C 1 new unused immediately This is a field emission SEM that is designed for 8" wafer diameter and cassette to cassette operation. It is equipped with the SEM and the EDX attachments. This tool is still crated from original delivery and is in mint condition; ready to ship. 10567 AMT DR Sem Defect Review 111899 AMT DR SEM Review 111900 AMT P5000 CVD 60 W Dep 111913 AMT PRODUCERBPSG Dep 211915 AMT MIRRA PCMP 111919 AMT E5500 Metal 1 Dep 111921 AMT E-5500 MMTi Dep 1

11922 AMT P-5000 PO Nit Dep 111924 AMT P5000 ILD Dep 311933 AMT E5500 Metal 2 Dep 212094 AMT Centura EPI Dep 11220 AMTECH Amtech/TempTHERMCO FURNACE LOAD1 150 MM new in box 01.01.1997 immediately12010 Amtech Atmoscan white elephant 19880 ANATECH Hummer 6 SEM Specimen Coater 1

9087 ANDO AL 7275 IC TESTER 1 TEST good 29.12.1899 01.04.2001 immediately9223 Ando AL6080 Tester 1 01.04.1994

9460 Ando AHM-825 Handler 1 01.09.20039458 Ando AL7275 IC Tester 1 01.04.2001

9457 Ando MH-830A IC Tester 19454 Ando MF-600 Dynamic Burn-in System 2 01.01.19987895 ANDO AL6090 TESTER 1 W/ 2 UNITS OF AHM9127896 Ando AL6090 TESTER 1 01.07.2001 W/ 2 UNITS OF AHM912HC H HANDLER7897 Ando AL9241 TESTER 6 01.10.1995 6 UNITS7898 Ando AL9241 TESTER 1 01.04.20017899 Ando DIC-8042A TESTER 1 01.08.1989 LSI TESTER9743 ANDO AL9041 TESTER 5 also available 10 x Accretech TSK UF200 probers10528 Ando MH-830A IC Tester 2 01.04.200110529 Ando MH-830B IC Tester 2 01.12.199810530 Ando AHM-850H Handler 4 01.01.199512065 Ando AL7275/MH- IC Tester 312066 Ando AL9241 Tester 6 01.10.199512067 Ando DIC-9134 IC Tester 1 01.09.20008971 ANELVA L-210H-WS ETCHER 1 01.06.19987223 Anelva ILD-4100 Dry Etcher 1 8? 01.11.19957224 Anelva ILD-4100 Dry Etcher 1 8? 01.01.19957225 Anelva ILD-4100 Dry Etcher 1 8? 01.07.19958900 ANELVA SPF-430H SPUTTER 1 excellent8927 ANELVA ECR-301 ECR CVD 15859 Anelva EVC 1501 Evaporator 1 01.06.19905900 Anelva SPF-410D Sputter 25947 Anelva CAP-120 Cryo Pump 15953 Anelva CAP-80MK II Cryo Pump 46062 Anelva MIG-821 Ionization Vavuum Gauge 3 excellent No Cable

6119 Anelva DEM-451 Etcher 1 excellent needs O/H missing pirini vacuum gauge6126 Anelva SPF-312H Sputter 1 excellent8919 ANELVA EVC-1901 EVAPORATOR 1 excellent8831 ANELVA CAP-121 CRYO PUMP 1 01.06.19948805 ANELVA SPF-410D SPUTTER 1 excellent8777 Anelva EVC1501 Evaporator 1 150 excellent 01.09.19967510 Anelva L-210H-WS Etcher 1 01.05.1998 Helicon wave7523 Anelva SPF-312H Sputter 110685 Anelva CAP-80 Mark Cryo pump 1

10702 Anelva C-7010 RF Sputter 1 01.05.20022669 ANGELANTOT600 TU5 BAKE OVEN 1 FACILITIES excellent 01.08.2003 01.08.1995 immediately

4228 ANGELANTOT600 TU5 BAKE OVEN 1 FACILITIES excellent 01.08.2000 01.08.1995 immediately6560 ANGELANTOT600 TU5 BAKE OVEN 1 FACILITIES excellent 01.08.2003 01.08.1995 immediately8089 ANGELANTOT 2000 W (SICLEANROOM OVEN 1 FACILITIES excellent 01.07.2005 01.06.1995 immediately10637 Angelantoni T600 TUS Clean Room Oven 1 FACILITIES good 01.01.2005 immediately10639 ANGELANTOT 2000 W (SICLEANROOM OVEN 1 FACILITIES excellent 01.07.2005 01.06.1995 immediately10610 AO 2070 MICROSCOPE 1 FLUORESCENCE, 10,20,40, &40X8095 appl mirra assembly titan 1,no-lap,notc 2 used11242 Applied AME-8130 AME-8130 systems 6 systems in production use9881 APPLIED MA 8330 Hexode Plasma Etchers for 19882 APPLIED MA P5000 TEOS CVD Deposition Syste 1 01.05.198810151 Applied MaterCentura RTPPlatform RTP Equipment 1 200 01.01.200310152 Applied MaterVeraSEM CX CD SEM 1 N/A 01.11.199910153 Applied MaterVeraSEM CX CD SEM 1 200 01.01.199910250 Applied Mater7830 CD SEM 1 Wafer s ize 12510255 Applied MaterCentura 520 PolySilicon Etch System 1 excellent immediately Process Poly Recess Etch Platform Centura I Configurable Mainframe Mainframe Type Phase II Facilities Orientation Mainframe Facilities Back Connection Exhaust Line Material 316L Stainless Independant He Control 100 Torr/50 Sccm w/ Standard Finish Upper Chamber Lift Hoist Chamber Service Hoist Front Panel Anti-Static Painted w/ Laminar Flow EMO Switch Type Momentary EMO EMO Guard Ring Yes Water and Smoke Detect Output Alarm Labels English Loadlock Type NBLL w/ Tiltout & Dummy Wafer Storage Loadlock O-Ring Viton Wafer Mapping Enhanced Wafer Out of Cassette Sensor Yes Cassette Present Sensor Yes Transfer Manual Lid Lift Yes On the Fly Centerfind Yes Wafer on Blade Detector Basic Robot Type HP Dual Speed Robot Blade Option Nickel Coated Aluminum DPS Process Kit 200mm SNNF Process Kit Turbo Pump Leybold 2000 Upper Chamber O-Ring Chemraz 513 Slit Valve O-Rings Viton Dome HR DTCU Interferometric Endpoint Yes Emmission Endpoint Type Monochrometer Facilities Hookup Top Feed Single Line Drop Exhaust Top Exhaust w/ Vane Switch Pallet Type Nupro 10RA Filter Mott MFC Type Tylan 2900 MEP MFC 5 RA Line 1 Gas/MFC Size CL2 / 100 sccm Line 2 Gas/MFC Size Spare Line 3 Gas/MFC Size NF3 / 50 sccm Line 4 Gas/MFC Size Spare Line 5 Gas/MFC Size HE / 200 sccm Line 6 Gas/MFC Size Spare Line 7 Gas/MFC Size O2 / 50 sccm Line 8 Gas/MFC Size CF4 / 100 sccm Line 9 Gas/MFC Size SF6 / 100 sccm Line 10 Gas/MFC Size Spare 10258 Applied MaterCentura RTPPlatform RTP Equipment 1 200 excellent 01.06.2000 immediately11222 Applied MaterSEMVision C DR SEM - Parts tool 1 200 mm excellent 01.06.2005 01.06.2001 immediately10266 Applied MaterSEMVision c DR SEM 1 200 excellent 01.06.2005 01.06.2000 immediately10267 Applied Materials Spare Parts 2 20010497 Applied MaterCompass WAFER INSPECTION 1 200 mm / 300excellent 01.06.2005 01.07.2001 immediately10500 Applied MaterCentura 5200 multi-process 2 20010527 Applied MaterCentura II D Metal Etcher with Ash (DPS+ 1 200 mm excellent 01.06.2001 immediately Film Type: Metal Chamber Structure: 2 Etch Chamber DPS+: CH -A / CH -B 2 Ashing Chamber ASP+: CH -C / CH D 1 Orienter 1 Cool down Loader / Un-loader: LoadLock Gas Type / Rate: Etching Chamber: Ar 200sccm (SEC-F730M A1 / STEC INC) N2 50sccm (SEC-F730M A1 / STEC INC) BCl3 100sccm (SEC-F730M A1 / STEC INC) SF6 200sccm (SEC-F730M A1 / STEC INC) CHF3 100sccm (SEC-F730M A1 / STEC INC) CHF3 20sccm (SEC-F730M A1 / STEC INC) Cl2 200sccm (SEC-F730M A1 / STEC INC) Ashing Chamber: Mt: Metal CF4 200sccm (SEC-F730M A1 / STEC INC) O2 5000sccm (SEC-F730M A1 / STEC INC) N2 1000sccm (SEC-F730M A1 / STEC INC) HF Generator: ENI GMW25A-2DOF3NO (13.56MHz) ENI OEM-28B (13.56MHz) Astex S151TBC (2.45GHz) Power Supply Voltage: 3Ø 200V Pumps: Etching Chamber: Ebara AA40WN TMP: BOC STP-H1303CV3 Ashing Chamber: Ebara AA20N LoadLock Chamber: Ebara AA10 Transfer Chamber: Ebara AA10N� � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � � �11683 APPLIED MA P5000 SACVD 1 150 MM good 01.06.1996 immediately

10831 Applied MaterExcite IPM Surface Analyzer 1 200 mm good 01.05.2000 Bare Head and Patterned Head installed, Windows NT 4.0, Software 5.01 Build 457 10947 Applied MaterCentura 520 4-Chamber MCVD Tool 1 excellent 01.05.200210948 Applied MaterCentura 520 4 Chamber MXP Tool 1 excellent 01.10.1996 See attached configuration11003 APPLIED MA EXCITE SYSTEM, IPM 832 111369 Applied Mater5200 Centur CVD, PVD, MAC System 1 200mm11561 Applied Mater7830 si Opal#2 1 01.05.199811563 Applied Mater0010-00557 Heat Exchanger 0 01.12.198811568 Applied Mater8300 Heat Exchanger 1 Part No 0020-0323 Rev H11570 Applied Materials Heat Exchanger 1 01.12.1988 Part No: 0010-0057 Rev A11579 APPLIED MA 9200 (SparesIMPLANTER 1 200 mm Wafer s ize conversion kit, 6 inch to 8 inch11675 Applied MaterP-5000 PECVD 2 150 mm 01.05.1996

11730 Applied MaterP5000 CVD 1 150 mm excellent 01.05.199011731 Applied MaterCentura HDPCentura (HDP + DCVD) 1 excellent 01.05.1999

12106 APPLIED MA CENTURA RTP SYSTEM: ISSG/SINGEN 112111 Applied Mater P 5000 Cluster Plasma Tool-Poly/Nit 1 200 mm 01.06.199611211 Applied Mate 0010-03840 200mm Producer Heater 4 200 mm new unused immediately11212 Applied Mate 0010-02342 200mm DXZ Heater 2 200 mm new unused immediately11215 Applied Mate 0010-21810 Dura TTN Magnets 3 200 mm new unused immediately11216 Applied Mate 0010-01286 200mm SNNF MCA Heater 1 200 mm new unused immediately11217 Applied Mate 0010-03254 200mm SNNF MCA+ Heater 1 200 mm as new immediately9114 Applied Mate Centura ® 5 Poly oxide etcher 1 150 mm excellent immediately8739 Applied Mate Opal 7830si Cd Sem 18738 Applied Mate Opal 7830I CD sem 18737 Applied Mate Orbot WF 73 Bright field inspection tool 1 excellent 01.06.1999 immediately9078 Applied Mate Centura ® Centura II Etch / Oxide e-Ma 15180 Applied Mate P5000 4 Chamber CVD System w/TE1 150mm good 01.12.1991 immediately4997 Applied Mate P5000 2 chamber Poly MxP etch 1 200mm good 01.01.19936860 Applied Mate P5000 2 x poly etch 1 x CVD Ox 1 1 200 mm clamp O2-Ar-O3-He-N2-CHF3-NF4-CHF3-SF6-CF65229 Applied Mate Mod 1 RTP Chamber RTP Mod 1 1 200 mm good 01.01.2002 01.01.1995 immediately

9534 Applied Mate DPS II-CEN 300mm Silicon Etch DPS II 1 300mm excellent 01.04.2001 immediately Applied Materials Centura 300 Silicon Etch DPS II SN 325456 Currently Configured for 300mm Wafers Originally Manufactured in 2001, Very Low Use Stand-Alone Configuration Software Version: E1.7_59 Electrical Requirements: 208V, 4 Wire, 3 Phase, 50/60 Hz Robot: NSK SSB014FN517 Load Lock/Transfer Chamber Pump: Alcatel iPuP A1000L AC Rack: AMAT P/N 0190-01912 rev P2 Chamber A: DPS II Silicon Etch o Ceramic ESC o Seiko Seiki TMP: STP-A2503PV o VAT Pendulum Gate Valve o Chamber AC 300mm DPS II Etch AMAT P/N 0190-01914 rev P3 o Source RF: Advanced Energy APEX 3013 o Bias RF: Advanced Energy APEX 1500/13 Stand-Alone Endpoint System Qty 1 Neslab Steelhead 1 (Wall) Qty 1 SMC Point-of-Use INR-244-602 (Cathode) Gas Panel o Top Exhaust o Mainframe Gas Panel Control: Device Net o Pallet Kit Type: Benkan o MFC Type: Millipore Intelleflow Device Net o Transduce Type: Millipore o Pressure Transducers per Stick: 1 o Filter Type: Millipore� � � � � � � � � � � � � � �5733 Applied Mate Mod 1 RTP Mod 1 Chamber RTP 1 200 mm excellent 01.01.2002 01.01.1995 immediately8113 Applied Mate ENDURA ES 8" SNNF MCA E-CHUCK NI 1 new immediately5855 Applied Mate IMP Vectra IMP Vectra Chambers, fully r 3 refurbished immediately9398 Applied Mate #5200 Chamber, CVD TiCl/TiN 19404 Applied Mate P5000 CHAMBER, DPS1 METAL 16336 Applied Mate WF 736 Wafer inspection system 1 200 MM good 29.12.1899 01.01.1992 immediately

6546 Applied Mate Centura ® 5 Ceramic domes for DPS ch 5 200 MM excellent immediately6547 Applied Mate Centura ® 52E MXP + chamber 2 200 MM good immediately8055 Applied Mate 8330 METAL ETCHER, SINGLE C 1 150 MM 01.09.1993 includes cables, pump cart,recirculator,one RFG, cryo pump. Dimensions 97 x 205 x 190 cm.9113 Applied Mate Centura ® 5 RPD WCVD 1 150 mm excellent immediately8102 Applied Mate mirra HCLU ASSEMBLY. (COMPLE1 NEW7646 Applied Mate Centura ® H HDP CVD 1 150 mm excellent 01.03.1997 immediately 2 HDP-CVD Process ChambersBlue Electrostatic ChuckVacuum and Exhaust System:Ebara Turbomolecular1500 L/SEC, 5100 L/MINRF Power SystemTop Local Match 1.8 2.17 MHz 2.5 KWSide Local Match 1.8 2.17 MHz 5.1 KWBias Match 13.56 MHz 5 KWRF Generator RackMicrowave System 2.46 GHz 5-6 KWPneumatic ControlDual Independent Helium Backside Cooling SystemWater Cooling SystemHDP-CVD Gas PanelExtended RobotSECS CommunicationSoftware: Applied Materials Version E3.5� � � � � �7731 Applied Mate Endura ® 55 PVD 0 200mm good immediately9674 Applied Mate 5000CVD PECVD Tools 1 150 mm 01.06.19939688 Applied Mate 7830 Microscope 1 01.09.199410186 Applied Mate Centura ® 5 SACVD BPSG 4 chamber 1 200 mm excellent 01.06.1996 immediately Hooked up in fab - can demo9747 Applied Mate Centura ® II DPS II 1 200 mm excellent 01.03.2005 01.03.2002 immediately

10224 Applied Mate Opal 7830si Cd Sem 1 excellent 01.04.1998 immediately10283 Applied Mate ENDURA 5500Endura Power Distribution M 1 new unused 01.04.2000

11119 Applied Mate Endura ® 550Chamber 5 Holding Chambe2 200 mm refurbished 2 weeks11789 Applied Mate SIP ChamberSIP Chamber (TTN) 1 200 mm excellent immediately12107 Applied MaterPRODUCER CVD SYSTEM: 2CH Ni, 1 CH 1

9479 Applied MaterENDURA® 55SIP Cu and SIP Tantalum PVD1 200 MM excellent 01.05.2001 immediately Endura® SIP Cu and SIP Tantalum tool.2321 Applied MaterP5000 Etch ETCH BACK CHAMBERS 2 200 MM excellent 01.11.1996 immediately

2322 Applied MaterCENTURA 520TUNGSTEN ETCH BACK C 2 200 MM excellent 01.08.2002 immediately 2 CHAMBERS AVAILABLE2323 Applied MaterCENTURA 520TUNGSTEN ALD CHAMBER 2 200 MM excellent 31.12.2002 immediately W ALD CHAMBER2726 Applied MaterCENTURA 520POLY XT CHAMBER 1 200 MM excellent 01.09.1999 immediately2887 Applied MaterENDURA 5500Cu Barrier Seed SIP PVD Ch 1 200 MM excellent 01.03.2003 immediately2893 Applied Materials ® * Applied Materials A101 Cha 0 refurbished immediately3419 Applied MaterP5000 CVD SYSTEM, 2 CHAMBER T1 200 MM good 01.03.2003 01.01.1996 immediately9480 Applied MaterEndura ®® 5204 Chamber PVD 1 200 MM excellent 01.06.2002 immediately9666 Applied MaterCentura ® 5 RTP XE+ 1 200 MM refurbished 01.06.2002 immediately2742 Applied Mater8310 Oxide Etcher 3 150 mm good 01.07.2003 01.01.1995 immediately9263 Applied Mater5500 Endura ® Electra Cu 19229 Applied MaterPolysilicon Lamp heated WsiX Chamber3 200 mm 01.01.2005 01.06.1995 Used lamp heated Polysilic ide chambers with ADC for PH3 still operational on mainframe in c leanroom.

9228 Applied MaterWsiX ChambLamp heated WsiX Chamber4 200 mm 01.01.2005 01.06.1995 Used chambers, also known as DCS Tungsten Silic ide. 3 taken off mainframe and crated, 1 chamber still operational on mainframe in c leanroom.10910 Applied Mate Mirra 3400 1 good 01.06.1997 immediately11788 Applied Mate Endura ® 55 PVD Cluster tool TxZ HP Plus1 200 mm excellent 01.06.2000 immediately11856 Applied Mate 8310 CVD SINGLE CHAMBER RE 6 excellent immediately12124 Applied Mate chambers MxP, MxP+, MK2 chambers av2 excellent immediately9651 Applied Mate Centura ® ® RTP 2 200 mm good immediately9652 Applied Mate Centura ® ® 3 chamber Poly DPS 1 200 mm good immediately10935 Applied Micr AML 402 ANODIC WAFER BONDER 1 100 AND 150 MM 01.03.2002 MAX. TEMPERATURE 400 DEGREES CELCIUS12071 Applied PreciMicroburst Ultra Sonic Cleaner 1 150 MM12039 Applier MaterAMT P5000 #2 chamber W dep tool 29883 APT 3145 Metal Etch Tools with Roboti 18449 Aqueous ASC0306 Aqueous AQ-400 Washer DE26344 ARCH CHEMGenStream TEOS Liquid Chemical Deliv 1 FACILITIES new unused 01.06.2000 immediately6324 Asahi IR contactless plastic pipe w 1 excellent immediately N2 inlet port for a complete N2 purged welding atmosphere8754 Aseco S130 test handler 1 good immediately2331 ASIA ELEC. TACT7164 TESTER 1 200 MM new unused 01.01.2003 immediately Tool Still in Crate Brand New6950 ASM 2500 5X Stepper 1 200 excellent7211 ASM UHV-600A LP HSG Furnace 1 8? 01.10.19997210 ASM A600 UHVC HSG, A600 UHV 1 6? 01.08.19977209 ASM A600 UHVC HSG, A600 UHV 1 6? 01.02.1997

7208 ASM A600 UHVC HSG, A600 UHV 1 6? 01.01.19978428 ASM Advance 300LPCVD Vertical Furnace 1 8 Inch9408 ASM A400-2 Vertical Furnace, POLY 3 200mm 01.03.1996

9410 ASM A412BT Vertical Furnace, POLY/OXI 17454 ASM 339 AB339 wire bonder 1 excellent 01.11.2002 immediately

7968 ASM Polygon Vapor Deposition Equipment1 200 mm excellent 01.06.2000 immediately 200mm Tool for ALD (current configuration: metal/TiN) and Poly depo, Chamber C: ASM Pulsar: flow type reactor for ALD, Chamber B: Poly deposition and in s itu doping with B,P,As Software Version 3.310225 ASM A400 Atmospheric Nitrided Oxide 1 200mm 01.03.199912056 ASM A600 UHVC HSG, A600 UHV 3 6 inch10154 ASM Internati Advance-400Vertical Anneal Furnace 1 200 01.02.199610155 ASM Internati Advance-400Vertical Anneal Furnace 1 20010156 ASM Internati Advance-400Vertical Anneal Furnace 1 200 01.03.199610157 ASM Internati Advance-400Vertical Furnace - Other 1 200 01.05.199610158 ASM Internati Advance-400Vertical Furnace - Other 1 200 01.04.199411981 ASM-AT AB356 Wire bonder 1

8698 ASML PAS 5500-90ASML PAS 5500/900 Step/Sca1 excellent immediately Factory Packaged by ASML: ASML PAS 5500/900 Step/Scan, 193nm wavelength, resolution down to 0.15 micron, Zeiss Starlith 900 projection lens, AERIAL II Illuminator, High speed scanning stages, Step & scan, split projection. Automated remote laser beam delivery. Advanced, Direct Retic le referenced, through-the-lens phase grating alignment for optimum overlay matching to ASML's DUV and I-Line Step & Scan Systmes9884 ASML 2500/40 i-Line Wafer Stepper 1 for spares use immediately

10269 ASML PAS 5500/90 193nm Scanner 1 good immediately10270 ASML Twinscan ATi-Line Scanner 110850 ASML PAS 5500-95 Scanner 1 good 01.06.2000 immediately12011 ASML 2500/40 5X stepper 15202 ASML / SVG 90S ® Track 1 200 mm good 01.01.1995 immediately Inline for Nikon Body 12 Stepper11779 ASML US INCSERIES 90-S Lithography 111780 ASML US INC SERIES 90-SLithography 111781 ASML US IN MSII+ Lithography 111945 ASML US IN SERIES 90-S TRACK DUV (R-H ONLY) 2 200mm11946 ASML US IN SERIES 90-S TRACK I-LINE B12+ 5 200mm11947 ASML US IN SERIES 90-S TRACK PDPI FULL SIZE 16839 ASML ® 90S ® TRACK DUV QML (R-H ONL1 200 MM11139 Asscon VP2000 Vapour Phase Reflow Oven 1 01.03.20039885 ASSEMBLY SA-1MM Vibratory Bowl Feeder 18654 ASTEX AX7650 Astex AX7650 Astron Reacti 210596 Astex / MKS Astron RPS All models of Astrons repair 0 refurbished8938 ASUKA SEIKI FUC-122420ULTRASONIC CLEANING E 1 01.07.20006940 Asyst SMIF-300FL Asyst wafer load ports 975 2 300mm as new immediately3106 ASYST SCI Indexer SMIF Pod loader 1 FACILITIES excellent 01.01.2001 immediately3109 ASYST 2200 vaccum robot 1 FACILITIES excellent 01.01.2001 immediately11154 Asyst 2200 Smif Arms 2 6 inch & 8 inch11791 ATCOR / EN 1200 BOX WASHER 1 immediately11888 Atlas Copco ZT Oil Free Air Compressors 4 01.08.1988

11889 Atlas Copco ZT1 Oil Free Air Compressors 1 01.08.198811890 Atlas Copco ZT3B Oil Free Air Compressors 1 01.06.1995

11891 Atlas Copco ZR 110 Oil Free Air Compressor 1 01.06.1998 Including Heat Recovery system9586 ATM SDH 1056 WHANDLER W/PKG KIT 1

10461 ATM. INC. ELITE ICM ROUTER 12806 ATOMIKA TXRF8010 Total X-Ray Fluorescence S 1 3 to 8 inch good 01.01.2004 01.01.1994 immediately6353 Atomika TXRF 8030 Total Reflection X-Ray Fluo 1 4", 5", 6", 8" good immediately7707 Atrium/MM MM8065 EDS Prober 1 01.03.199411873 August NSX 95 Macro Inspection tool 1 4 to 8 inch w as new 01.06.2002 immediately8543 Avanti ManufN/A Avanti Manufacturing WF6 & 111165 AVSI 480BT AUTOLOADER 1 BURN-IN (Supplier is RVSI not AVSI)

7917 Axcelis 200 pcu UVCURE 17918 Axcelis 200 pcu UVCURE 1

7919 Axcelis M150PC UV Cure 1 PHOTOSTABILIZER7920 Axcelis PS-3 Tester 1 12

7921 Axcelis PS-3 TESTER 1 01.01.2001 UV HARDNING10536 AXCELIS FUSION 200 FUSION BAKE 1 200 MM excellent immediately11749 Axcelis HC3 Ultra 5. Ion Implanter 1 300mm excellent12105 AXCELIS GSD LED III IMPLANTER, HIGH CURRE 16752 Axcelis / Eat NV 10-160 High Current Implanter 1 150 MM 01.03.2005 01.07.2001 immediately terminal hours: 2933, source hours: 656269465 Axcelis / Eat GSD200 NV-GSD-200 high current im1 200mm excellent 01.01.1997 immediately10537 Axcelis / Eat GSD200 NV-GSD-200 high current im1 200mm excellent 01.01.1998 immediately10538 Axcelis / Eat GSD200 NV-GSD-200 90 KEV high cur1 200mm excellent 01.01.1999 immediately10539 Axcelis / Eat GSD200 NV-GSD-HE 90 KEV implante1 200mm excellent 01.01.1997 immediately11228 Axcelis / Eat GSD NV10-1High Current Implanter 1 good 01.06.19956179 AXCELIS / F PS3 UV HARDEN 1 300 MM excellent 01.01.2001 immediately1576 AXCELIS / F PS3 UV HARDEN 1 300 MM excellent 01.01.2001 immediately10838 AXCELIS / F PS3 UV HARDEN / PHOTOSTABIL1 300 MM as new9225 Axcelis(Eato PS-3 UV Cure 1 wafer s ize 1210274 Axcelis/Fusi M200 PCU UV Cure System 1 2009889 BAL TEC 45239-001 Radial Riveter 11363 BALTZERS BAP800 Vacuum Coating system 1 excellent immediately7614 Balzer BALZER FINE LEAK DETEC 1 immediately10915 Balzers BAK 550 SPUTTERING SYSTEM WITH1 01.06.19859791 BALZERS VACUUM MACHINE FOR ME19792 BALZERS BAK550C VACUUM MACHINE FOR ME110707 Balzers BA-710 Evaporator 1 150mm10916 Balzers BAK 550 SPUTTERING SYSTEM WIT 1 01.06.1980

11705 Balzers BAK 760 E.B. Evaporation System 11364 BAUSCH AN Inspection VISUAL INSPECTION micros 1 150 MM good immediately retic le stage, objectives 3x, 25x, 50x, stereo objectives

9890 BAY VOLTEXHT-6800 Recirculating Chiller, Water 16440 Beam Vacuu Central Cle Central Vacuum Cleaning S 1 good immediately10626 BECKMAN DU-62 SPECTROPHOTOMETER 1 good 01.06.198810627 BECKMAN DU-62 SPECTROPHOTOMETER 1 poor8477 Belljar N/A Belljar Chamber 18504 Berkeley ProBXi-8/6-00-A Berkeley Process Control BX511503 Besi Laurier DS-7000 Die Sorter 111046 BIO-RAD QS-500/QS- FTIR SPECTROMETER 211043 BIO-RAD Q7/ Q8 CRITICAL DIMENSION OVE 1 200 mm 01.11.1998 Unix Operating System, Objectives 4X,30X,70X, Xenon Lamp, SECS/GEM Interface, Ethernet Connection.

11940 BIO-RAD / QS500 FTIR epi thickness measurem1 150-200 mm excellent immediately1367 BIORAD QS 2200-ME FTIR 1 4,5,6 and 8 i excellent 01.07.2001 immediately

8436 BIORAD ? BIO-RAD RF Plasma Barrel E19891 BIORAD Quaester 6 Overlay Metrology Tool 19892 BIORAD QS-300 FTIR Epi Thickness Monitor 1 01.09.198911063 BIORAD Q7/Q8 Overlay Metrology Tool 1 200mm Wafer 3 EA AVAILABLE11064 BIORAD QS-300 FTIR Epi Thickness Monitor 1 200mm wafer11065 BIORAD QS-500 FTIR Epi Thickness Monitor 1 200mm Wafer9759 Bird 694 RF DUMMY LOAD 17554 Black Ray B-100Y Ultraviolet Lamp 3 11147 BLAKELL LS9000C/LS920 19414 Blazer 6000 LASER 11374 BLUE M FR3818 OVEN 1 ASSEMBLY 01.01.1984 immediately1373 BLUE M FR3818 OVEN 1 ASSEMBLY 01.01.1984 immediately1371 BLUE M 843TA12B33OVEN 1 N.A. good 01.01.1996 immediately2827 Blue M DCC-336EY Clean room oven with pro-m 1 Facilities good 01.12.2003 01.12.1997 immediately4889 BLUE M Oven Blue M Oven 1 N/A crated, in warehouse.5680 Blue M DCC206CY Oven, 250°C, with ProAmp Te0 A Blue M DCC206CY Oven, 250°C, with ProAmp Temprature Controller s/n DCC-6775681 Blue M DCC=-256 Oven, 250°C 0 Blue M DCC=-256 CY Oven, 250°C s/n 28X-382-908181 BLUE M DCC 256F CLEAN ROOM OVEN 1 FACILITIES good immediately9619 Blue M IGF-6680F-4Gas Oven 1 good10202 Blue M DC 206 C Clean room Oven 1 immediately11533 Blue M 9980 OVEN 111664 Blue M DC256C Oven 111666 Blue M DCC-336C Oven 1

11725 BLUE M 9980 OVEN 27555 Blue M Oven DCC1-146CBake Oven 17556 Blue M Oven DCC-206C Bake Oven 18513 BOC EdwardN10345100 Edwards QDP40 Vacuum P 18514 BOC EdwardQDP40 Boc Edwards QDP40 Dry P 18517 BOC EdwardQDP40 Edwards QDP40 Dry Pump 18520 BOC EdwardQDP40 Edwards QDP40 Dry Pump 18527 BOC EdwardQDP40 Edwards QDP40 DryStar V 68538 BOC EdwardQDP40 Edwards QDP40 Dry Pump 18544 BOC EdwardQDP80 Edwards QDP80 DryStar Dr 18546 BOC EdwardQDP80 Edwards QDP80 Dry Pump 18547 BOC EdwardQDP80 Edwards QDP80 DryStar Dr 110931 BOC EdwardE2M40 ROTARY PUMP 1 PUMP 01.06.2001 Rotary vane pump type E2M40, revised & tested10932 BOC EdwardE2M80 ROTARY PUMP 1 PUMP 01.06.2001 Rotary vane pump type E2M80, revised & tested9624 Bold PT1180, PT1 Recirculators 712040 Bold 2WD 5 solveStainless steel solvent strip 112012 Bold Techno BLD 30 Semi automated Oxide etch w112013 Bold Techno BLD 22 Oxide etch wet deck w/ 2 STI18563 Bold TechnolN/A Bold Technologies Wet Ben 18607 Bold TechnolSemi Auto D Bold Technologies Semi Aut 29894 BOONTON 72B Capacitance Meter 110275 Boschman Packstar 42 Molding Equipment 110512 Boxer CrossBX10 IMPLANT DOSE MONITOR 1 200 MM / 30 good 01.06.2001 immediately2738 BP Microsys BP2200 4 Site Manual Programmer 0 SMT 01.09.19998692 Brady 105-621-00 Brady 200M-300 Brady Print 16866 BRANSON IPC 3200 ASHER 1 125 MM5668 Branson L3200 Resist Stripper, Branson 1 100 mm good 01.06.1993 immediately a Branson L3200/4 Resist Stripper, Branson 1 (1993)6218 Branson IPC 2000T Barrel Asher, Pump, Table 1 excellent immediately7691 BRANSON L3200/5 ASHER 1 125 MM

9896 BRANSON MSD-8608-HDegreaser 111857 Branson AUT Plasma system for retic le p 1 excellent 01.06.1990 immediately12072 Branson 4150/1 SpeciBarrel Asher 2 01.06.19895667 Branson / G L3200 RESIST STRIPPER 1 150 mm good 01.04.1993 immediately10592 BRANSON/ I S3100 Plasma Barrel Stripper 1 good9897 BRANSON/I L-3100 Plasma Barrel Stripper, 12" 19898 BRANSON/I L3100/3 Plasma Barrel Stripper, Parts 1

9899 BRANSON/I P-2100 Plasma Barrel Stripper, 12" 3 excellent immediately9901 BRANSON/I Series 2000 Plasma Barrel Stripper, Parts 110919 Branson/IPC L2101 BARREL ETCHER 1 01.06.1986 Barrel etcher L2101 with 2 Quartz process chambers and optical endpoint detector10920 Branson/IPC L2100 bARREL ETCHER 1 150 mm 01.06.1980 Barrel etcher L2100 with 2 Quartz process chambers for 150 mm12083 Bransonic 1510 Ultrasonic Cleaner 1 excellent Application - Ultrasonic c leaner suitable for wide range of samples.9309 Braun Glovebox modular glovebox 1 01.06.2003 modular glovebox with one-filter-gas cleaning10632 BRINKMAN RM20 WATERBATH, 1 poor9625 Brooks 5850E Mass Flow Controllers 73 fair11783 BROOKS 8100 Planer 3 200 mm9197 Brooks Auto ERGO SMIF Facility 2 01.03.20058657 Brooks Auto 001-7600-0 Brooks Automation VacuTran68658 Brooks Auto N/A Brooks Automation Foup, Fix l18531 Brooks Auto 002-5870-0 Brooks Automation ATM, Robo18609 Brooks Auto 27-105515- Brooks Automation External I 18634 Brooks Auto 22-107695- Brooks Automation Arms, MTR28651 Brooks Auto 001-7600-0 Brooks Automation MTR5 Rob2211852 Brooks Auto SC-81-CE robot controllers 2 excellent 01.05.2002 Qty. 2 of Brooks Automation controllers, both are Model: SC-81-CE, MFG date: 05/2002.9770 Brown & Sha599-921-10 PRECISION PARALLEL SETS107247 Bruce Apex Upstream Host Controller f 011592 BTI BDF-4150 Horizontal furnace 1 01.05.19956776 BTU VIP-70N Solder Reflow oven 1 Assembly excellent 01.03.2005 01.06.1995 immediately 208v 72A 3 phase, has separate loader, 162 x 52 x 63 inches7828 BTU VIP 70N 1 15.11.199611862 BTU TRS 212-8-1REFLOW OVEN 1 ASSEMBLY excellent 1 month10511 BTU / BRUC Horiz 2000 HORIZONTAL FURNACE 1 200 MM for spares use immediately6357 Buehler Isomet 2000 Saw 1 Facilities good immediately9764 Buehler 49 1750 250 BENCH TOP LAPPING MACH1 Single Speed12077 Buehler Isomet 1000 Precis ion Saw 1 excellent

12078 Buehler Phoenix BetaGrinder/Polisher with Vector 1 excellent12080 Buehler Microprecis Tri-Point Polisher Kit 1 excellent

12082 Buehler VacuMat 1 excellent Application - Compact, s ingle sample system for the impregnation of epoxy into a variety of materials.8555 Bullen ? Bullen Ultrasonic Drill w/ Con112085 CAB Maestro 4M DEPANELISER- PCB Seperat1 excellent9159 CABLETRONSMARTSWIT DATA NETWORK SYSTEM 11386 CAF(JOT AUT39098-4 C INLINE PCB ROUTER 1 SMT good 01.01.2002 01.05.1997 immediately Used to cut panels of PCBs into s ingle PCBs, consisting of 2 robots,loader and unloader conveyor,drillhead and PC12084 Cambridge Stereoscan Link EDX Analysis 1 good9063 Cambridge FCF4 and CH 2 bottle gas cabinet 110914 Cameca SX-Macro Mi Microprobe used for EPMA, 1 150 mm 01.12.1988 Cameca SX-Macro Microprobe used for EPMA, 6 " stage, 3 WDX-spectrometer with various crystals (LiF, PET, TAP, ODPb, PC1, PC2, PC3)1399 CANON FPA-3000 EXDUV STEPPER 1 200 mm good 01.01.1997 immediately

Unit and wafer cl eani ng0.1 gm resolution

System funct ional at t ime of de-installationAddit ional detai ls availabl e upon requestLocated in USA.Any offers considered.Located in USA.Any offers considered.

* Capable of Measuring: Lapped, Etched, Polished and Patterned Wafers * Measures Bow and Warp, Site and Global Flatness, Thickness

for back grinding with lot wise cutting system Set Size 125 mm Stored and Wrapped Buyer Responsible for Packing / Crating

Deinstalled, warehoused, no possibilit y of power up testi ng; i n excellent condition, barely used.These systems were designed for low-cost testing of 16- and 32-bit MCU used in such applicat ions as quad-speed CD-ROM drives, kanji character printers, cellular telephones, and car navigation systems. BiCMOS and CMOS technology affords the high-speed, high-accuracy testing capability previously implemented with ECL devices. Hardware is opti mized to reduce testi ng cost, system si ze, and power consumpti on.

Standard Acessories Ki t

power: 9 kVA; 208V single phase; dry pressure air: 0.49 - 0.69 Mpa; liquid nitrogen: about 15l /hour on deep temp testing Not Audited/Crated

Di ag Board Configuration of test stat ion How many test station <1 or 2 & CR> ? 1 . ... ... ... ... ...> 1 Test station 1 is 512HV2 Station1 reverse flow type <Y or N & CR> ? no .. ... ... ... ...> no What number of pin exist in station 1 ... ... ... ... ... .... ...> 1-256 pin no. (ex:1-512) ? .. ... ... ... ... ....> 1-256 station1 P129-160 option exist <Y or N & CR> ? no ... ... ...> no station1 P161-192 option exist <Y or N & CR> ? no ... ... ...> no SELECT DPS/MDC/UDC DPS : 4DPS (1) or 8DPS (2) Select DPS (see above) <0-2 & CR> ? 2 ... .... ... ..> 2 M DC : 8MDCs (1) or 16MDCs (2) or 24MDCs (3) or 32MDCs (4) Select MDC (see above) <1-4 & CR> ? 2 . .... ... ... .> 2 UDC : 1UDC (1) or 2UDCs (2) or 4UDCs (4) Select UDC (see above) <0-4 & CR> ? 1 ... ... ... ...> 1 60V PPS/UDC opt ion exist <Y OR N & CR> ? yes . ... ...> yes SELECT DMM R6871E (1) or R6581T (2) Select DMM (see above) <1-2 & CR> ? 2 ... ... ... ...> 2 GPIB ADDRESS #310 BIT/AD option exist <Y or N & CR> ? no ... ... ... ... ... ... .... ...> no ADC test opt ion exist <Y or N & CR> ? no . ... .... ... ... ... ... ...> no Select opt ion CLK 64TE CLK opt ion exi st <Y or N & CR> ? no . ... ... ... ... ... ... ..> no Select STE size STE capacity 256KW(1) 1MW(2) 2MW(3) Select STE size (see above) <1-3 & CR> ? 2 . ... .... ... ... ... ..> 2 SBM opt ion exi st <Y or N & CR> ? no . ... ... ... ... ... ... .... ... .> no ALPG opti on exist <Y or N & CR> ? no .. ... ... ... ... ... ... ... ....> no SCPG option exist <Y or N & CR> ? no ... ... ... ... ... .... ... ... ..> no Generation O.K. (for Digital) <Y :Yes,N:No> ?

PIN CPE SEL [Y,N] ... ... ... ... .... ... ... ... ..> NO

No cables, only main unit available.Low energy version.

3KW10Kw

Number of DPS channels : 4 DPS 1 : 4 (PDPS_A) 50 MHZ POTENTIALY TO 330MHZFootprint 160 X 70TSK UF200S/300S Prober Test Head Interface

After save '/opt /hp93000/soc/com /lbin/hp83_postsave' will be run * Buyer Responsible for Packing / Crating

single blockOPTIONS 002, 006Number of I/O channels : 128Number of DPS channels : 4

4Kb Vector Memory (VM) per s ite *TSK UF200S/300S Prober Test Head InterfaceManuals

VMB 8 stick box for various gases, 3 populated VMB 8 stick box for furnace, 4 sticks populated: HCl, DCS, NH3, N2O

-Diff pressure balancing for run - vent and display.-All bubblers are water cooled* CS Clean system's dry BEB Absorber; * Aixtron large f ilter cart for exhaust filt ration; Spike verificat ion

1 trai ler load

* Akrion is a trade name of Universal Plastics, a subsidiary of SubM icron Systems Corporati on.SDI Group is not an authorized reseller of these products.

Transformer with 208/220v/3 phase/27kva input and 400v output included.Price: $450,000 USD (open to offers).

230v, with 2-doors control Cabinet, PC Controller, monitor, keyboard, 2-doors powerkabinet, "Colasit" Exhauster, t ype 5V400, pipi ng and 9 t rolley's with frames for l oadi ng manually.

FIGURE #5: SCREEN SHOT OF USER INTERFACEFIGURE #6: PRINTER, CD-ROM, FLOPPY DRIVE115v 3 phase58 x 44 x 71h in.

wi th implemented Laminati on stat ion Water cooled Matrix Laminat ion S tation integrated in indexing unit with pressure up to 250N. Seperate ajustable heating tool s f rom top and bottom with mechanical and vacuum downholding unit and visual ali gment for positioni ng. Dispensing system (Syringe dispenser) is possible to implement , but has to be act ivated by software again. This opt ion was not needed and therefore skiped after general Software modi fication.

Price - please make of fers.Sales condition: as is where i s. Comments: No spec and manual are available.

Faciliti es 208V 60HZ

EB Pat tern Defect Inspect ion

Poly/Nitride Etcher

RF unitsManual feed: 02, ethylene glycol, nitrogen t ri-fl ouride (NF3)Gas panel

# System will be el igible to be placed on a service contract with Applied Materials once re-installed by AMAT # Operati ng manuals availableSti ll in production and working,See at tached· SYSTEM IS DE-INSTALLED, LOCATED IN THE FABSeiko Seiki 30ICBI turboN2/C4F8/O2/CF4/CHF3/A r/CO/N2/C4F8/O2/CF4/CF4/CHF3/Ar

Orienter

Sales condition: as is where i s. Comments: No spec and manual are available

- Ulpa Filter

·

controller (not guaranteed)· EDX: Optional

-ATMOSCAN TUBE HEAT BLOCK, BAFFLES AND VESTIBULE BLOCKS-COMPLETELY NEW AND STORED IN ORIGINAL CRATING2 light but long crates

IC Tester

4301-0459 year of manuf. is July 1998year of manuf. 4301-0574 is 1991/07

(3) 1998/12

Outputs: 2 rel ays (two way contacts) 8(3) A 250 VAC

Model ACS Type T2000W.Supply voltage 408V 50 Hz 3 phase, 15 KW, 24 A.Installed in October 1998, temperature range 20-250 celc ius,regulator range 60- 400 celc ius,internal dimensions of oven depth 101 cm , length 199 cm, height 99cm, divi ded into two shelves. Internal air c irculati on within oven by two fan units, external dimensions 271 cm x 163 cm x 208 cm (height).Temp cont roller from 60 to 400c. Temp. regulated betwen 40 c and 80 c. Dimensi ons (On wooden base) 185 cm x 134cm x 230 cm.11kw,380v,3 ph, 18a.Not operat ional due to a broken thermal switch.Model ACS Type T2000W.Supply voltage 408V 50 Hz 3 phase, 15 KW, 24 A.Installed in October 1998, temperature range 20-250 celc ius,regulator range 60- 400 celc ius,internal dimensions of oven depth 101 cm , length 199 cm, height 99cm, divi ded into two shelves. Internal air c irculati on within oven by two fan units, external dimensions 271 cm x 163 cm x 208 cm (height).

Condit ion Very GoodOrienter*OCR3 Phase UPS 208V (USA). *Remote Eng Workstat ionVeraSEM Option:*Fan Fi lter Unit M/E

8" toolExtremely clean package, bet ter than I've seen in years.

OEM Audit Report available upon request

Endura SIP Encore Kit 08v, 3Ph, 17A, 7.2 KVA Weight: 2800Kg

See at tached configuration

Di ms 52cm x 73cm x 18cm

CONDITION COMMENTS Current ly warehoused. Operat ional when deinstalled. 2 chamber DCVD x 2 SiO2 Etchback chamber x 2Number of Chambers 4

*Registered t rade name or mark, Applied Materials Inc.SDI is not an authorized resel ler of Applied Materials products.*Registered t rade name or mark, Applied Materials Inc.SDI is not an authorized resel ler of Applied Materials products.*Registered t rade name or mark, Applied Materials Inc.SDI is not an authorized resel ler of Applied Materials products.*Registered t rade name or mark, Applied Materials Inc.SDI is not an authorized resel ler of Applied Materials products.*Registered t rade name or mark, Applied Materials Inc.SDI is not an authorized resel ler of Applied Materials products.

Power Supply : 200 / 208V 50HzN2 UFC 1100A 1 SLM

QTY 1 0020-37213QTY 1 0020-37217

Chamber body 0040-25703-P4 s/n 146 (1996 vintage)

Vectra IMP Shiel d K it Assembly 1

Vintage 2002 - Refurbished in 2002 by AMAT. 6" tool. 150mm Phase II MF and Controll er Robot Type: HP+ Load Lock Type: NB, Tilt -out with fast Wafer Mapping CH A Type: WxZ CH B Type: WxZ CH C Type: WxP/ESC CH D Type: WxP/ESC CH E Type: MS Cool CH F Type: Orienter Heat Exchangers: 2 HX150, 2 AMAT 0 Turbo Pumps: Ebara 300 RF Generators: 4 - ENI 12B3 Gas Panel: Seriplex, UHP MFC: Unit 1660 and Stec with digital pressure readouts.� � � � � � � �

Orienter with S tandard DegasTool was funct ionally excellent at time of de-install.TRIVAC D40BC

The VDS is Vapor Delivery System, which provides steam to the resist stripping chamber under vacuum and plasma condi tions.This machine has both, they are very dif ferent . The APC is fairly unique on an AMAT tool.

Amp Rat ing 200ADi agram No 0170-20398Lead time 1st chamber 1 week ARO, 2nd chamber 3 weeks ARO�

2 CHAMBERS AVAILABLESti ll hooked up to mai nf rame in fab

Cover Ring P/N - 0021-18436 Deposition Ring P/N - 0200-01155 - shield ( upper/ lower/cover ring/disk/heater ful l cover shield ) - magnet type : G-12 encapsulated ( 0010-21810 ) **TM, Registered trade mark, Applied Materials Inc.F O/DLL NBLL2 process chambers, with two cooldown chambers.90 Days warrenty with Install.**, TM , Registered trade mark, Applied Materials Inc.

CH 4 - Blank-upgraded wi th state of the art gas boxes, manometer upgrades,-converted to larger turbosand MK2 Chambers.Software: GEM/SECS InterfacePhotos available on request .Kit , Magnetic Shield, Poly DPS-A3 ChamberAssociated cables and Harness

3 pieces 6,000 lbs

PLC controllersArch part number 887936

Like new condi tion only used for demonstrati ons

Step Resolution: 2.5um

(2) February 1997 (3) August 1997-Installation, cooling water fast ramp* -Installation, sub frame heater fast ramp.-Installation, cooling water fast ramp* -Installation, sub frame heater fast ramp.-Installation, cooling water fast ramp* -Installation, sub frame heater fast ramp.Currently warehoused*A-Oxide / L-Teos SystemCurrently warehoused*A-S/D REOX / A-Oxi de

Retic le Holder Missing"sold as seen"Vacuum: 60Hz, N2 P res. : 4.8-5.6 pa Bagged and Under Purge in Cleanroom Storage Area

* IOSc Package revis ion 8.5 * Ret. SMIF POD tag Reader

Large quantit y of 200 degree Gal den (est imated 2 years supply). Status - Current ly i n Active Production

SDI Semiconductor i s now offering Astex / MKS Astron repair and refurbishment. We have qualif ied all processes since September 2005 and are now serving several major fabs. We want to deploy the cost ef fective program worldwide.

New price was ~$30,000Two availabl eSTILL FITTED TO TOOL.WILL NEED DEINSTALLING.

On stil l in operati on unt il mid Sept 2006

Including A ir Dryer MD 300

------------------------------Gencobot IIComplete with no missing parts.

compet itor, we can let them do that i n our lab.

Bulb- 1000 hrsReflector- 130,000 wafers with iradiance declining by 40 mw/cm2 during the lifet ime.

Elect ron ShowerSource LineELS source in place of Bernas (IAS) sourceSlot-to-S lot Wafer Posit ion Integri ty12 Each Quadruopole Lens AssemblyResolving Flag Faraday Assembly

Dual chamber.Fitted with dual 300 mm FOUP podsDual chamber.Fitted with dual 300 mm FOUP podsDual chamber.Fitted with dual 300 mm FOUP pods

-TC Thermocouple measurement-Dome Calotte subst rate drive rotation

CATEGORY PVD .Bell jar e-beam evaporator with 150mm tooling. Cryopumped

Dust Bag #201Power 1200 Watts 110V/22V 50Hz

automated JEDEC tray stak feeder wi th up to 25 JEDEC t rays bar code reader for frame ID QS-300 Epi and Carbon Oxygen Content System, SW Version 1.23, 110 VAC, 60 HZ, Manual Load, 100mm,125mm,150mm AND 200mm Wafers, Manf. 4/1990

3200 data station and fourier manipulat ions software package, uses a GenmarkGencobot IV handling system, CE marked, can be inspected.opt ical unit , XYZ stage,one SMIF ASYST indexer,a casset te stat ion for use with SEMI standard casettes. It is also possible to load f rom a SEMI standard casset te.The system is inclosed in a SMIF ASYST mini-environment

* HP Laser Jet 1100 Color P rinter

N-type conn

Status - Never Commisi oned

machine footprint 1 m2Includes manual p/n I-119-5B

CONDITION COMMENTS Current ly warehoused. Operat ional when deinstalled CONDITION COMMENTS Current ly warehoused. Operat ional when deinstalled.

Wetdeck Bath Temperature Cont roller/Recirculator, 280 VAC, 60hz, 16A, 4 pin interface Top heavy 4,000 lbs

1997 through 2000 vintages OPEN CASETTE FEED TYPE

st ill installed.Located in Europe.One Al uminum Stand Chamber Construction Aluminum Controller and Cables

·

# 3ea Process Programs# LEYBOLD-HERAEUS D30AC Mechanical Vacuum Pump

remaining openCall for Gas & Flow Ranges

SMIF Pod Opener

10 sets heights f rom ½ to 15/8� �

CONDITION COMMENTS Current ly warehoused. Operat ional when deinstalled

530x6000mm and cooling sect ion.1 Tube LPCVDNow fit ted with 6 inch blade and 11-2484 smal l glass slide chuck.Universal voltage 85-264, 50-60 Hz

Accessories - Table Saw attachment for PCB sect ioning.Appli cation - Rapid sectioni ng with excellent sample integrity.Appli cation - Automated gri nding/polishing with vector power head.Jun-Ai r com pressor - no house compressed air required.Appli cation - Wedge polishi ng and cross-sectioning kitfor TEM. Sem and LOM sampl e preparation.

power 200-208v, 88Awater 6.58 gpm, temp. 25 C

Page 2: [XLS]snf.stanford.edu/piperma ··· ment.xls - Stanford ...snf.stanford.edu/pipermail/specmat/attachments/20121223/... · Web viewlifting frame with remote control, 18 different

1394 CANON FPA-3000 EXDUV STEPPER 1 200 mm good 01.01.1997 immediately1211 Canon APT- 4800 CVD Reactor 1 150 mm good 01.01.1999 01.01.1993 immediately8998 Canon FPA-2500i3 I-Line Wafer Stepper 1 01.06.19968727 Canon FPA 2500I3 i-line stepper 1 150 mm8726 Canon FPA2500I3 i-line stepper 1 200 mm8699 Canon FPA-5000ES Canon FPA-5000ES2 DUV Ste19217 Canon FPA-2500i3 Stepper 1 01.01.19967218 Canon FPA-2000i1 Stepper 1 6? 01.06.19947217 Canon FPA-2000i1 Stepper 1 6? 01.06.19944893 CANON FPA 2500 i1 Canon i1 stepper 2 100/150 good 01.11.1991 immediately6754 Canon SMIF Retic le Lithography Equipment 1 200 mm good 01.03.2005 01.06.1999 immediately SMIF retic le changer for Canon FPA300 i5 . Including 2 SMIF ports for ASYST SMIF pods, 1 or 6 retic les. The retic le changer was set up and tested by Canon before it was deinstalled and replaced with a standard retic le changer.No pellical partic le checker, 1 cable is missing.7557 Canon Stepper FPA-1550M4 1 6" 6"

7558 Canon FPA-2500i3 FPA-2500i3 stepper 1 6", Tier1 installation 6", Tier1 installation9655 Canon FPA 3000 i5+i line lithography 2 150 mm excellent immediately

10278 Canon FPA 1550MIVg-Line Stepper 1 6 Inch excellent 01.08.1991 immediately10279 Canon FPA-1550 Mag-Line Stepper 2 125

10280 Canon FPA-3000EX 248nm Stepper 111198 CANON FPA-3000 EXLITHO/STEPPER DUV 1 200 MM excellent 01.04.2000 01.08.1999 immediately11221 CANON FPA-3000IW CANON FINE PATTERN ALI 2 8 inch good 01.08.199611370 Canon FPA 1550 Stepper 1 150 MM good 01.07.199011580 CANON FPA 3000i4 MOUNT SET, CH1 ? CH4 1 FACILITIES excellent 01.06.1998 immediately SHOCK ABSORBING FEET FOR CANON FPA3000 I4 STEPPER9310 Carl Baasel SW250 Stacker Machine 1 assembly excellent 01.06.2004 immediately9311 Carl Zeiss MSM100 / AI Measurement tool 1 01.06.1999

9755 Ceccato RLC 10/10 AIR COMPRESSOR (Borgie 1 10 bar, 400V, 3Ø 5 horsepower11361 Ceccato RLC 10/10 AIR COMPRESSOR (Borgie) 1 10 bar, 400V, 3Ø 5 horsepower10161 Censor ANS 100 TO BE ADDED TYPE 1 N/A 01.04.1993 Un-patterened Wafer Dark Field Inspction System8633 Centech CorVP1000 Centech VP1000 Vapor Pha 18211 CHA SE1000 111325 Chemwest DeDP214 parts c leaner 19455 Chuo Riken NTHB-1000 HHBT Oven 1 01.05.19979198 Clean Maint KS010A1-2 Facility 1 01.03.19985695 Clemco Beadblaster 0 Clemco Beadblaster6290 CLIMATE C18060 PARTICLE COUNTER IS SEAL110623 CLIMET CI-4124-11 PARTICLE COUNTER 1 01.08.199311246 clone AMAT Endura ® 55 PVD 1 wafer s ize 811598 clone ATV PEO-603-PL TEMPER OVEN 2 excellent 01.06.2005 immediately12123 Codonix Line Printer 1 new in box immediately8485 Comdel Inc. CLF-5000 Comdel CLF-5000 Generato488601 Comdel Inc. CLF-5000 Comdel CLF-5000 Generato91426 COMPAQ PROLIANT 3 SERVER 1 IT EQUIPME excellent 01.06.2002 01.11.1998 immediately PII 450 MHZ, 512 MB RAM, 4 9GB SCSI5949 Complete Li Complete LinComplete Frontend Line, 5 in 1 125 mm good 01.01.1992 3 months9473 Complete PlaComplete PlaComplete Plastic/ BGA Assem19093 Complete SMMSH3 Complete SMT line 1 SMT good 01.06.2000 immediately9092 Complete SMMSH3 Complete SMT line 1 SMT good 01.06.1999 immediately11549 Comptech 2480 Comptech#5 1 01.05.19993079 Contact SystCS-400C Cut "N" Clinch machine 0 SMT8603 Continental J2020-Auto Continental Engineering Va 110621 CONTINENTA3000 SERIESContinental Laser Corp W 1 01.09.19898729 Convac 6000 Metal lift system 111444 Coulter LS230 Optical Bench 18592 CPI CPW2840B1CPI CPW2870B10 36 KW Low18594 CPI CPW2870B1CPI CPW2870B10 36KW Low-Z2

9902 CR TECHNOCRX-1000 Real Time Xray Imaging Sys 110281 CR Technol XRV Combo AOI System 1 01.01.200110282 CR Technol XRV Combo AOI System 1 01.01.20018644 Cranfield Pr WT952 Cranfield Precis ion Grinder 110444 Creative De RESMAP Resistiv ity Mapping System 2 300 01.01.20029588 CREDENCE IDS2000 LV PROBER W/ IMS CHAME 15768 Credence Kalos Credence Personal Kalos Te1 01.06.20006205 Credence STS3500 Credence Tester 1 01.06.199110581 Credence Kalos XW automatic memory test system2 excellent 01.01.2002 immediately10794 Credence SC 212 Tester 1 missing parts11459 Credence Personal Kal tester 1 good 01.06.2000 immediately11483 Credence Kalos Flash Memory Test System 1 01.01.200411036 Credence SyKalos PK1 Automated Test Equipment fo 1 excellent 01.05.20048508 Crest 5-STA-A8B Crest 4 Station Ultrasonic C 111558 CSC (Veeco) Ion Beam single chamber 1 01.05.19933120 CTI 8200 Cryo pump, compressor, baffl1 PUMP excellent 01.01.2001 immediately5955 CTI Torr-100 Cryo Pump 18836 CTI TORR-100 CRYO PUMP 1 01.06.199710695 CTI Torr-8 Cryo pump 18471 CTI Cryogen84116005G CTI-Cryogenics On-Board 29312 CTI CryogenCT 8 HVPG Implant Pump 6 as new9904 CTI CRYOGE8510 Compressor 19905 CTI CRYOGE10-20R Compressor 19906 CTI CRYOGECryoTorr 8F Cryo Pump, Right Angle 19907 CTI CRYOGEMC Compressor, 2ea Available 19156 CVC 311601-904 DC Sputter process mod. fr 19155 CVC 311601-904 PROCESS MOD 18650 CVC RTM 2016-B CVC RTP Rapid Thermal Pr 111693 CVC 601 Sputter Up Sputter System 1 No RF Capability8617 CyberOpticsCscan CX3/ CyberOptics Cscan CX3 110286 Cyberoptics KS 50 Component Inspection 13066 Dage MF22A Dage Microtester 22 1 Assembly good immediately8472 Dage MF-22A Dage Microtester 22 17677 Dage BT22 Wire Pull Tester. 1 good9909 DAGE 2400PC PC Based Wire Bond & Ball/D1 good 01.06.1996 immediately9916 DAGE Series 24 Ball/Die Shear Tester 1

11787 Dage PC2400-Tx BS 500 1 BS 500 excellent 01.10.1997 immediately12073 Dage Precis BT 23 Tester 19848 Dage-MTI 2400-DD29 Die Shear Tester 1 01.03.1995 Power Requirements 110 V 50/60 Hz 1 Phase 11722 DAI-ICHI SEI GP-ELF 120 AUTO MOLD 1312099 DAI-ICHI SEI GP-ELF 120 AUTO MOLD 1510287 Daiichi GP Zett Molding Equipment 38636 Dainippon SP-W612 Dainippon (Screen) SP-W612111371 DainipponScSC-W8OA-AVVertical Furnace 1 125 mm 01.06.199411755 DainipponScSC-W80A-AVDNS 80A Coater 1 150 mm9459 Daito FMD-H Handler 1 01.09.200311437 Daitron 111440 Daitron 1 200mm10534 Dan IndustrySDB-2600HYClean Oven 1 01.09.198310288 Dan ScienceOrganic PR SStripper/Asher 1 200 01.06.1994 Organic PR Stripping Station 11087 Dan TakumaSDB-2000N Clean oven 1 01.05.198111796 Darragon Dath Hydraulic Moulding Press 1 ASSEMBLY 01.06.2002 01.06.1985 immediately Capacity 1500 KN, 150 t, pressure 200 bar, table s ize 550x350 mm, with 2-doors electric and control panel, "Thomson" front plate heater, production SOT 23 11797 Darragon Dath Hydraulic Moulding Press 1 ASSEMBLY 01.06.2002 01.06.1985 Capacity 1500 KN, 150 t, pressure 200 bar, table s ize 550x350 mm, with 2-doors electric and control panel, "Thomson" front plate heater, production SOT 23 11471 DATA IO PS 300 Programmer 1 01.08.200011472 DATA IO PP 100 Programmer 1 01.06.199911708 Davis & Wild Pyrex Bell Ja Thermal Evaporator 1 18" Diameter 11709 Davis & Wilder Evaporation System (Cannib1 w/Water Cooled Stainless Steel Split Box Chamber, 30" Dia. X 36" High E.B. 11475 Daymarc 3087 Gravity Feed Logic Handler 211130 DEK 265Lt Dek Screen Printer 1 01.05.199611492 DEK 265GSX In-Line Screen Printer 2 01.01.199911518 DEK 288 Screen Printer 1 01.05.1998 with wiper11355 Dektak 3030 Profilometer 1 150mm good 01.10.19878589 Delatech 880 V-4 Delatech 880 V-4 H2 Burn B 1

8124 DELTA SDH 1056 w/HANDLER W/ PKG KIT 1 200 MM good immediately10861 DELTA SDH 1055 WHNDLER W/KIT , 540L 2 42.5MM11476 Delta V/ W12-2-24 Burn-in Oven 11439 DELTA DESI DELTA FLEX TEST HANDLER 1 TEST good immediately8196 DELTA DESI DELTA FLEX TEST HANDLER, TURBO-FLE1 TEST good immediately8194 DELTA DESI DELTA FLEX TEST HANDLER, AUTO IN / M1 TEST good immediately8209 DELTA DESI DELTA FLEX TEST HANDLERS 1 TEST good immediately

9611 Delta Design1020 FLEX HANDLER 2 AUTO IN / MAN OUT W/KIT (X4) 9241 DELTA DESI MX32 MEMORY TESTER HANDLE 1 01.09.20029917 DELTRONICDV-114 Optical Comparator with Digi 12906 Delvotec 6830 5 assembly excellent11817 Delvotec 6110 Die Bonding system 1 ASSEMBLY 01.06.2002 01.06.1985 capacity max 18 mm, with numeric control, "Hitachi" camera control unit, monitor, "OE" generator, type/tipo 367B-2, light, "Bausch & Lomb" Microscop, type/tipo StereoZoom 4, zoom range 0.7x-3.0x, automatic transport system for leadframe strips, stored in cassettes 11818 Delvotec 6110 Die Bonding system 1 ASSEMBLY 01.06.2002 01.06.1985 capacity max 18 mm, with numeric control, "Hitachi" camera control unit, monitor, "OE" generator, type/tipo 367B-2, light, "Bausch & Lomb" Microscop, type/tipo StereoZoom 4, zoom range 0.7x-3.0x, automatic transport system for leadframe strips, stored in cassettes 11819 Delvotec 6110 Die Bonding system 1 ASSEMBLY 01.06.2002 01.06.1985 capacity max 18 mm, with numeric control, "Hitachi" camera control unit, monitor, "OE" generator, type/tipo 367B-2, light, "Bausch & Lomb" Microscop, type/tipo StereoZoom 4, zoom range 0.7x-3.0x, automatic transport system for leadframe strips, stored in cassettes 11820 Delvotec 6110 Die Bonding system 1 ASSEMBLY 01.06.2002 01.06.1985 capacity max 18 mm, with numeric control, "Hitachi" camera control unit, monitor, "OE" generator, type/tipo 367B-2, light, "Bausch & Lomb" Microscop, type/tipo StereoZoom 4, zoom range 0.7x-3.0x, automatic transport system for leadframe strips, stored in cassettes 11821 Delvotec 6110 Die Bonding system 1 ASSEMBLY 01.06.2002 01.06.1985 capacity max 18 mm, with numeric control, "Hitachi" camera control unit, monitor, "OE" generator, type/tipo 367B-2, light, "Bausch & Lomb" Microscop, type/tipo StereoZoom 4, zoom range 0.7x-3.0x, automatic transport system for leadframe strips, stored in cassettes 11822 Delvotec 6110 Die Bonding system 1 ASSEMBLY 01.06.2002 01.06.1985 capacity max 18 mm, with numeric control, "Hitachi" camera control unit, monitor, "OE" generator, type/tipo 367B-2, light, "Bausch & Lomb" Microscop, type/tipo StereoZoom 4, zoom range 0.7x-3.0x, automatic transport system for leadframe strips, stored in cassettes 11823 Delvotec 6110 Die Bonding system 1 ASSEMBLY 01.06.2002 01.06.1985 capacity max 18 mm, with numeric control, "Hitachi" camera control unit, monitor, "OE" generator, type/tipo 367B-2, light, "Bausch & Lomb" Microscop, type/tipo StereoZoom 4, zoom range 0.7x-3.0x, automatic transport system for leadframe strips, stored in cassettes 11826 Delvotec 6110 Die Bonding system 1 ASSEMBLY 01.06.2002 01.06.1985 capacity max 18 mm, with numeric control, "Hitachi" camera control unit, monitor, "OE" generator, type/tipo 367B-2, light, "Bausch & Lomb" Microscop, type/tipo StereoZoom 4, zoom range 0.7x-3.0x, automatic transport system for leadframe strips, stored in cassettes 11827 Delvotec 6110 Die Bonding system 1 ASSEMBLY 01.06.2002 01.06.1985 capacity max 18 mm, with numeric control, "Hitachi" camera control unit, monitor, "OE" generator, type/tipo 367B-2, light, "Bausch & Lomb" Microscop, type/tipo StereoZoom 4, zoom range 0.7x-3.0x, automatic transport system for leadframe strips, stored in cassettes 11828 Delvotec 6110 Die Bonding system 1 ASSEMBLY good 01.06.2002 01.06.1985 immediately capacity max 18 mm, with numeric control, "Hitachi" camera control unit, monitor, "OE" generator, type 367B-2, light, "Bausch & Lomb" Microscop, type/tipo StereoZoom 4, zoom range 0.7x-3.0x, automatic transport system for leadframe strips, stored in cassettes 11830 Delvotec 6110 Die Bonding system 1 ASSEMBLY good 01.06.2002 01.06.1985 immediately capacity max 18 mm, with numeric control, "Hitachi" camera control unit, monitor, "OE" generator, type/tipo 367B-2, light, "Bausch & Lomb" Microscop, type/tipo StereoZoom 4, zoom range 0.7x-3.0x, automatic transport system for leadframe strips, stored in cassettes 2625 Den-on RD-300 BGA Rework Station 1 Assembly as new 01.06.2000 immediately System Like new, Got very little use.5194 Despatch PND2-29-21Burn- in oven 1 ASSENBLY excellent 01.01.1997 immediately9612 DESPATCH CRB OVB60 OVEN 1 300MM 49 X 24 X 22) 11552 Despatch Special vacumn oven 1 01.05.1998 Despatch 7 Tool status: down11553 Despatch Special Vacuum Oven 1 01.06.199811550 Despatch 5 Special Vacuum Oven 1 01.06.199811551 Despatch#6 Special Vacuum Oven 1 01.06.199811669 Dexon CS-01 Horizontal quartz stocker 1 Currently warehoused. Good condition11670 Dexon Small quartz stocker 111671 Dexon CS-01 Vertical quartz tube storage 7 Currently warehoused. Good condition. 8560 Dias AutomatFC-22 Dias Automation FC-22 Wire 110897 Digital instr NANO SCOPE AFM/MFM 18080 Dionex 4500i Chromatograph 1 LABORATORfor spares u 01.02.2005 01.06.1990 immediately9089 DISCO DFG 83H/6 SUFRACE GRINDER 1 150 MM 01.01.1985 immediately

2604 Disco DFD 2S/8 Dicing Saw 1 6" Set up good 01.01.2004 01.07.1991 immediately8115 Disco DAD321 dicing saw 1 good 01.01.2000 immediately6904 Disco DAD 640 Semi Auto Dicing Saw 10 good6292 DISCO DAE220 OTHER 17626 Disco DFD 2D/8 DICING SAW 1 good 01.01.2002 01.01.1993 immediately6534 Disco 2D8 Dicing Saw 1 01.04.19946569 Disco DAD320 Dicing Saw 0 excellent 01.03.19959468 Disco DAD321 dicing saw 1 200mm good 01.10.1998 immediately7455 Disco DAD521 dicing saw 1 good immediately Higher precis ion than Disco DAD3217456 Disco DAD340 dicing saw 2 good immediately7839 DISCO 2S8 SAW 1 15.06.19929850 Disco DAD-3D/8 Dicing Saw 111121 Disco 2H/6T 3 excellent 01.01.1990 immediately they are in excellent condition. chuck table included. transformer included. operational.11457 Disco DFD 640 Dicing Saw 6"/8" 0 01.05.19964895 DMS retic le stock DMS retic le stocker 1 100/150 good immediately Set up for Canon 5 inch retic les on outside holding 672 and Canon 6 inch retic les on inside holding 333.4896 DMS retic le stock DMS retic le stocker 1 100/150 Set up for Nikon 5" retic les holding 1062.4897 DMS RETICLE STOCKER 1 125 Nikon 5" retic les - 10626243 DMS retic le stock Retic le Stocker 1 5" fair 01.06.199511554 DMS Cleaner 1 01.06.20018743 DNS SOG W80 SOG 8" wafer 1 200 mm7229 DNS SP-W813-ASCMP Scrubber 1 8? 01.09.19997228 DNS SP-W813-ASCMP Scrubber 1 8? 01.12.19987219 DNS SD-W60A-A Developer, Poly imide 1 6? 01.03.19975190 DNS 80B Developer 2 200 mm excellent 01.01.1996 immediately left-handed interface to Nikon stepper6230 DNS SP-W813-A Single Wafer Acid Wet Proc 1 excellent 01.06.1993 immediately6244 DNS 80B Developer Track 1 1506548 DNS 60A COAT DEVELOP TRACK 5 excellent 01.05.1996 immediately10541 DNS DUV80B-SK-DUV COATER 1 150 excellent7560 DNS SPW-612 Spray Etcher 1 4", 19897561 DNS SPW-612 Spray Etcher 1 4" 4"7562 DNS SPW-612 Spray Etcher 1 4" 4"7563 DNS SKW-80A-AV3 Developer 1 6" 6"7992 DNS DP-636-C hotplate tracks 3 75mm to 150 mm 01.06.1987 3 hotplates,1 coolplate, 1 carrier send, 1 receive, manuals,110v, 50/60hz 3 phase, cassette to cassette, 150 cn x 90 cm x 80 cm, 400 kg9921 DNS SC-W80A-A SOG Furnace with Interface 19924 DNS SD-W60A Dual Photoresist Developer, 1 125 MM excellent 01.05.19979925 DNS SK-W60A Photoresist Coater/Develope1 150 mm 01.05.1992

9926 DNS SK-W60A Photoresist Coater/Develope19927 DNS SK-W80A-BVPhotoresist Coater/Develope19928 DNS SKW-80A-BVPhotoresist Coater/Develope19930 DNS WS-820C Automated Wet Processing S1 200 mm excellent 01.01.1996 immediately10291 DNS Lambda Ace Thin Film Measurement Syst 2 150 01.08.1988 Lambda Ace STM-603 Plus. Thickness Measurement 10292 DNS SWA-80A Linear Wafer Tracks (Resis 1 200 1C, 2CP, 3HP, 1DHP 10582 DNS SKW-60A-BVPhotoresist /Coater/Develop 4 150mm 01.06.199210706 DNS VLM-6000 Film thickness measurement1 01.06.199210721 DNS SKW-636 Coat/develop trac 1 150 mm11511 DNS FL-820L Standalone CMP Cleaner 1 01.01.199711593 DNS SS-W60A-ARWafer surface clean 1 150 mm Currently warehoused. Operational when deinstalle. Jet pumps missing11596 DNS FL-820L HF bench 111903 DNS hood SC1 CLN (100:3:2) 211905 DNS SSW-80A SCRUBBER 411911 DNS WSW821/CasSC1 CLN (100:3:2) 111920 DNS AS2000 STI/SON/BCMP/PCMP SCRU 111555 DNS-DainippSP-W813-ASCleaner 1 01.06.200111142 DongGi DUD-500 Unloader 110615 DREW PRO OMNIFIX15 SILVER RECOVERY UNIT 111359 DREW PRO OMNIFIX15 SILVER RECOVERY UNIT 110962 DRYDEN ENDE3496SPD 1 FAB 6346 Drytek Megastrip 6 STRIPPER 1 150 MM good 01.09.1985 immediately9931 DRYTEK 384T Plasma Metal Etcher, 4ea Ava14900 DYN OPTICS325R Reflectometer 1 100/150 not wrapped in storage closet10162 Dynapace Board LoadeConveyor & Material Handli 1 20011805 Dynapert De6110 Die Bonding system 1 ASSEMBLY good 01.06.2002 01.06.1985 immediately with automatic in and out leadframe strip transport system, Numeric control, "Hitachi" camera control unit, monitor, fiberglass light, "Olympus" microscope, type S 230 9933 DYNATEX DX11 Wafer Scriber 17564 Eaton NV-GSD-80 High Current 1 6"(SEN style Endstation) 01.05.199411006 Eaton NV10-160 Ion Implanter 1 6 inch11909 EATON GSD200 Low energy Implant 18120 EATON / AXCNV 10-160 Medium Current Implanter 1 150 MM excellent 01.06.1986 immediately6688 Eaton Axceli 3206 Medium Current Implanter 1 4", 100mm good 01.06.2003 immediately10713 Eaton-Sumit Nova SD NV High current ion implanter 1 150mm2338 EBARA EPO-213 CMP OXIDE SMIF FITTED 1 200 MM excellent 01.02.1998 immediately

2339 EBARA EPO-213 CMP OXIDE SMIF FITTED 1 200 MM excellent 01.09.1999 immediately2340 EBARA EPO-213 CMP OXIDE SMIF FITTED 1 200 MM excellent 01.09.1999 immediately

8973 EBARA AAL-10 DRY PUMP 13122 Ebara AA20 Vacuum Pump 1 PUMP excellent 01.01.2001 immediately 2nd Shipment CHA Metal Pump3126 Ebara AAS70WN Vacuum Pump 1 PUMP excellent 01.01.2001 immediately was on LAM45204221 EBARA EPO-222-IL CMP POLISHER 2 200 MM good 01.01.2004 01.01.1999 immediately5769 Ebara 80X25 UER Mechanical Dry Pump Pack 1 Facilities good 01.06.1990 immediately5815 Ebara 65X40 ERD5Mechanical Dry Pump Pack 1 Facilities good 01.12.19965816 Ebara 65X40 ERD5Mechanical Dry Pump Pack 1 Facilities good 01.10.20028828 EBARA 40X20 DRY PUMP 1 01.06.19919621 Ebara 2.1 Cryo Compressor 5 good9622 Ebara 4.8 Cryo Compressor 5 good9935 EBARA 40x20 Dry Pump, 42 CFM, 5 Pump Sta19936 EBARA 50X20 Dry Vacuum Pump, 127 CFM, 19937 EBARA 80X25 Dry Vacuum Pump, 300 CFM, 111512 Ebara CMP CMP Equipment 111513 Ebara EPO-213 CMP System 1 01.07.199711514 Ebara EPO-222 - I CMP System 2 200 mm8465 Ebara Techn80x25 Ebara 80x25 Mechanical D 18551 Ebara TechnA30W Ebara A30W Dry Pump 1 refurbished Ebara A30W Dry Pump, s/n#030241, 200volts, 3ph, 60/50Hz. The A30W Dry Pump is designed to provide high reliability for a wide range of process such as LPCVD, PECVD, and Etch.( 6 Month Process Limited Warranty) Rebuilt: 6/16/04. Semiconductor Equipment.8559 Ebara Techn80x25 Ebara 80x25 Mechanical D 18629 Ebara TechnOZC-18C-A Ebara OZC-18C-A11 Ozoni 17994 ECI TECH QLC 5000 Online chemical monitor 1 facilities 01.06.2000 The QLC-5000 by ECI provides a new level of automatic analysis and control for additives in copper electroplating baths. Based on the shop-proven electrochemical analysis technique called CVS (Cyclic Voltammetric Stripping) and backed by a decade of expertise gathered by the personnel at ECI, this instrument provides on-line, multitank control, with analysis and SPC information available on the shop floor. General correction functions * Correction function for adjustment of obtained results * linear correction coeffic ients * copper correction coeffic ient for response curve analysis * procedure to calculate optimum value of copper correction for RC Software version 3.08 9678 ECI Technol QLC-5000 Electroplating Bath Analyzer 1 Automatic On-Line Chemical Monitoring System8556 EcoSys Phoenix IV EcoSys Phoenix IV Thermal 19318 EDAX DX4 EDX 19320 Edax EDX EDAX System DX4 for XL50 18030 EDAX CM-200ST AlEDX system 1 EDAX System DX4 consisting of: Basic DX4 RTEM-SUTW Detector Monitor 17" RAM 16 Mb hard disk 500MB mDX TEM mat. ana. S/W Beam. Contr. Package iDX Image Coll. S/W8695 Edwards BJD-1800 Edwards Temescal BJD-18 1

4960 EDWARDS C33355000 SPEEDIVALVE SP25K 1 PUMPS excellent immediately4961 EDWARDS EH 1200 MECHANICAL BOOSTER PU1 PUMP good immediately4962 EDWARDS QDP 80 MECHANICAL BOOSTER PU1 PUMP good immediately8486 Edwards QDP80 Edwards QDP80 Vacuum P 18519 Edwards DP80-pump /Edwards Dry Pump/Booster w15757 EDWARDS DP80 / EH 2 DRYSTAR PUMP PACKAGE 4 PUMP good 01.06.1991 immediately5764 EDWARDS DP80 / EH 5 DRYSTAR PUMP PACKAGE 1 PUMP good 01.06.1992 immediately

5778 Edwards E2M40 TWO STAGE VACUUM PUM 1 PUMP good immediately 50/60 HZ5779 EDWARDS DP80 / EH 2 DRYSTAR PUMP PACKAGE 1 PUMP good 01.06.1991 immediately

5782 EDWARDS EH 1200 MECHANICAL BOOSTER PU1 PUMP good immediately5785 EDWARDS EH 1200 MECHANICAL BOOSTER PU1 PUMP good immediately

5787 EDWARDS EH 1200 MECHANICAL BOOSTER PU1 PUMP good immediately5788 EDWARDS EH 1200 MECHANICAL BOOSTER PU1 PUMP good immediately5790 EDWARDS QDP 80 MECHANICAL BOOSTER PU1 PUMP refurbished immediately5795 EDWARDS E2M10 2 STAGE VACUUM PUMP 1 PUMP good immediately5802 Edwards DP80 Edwards DP80 Vacuum Pum1 pump good 01.06.1995 1 month5805 Edwards DP80 Edwards DP80 Vacuum Pum1 pump good 01.06.1995 immediately5806 EDWARDS QDP 80 MECHANICAL BOOSTER PU1 PUMP refurbished immediately5807 Edwards DP80 Edwards DP80 Vacuum Pum1 pump good 01.06.1995 immediately5808 Edwards E2M40 TWO STAGE VACUUM PUM 1 PUMP good immediately6157 Edwards DP80/EH500Edwards Dry Star Pump 16158 Edwards CDP80/EH5 Edwards Dry Star Pump 16159 Edwards A526 01 935 Edwards Dry Star Pump 16160 Edwards QDP80/Q210Edwards Dry star Pump 16161 Edwards Q61212014 Edwards Dry Star Pump 16163 Edwards IH600 Edwards IH600 Pump 1 pump excellent 01.01.2003 01.07.2000 immediately6165 Edwards IH600 Edwards IH600 Pump 1 pump excellent 01.03.2003 01.06.2000 immediately6166 Edwards IH600 Edwards IH600 Pump 1 pump excellent 01.03.2003 01.06.2000 immediately7679 EDWARDS DP 80 MECHANICAL BOOSTER PU1 PUMP good immediately7680 EDWARDS DP 80 MECHANICAL BOOSTER PU1 PUMP good immediately7681 EDWARDS EH 1200 MECHANICAL BOOSTER PU1 PUMP good immediately7682 EDWARDS EH 1200 MECHANICAL BOOSTER PU1 PUMP good immediately7683 EDWARDS DP80 MK 2 + DRYSTAR PUMP PACKAGE 1 PUMP good 01.04.2003 01.03.2001 immediately9762 Edwards QDP-40 DRY VACUUM PUMP 19940 EDWARDS DP40/EH250Vacuum Dry Pump/Blower P 19941 EDWARDS E2M40 Mechanical Vacuum Pump 1

9942 EDWARDS E2M40/EH25Mechanical Pump/Blower P 19943 EDWARDS E2M80 Mechanical Vacuum Pump 19945 EDWARDS EH 250 Vacuum Blower 19946 EDWARDS ESDP30A Scroll Vacuum Pump 19947 EDWARDS QDP80/QMB Dry Pump/Blower Package 111155 Edwards Q and I-Seri Pump Enclosures 211191 EDWARDS GVI 100P gate valve 1 1 excellent immediately11448 Edwards KF Flange Edwards KF Flange 1 excellent immediately11449 Edwards Gate Valve Edwards Gate Valve 1 immediately

11450 Edwards KF Flange Edwards KF Flange 1 immediately11451 Edwards KF Flange Edwards KF Flange 1 excellent immediately

11526 Edwards QDP80 + QMPUMP PLATFORM WITH GAT1 PUMP excellent 01.06.2004 immediately11527 Edwards QDP 80 with Pumps with gate valve 1 PUMP excellent 01.06.2004 immediately11538 Edwards QDP80 Pump QDP80 with gate valv 1 PUMP excellent immediately11571 Edwards QDP80 QDP 80 with QMP500F Boost111572 Edwards CDP 80 CDP 80 + Gate Valve 1 PUMP excellent immediately11573 Edwards CDP 40 Pump CDP 40 111605 Edwards QDP80 Pump QDP80 1 Type Q2300010111606 Edwards Drystar Pump package DP80 + EH5 1 good 01.06.1998 immediately11636 Edwards Drystar Pump package DP80 + EH 2 111984 Edwards STP451C Turbo Molecular pump 211985 Edwards STP-1000C Turbo Molecular pump 16070 Edwers E2M18 Oil Rotary Vacuum Pump 1 excellent8829 EDWERS DP-80 DRY PUMP 1 01.06.19896835 EEJA SHERPA 300MM MANUAL 2 CUP PLA 1 200 MM9576 EEJA POSFER Bump Plating System for Waf 19800 EEJA Posfer Automatic Plating Tool 111149 Efos Novacure UV CURING UNIT 1 Without light guide9111 EG 2010 Prober 1 Excellent condition, high or low, cognex9112 EG 2001 Prober 3 belt, cohu, cognex, hot chuck, profiler9109 EG 4080 Prober 2 Excellent condition, Hot chuck, upgrades9591 EG 4085X Prober 110442 EG 2001X Probers 1711403 EG 2010X Sort 1

9110 EG/SVTR 2080 Prober 1 Excellent condition, high, nickel hot, cognex/cohu5642 EKP Emergency shower and eye 1 EPK Emergency shower and eye wash stations6356 Electroglas 2001X Refurbished EG2001X 6 refurbished immediately8923 Electroglas EG 2001X prober 1 test good immediately The machine is currently located in a c lass 10K clean room10743 ELECTROGL4085 Prober 1 Wafer Probers 10204 Electroglas 4080 Prober, 8 inch 1 200 mm good 01.06.2005 immediately11027 Electroglas EG 4080 X H Automatic 8' Prober 1 fair 01.05.199811118 Electroglas EG 4090 Prober 2 200 mm good 01.05.2006 immediately11339 ELECTROGL4090 PROBER 311372 Electroglas Horizon 408 Wafer Prober 1 150 mm11488 Electroglas EG4080X/EG Wafer Prober 6 200 mm

11489 Electroglas EG4090u Wafer Prober 211743 ELECTROGL4090 AUTO PROBER 3

11883 ELECTROGLEG 5 / 300 E PROBER, 300 MM WITH SMI 1 300 MM for spares use 01.04.2001 immediately8516 Electroglas I 1034XA-6 Electroglas 1034XA6 Wafer 111406 ELECTRON V1200 FAB 110924 Electrotech 310 PC PECVD SYSTEM 1 150 mm good 01.05.2005 01.06.1990 immediately9419 Electrovert ECONOPAK IWave Solder System 1 200 mm good 01.06.2005 01.03.1991 immediately10294 Electrovert Aquastorm 1 PCB Cleaner 211522 ELECTROVEOMNIFLOW 7+7 WITH CHILLER AND N 1 01.06.199711876 Elga 18 Meg Ohm DI water Plant 010295 EMC Corp 32PC Cyber PCB Cleaner 1 Stencil Cleaner 11243 Emcore D180 GaN MOCVD 1 01.07.200112032 emitool 2STICMP 1 STI SRD 870 single stack 15643 Empteezy Chemical Storage, 3m Long 1 Empteezy D5165 Chemical Storage, 3m Long x 3.1m High x 1.5m Deep5881 EMTEC WJS-150 w/f jet c leaner 1 01.06.19908793 EMTEC WJS-150 W/f jet c leaner 1 6 incl excellent5220 ENI OEM-25A RF Generator 1 facilities refurbished 1 month9782 ENI OEM-25A 21 RF Generator 1 facilities good immediately 2500W, 13.56Mhz into 50 ohm load, 25p D-Sub analog customer interface, RF Output connector HN type, Water Temp 5 min - 35 max Degrees Celsius, 2 Gallons per minute or higher, Less than 70 psi water pressure, Three phase, 50-60hz Factory set for 208VAC

9948 ENI ACG-10T Solid State RF Generator, 1 19950 ENI OEM-12A Solid State RF Generator, 1 19951 ENI OEM-25 Solid State RF Generator, 2 111156 ENI ACG-6XL/OERF Generator 28466 ENI ProductsPL-2HF-114 ENI Plasmaloc 2-HF Power 18489 ENI ProductsA-500-1831 ENI A-500 RF Power Amplifie 18509 ENI ProductsOEM-28B-04ENI OEM-28B Power Genera11

8515 ENI ProductsGMW50A-20ENI Genesis RF Generator 38550 ENI ProductsOEM-50 ENI RF OEM-50, INTLK Gene18576 ENI ProductsOEM-50N-0 ENI OEM-50 Power Generat 18590 ENI ProductsOEM-50N-01ENI OEM-50 Power Generat 211251 ENVIRONMENBench with ligCLEAN BENCH AND HEPA F1 FACILITIES good 01.06.2005 immediately11578 ENVIRONMENTAL AIR CORCLEAN BENCH AND HEPA F1 FACILITIES good 01.06.2004 01.06.1995 immediately Consists of bench and HEPA filter unit with illumination10944 EPSON EL853S Handling Robot 1 01.06.200111143 ERSA EcoSelect 2 Selective Wave Solderer 111520 Ersa N-Wav 500-F Soldering machine 1 01.05.199810205 ESC 9200 LOC DIE ATTACH 7 ASSEMBLY / good 01.12.2005 immediately2704 ESEC 3006F/X WIRE BONDER 15 ASSEMBLY good 01.01.1993 immediately

7061 ESEC 2006 HRX Die Attach Bonder (Epoxy) 1 8" Wafer Size 01.05.19968562 Esec 3006-48 ESEC 3006 Wire Bonder 1

7836 ESEC 2006 PI DIE BONDER 1 15.02.19919626 ESEC 3006F/X Wire Bonder 15 52.4a9846 ESEC 3006FX Wire Bonders 41 Quantity of 40 available

10296 ESEC 3008 Ball Wire Bonder 4 01.05.199810297 ESEC 3018 Ball Wire Bonder 3

10298 ESEC 6007 Epoxy Curing 8 01.01.200010299 ESEC Autoline Pick & Place Equipment 5 01.06.199911806 Esec 2004 Die bonding system 1 ASSEMBLY good 01.06.2002 01.06.1990 immediately cap. Max 20 mm, moving range 50.00 mm, speed max 75mm/sec., table s ize 760x950 mm, 220v, 3.5 amp, 50/60 hz, with Pick and Plane system, "Yokoshu" camera controle unit with monitor, dispenser and light11808 Esec 2004 Die bonding system 1 ASSEMBLY good 01.06.2002 01.06.1990 immediately cap. Max 20 mm, moving range 50.00 mm, speed max 75mm/sec., table s ize 760x950 mm, 220v, 3.5 amp, 50/60 hz, with Pick and Plane system, "Yokoshu" camera controle unit with monitor, dispenser and light11809 Esec 2005 Die bonding system 1 ASSEMBLY good 01.06.2002 01.06.1990 immediately cap. Max 22mm, table s ize 840x400mm, wafer diam. 115 mm, 220v, 3.5 amp, 50/60 hz, with digital controle display, 2 "Hitachi" camera's with zoom 6.5x and monitor, foil cutting unit, component model for the SOT 23 11810 Esec 2005 Die bonding system 1 ASSEMBLY good 01.06.2002 01.06.1990 immediately cap. Max 22mm, table s ize 840x400mm, wafer diam. 115 mm, 220v, 3.5 amp, 50/60 hz, with digital controle display, 2 "Hitachi" camera's with zoom 6.5x and monitor, foil cutting unit, component model for the SOT 23 11811 Esec 2004 Die bonding system 1 ASSEMBLY good 01.06.2002 01.06.1990 immediately cap. Max 20 mm, moving range 50.00 mm, speed max 75mm/sec., table s ize 760x950 mm, 220v, 3.5 amp, 50/60 hz, with Pick and Plane system, "Yokoshu" camera control unit with monitor, dispenser and light11812 Esec 2004 Die bonding system 1 ASSEMBLY good 01.06.2002 01.06.1990 immediately cap. Max 20 mm, moving range 50.00 mm, speed max 75mm/sec., table s ize 760x950 mm, 220v, 3.5 amp, 50/60 hz, with Pick and Plane system, "Yokoshu" camera control unit with monitor, dispenser and light11813 Esec 2004 Die bonding system 1 ASSEMBLY good 01.06.2002 01.06.1990 immediately cap. Max 20 mm, moving range 50.00 mm, speed max 75mm/sec., table s ize 760x950 mm, 220v, 3.5 amp, 50/60 hz, with Pick and Plane system, "Yokoshu" camera controle unit with monitor, dispenser and light11814 Esec 2005 Die bonding system 1 ASSEMBLY good 01.06.2002 01.06.1990 immediately cap. Max 22mm, table s ize 840x400mm, wafer diam. 115 mm, 220v, 3.5 amp, 50/60 hz, with digital controle display, 2 "Hitachi" camera's with zoom 6.5x and monitor, foil cutting unit, component model for the SOT 23 11815 Esec 2004 Die bonding system 1 ASSEMBLY good 01.06.2002 01.06.1990 immediately cap. Max 20 mm, moving range 50.00 mm, speed max 75mm/sec., table s ize 760x950 mm, 220v, 3.5 amp, 50/60 hz, with Pick and Plane system, "Yokoshu" camera controle unit with monitor, dispenser and light

11816 Esec 2004 Die bonding system 1 ASSEMBLY good 01.06.2002 01.06.1990 immediately cap. Max 20 mm, moving range 50.00 mm, speed max 75mm/sec., table s ize 760x950 mm, 220v, 3.5 amp, 50/60 hz, with Pick and Plane system, "Yokoshu" camera controle unit with monitor, dispenser and light8135 ESEC ZevateMicron 2 Multifunction Precis ion Ass 1 excellent 01.06.1996 immediately2474 ESI 9200HT PLUFUSER/ TESTER 2 TEST missing part 01.11.2003 01.11.1996 immediately9545 ESI 8000 / 200 MEMORY FUSE BLOWER 1 TEST good immediately STILL INSTALLED, MANUALS AVAILABLE5661 ESI 9200+ Plus Laser Repair System 1 excellent 01.06.1995 immediately6246 ESI 9200 ESI 9200 MEMORY LASER R 1 200 good6247 ESI 9200 ESI 9200 MEMORY LASER R 1 20011479 ESI 9200 Laser Repair System 1 125 mm11480 ESI 9800 Laser Repair System 1 200 mm 01.01.200211481 ESI 9800 Laser Repair System 1 200/300 mm 01.01.20023084 ESPEC PH201M Cure Oven 1 Assembly good 01.05.1999 immediately9306 ESPEC ets4-35w oven,temperature cycle, th 1 Assembly good 01.05.1999 immediately3075 ESSEMTEC EXP 5000 Pick & Place 0 SMT 01.06.19993076 ESSEMTEC EXP 5001 Pick & Place 1 SMT excellent 01.06.1999 immediately3077 ESSEMTEC SP002M Screen Printer 0 SMT 01.05.19993078 ESSEMTEC RO-06E Re-Flow oven 0 SMT 01.07.1999 Photo's on File11136 Essemtec Expert Manual Pick and Plac 1 good immediately8877 ESTECH SEC-4400R MFC 28876 ESTECH SEC-4400M MFC 16007 ESTECH SEC-440RC MFC 1 Ar, 200sccm

10164 Estek WIS-800 Wafer Inspection Equipment 1 2008184 ETS LINDG 5407 EMI EMISSIONS TEST EQUI 1 FACILITIES good 01.01.1998 immediately10210 EV Group EVG 640 Aligner 1 new unused

10740 EVERTECH MASH HANDLER 1 FULLY AUTOMATED 10940 EVG EVG 150 EVG 150 double s ide spray / 1

11219 EVG 101 PHOTORESIST COATER AN 1 100 mm good 01.08.2005 01.03.1999 immediately10514 EXITECH MS 157 157 nm Micro-stepper 1 200 MM good 01.06.2000 immediately6294 Express TestET-302-P autoclave 1 good 01.06.1987 immediately11799 F and K Delv 6210 Mobile Die/Wire Bonding sy 1 ASSEMBLY good 01.06.2002 01.09.2000 immediately capacity max 22 mm, table s ize 810x570 mm, X=200 mm, Y=100 mm, 230v, 16 amp, 50/60 hz, with automatic leadframe strip transport system, type/tipo 0.3135.2000, magazin loader, camera control unit, monitor, fiberglass light, Numerik control, "Nikon" Microscop, type/tipo 6003907 11800 F and K Delv 6210 Mobile Die/Wire Bonding sy 1 ASSEMBLY excellent 01.06.2002 01.09.2000 immediately capacity max 22 mm, table s ize 810x570 mm, X=200 mm, Y=100 mm, 230v, 16 amp, 50/60 hz, with automatic leadframe strip transport system, type/tipo 0.3135.2000, magazin loader, camera control unit, monitor, fiberglass light, Numerik control, "Nikon" Microscop, type/tipo 6003907 11801 F and K Delv 6210 Mobile Die/Wire Bonding sy 1 ASSEMBLY excellent 01.06.2002 01.09.2000 immediately capacity max 22 mm, table s ize 810x570 mm, X=200 mm, Y=100 mm, 230v, 16 amp, 50/60 hz, with automatic leadframe strip transport system, type/tipo 0.3135.2000, magazin loader, camera control unit, monitor, fiberglass light, Numerik control, "Nikon" Microscop, type/tipo 6003907 11803 F and K Delv 6210 Mobile Die/Wire Bonding sy 1 ASSEMBLY excellent 01.06.2002 01.09.2000 immediately capacity max 22 mm, table s ize 810x570 mm, X=200 mm, Y=100 mm, 230v, 16 amp, 50/60 hz, with automatic leadframe strip transport system, type/tipo 0.3135.2000, magazin loader, camera control unit, monitor, fiberglass light, Numerik control, "Nikon" Microscop, type/tipo 6003907 11824 F and K Delv 6210 Mobile Die/Wire Bonding sy 1 ASSEMBLY excellent 01.06.2002 01.09.2000 immediately capacity max 22 mm, table s ize 810x570 mm, X=200 mm, Y=100 mm, 230v, 16 amp, 50/60 hz, with automatic leadframe strip transport system, type/tipo 0.3135.2000, magazin loader, camera control unit, monitor, fiberglass light, Numerik control, "Nikon" Microscop, type/tipo 6003907 11825 F and K Delv 6210 Mobile Die/Wire Bonding sy 1 ASSEMBLY excellent 01.06.2002 01.09.2000 immediately capacity max 22 mm, table s ize 810x570 mm, X=200 mm, Y=100 mm, 230v, 16 amp, 50/60 hz, with automatic leadframe strip transport system, type/tipo 0.3135.2000, magazin loader, camera control unit, monitor, fiberglass light, Numerik control, "Nikon" Microscop, type/tipo 6003907

11829 F and K Delv 6210 Mobile Die/Wire Bonding sy 1 ASSEMBLY excellent 01.06.2002 01.09.2000 immediately capacity max 22 mm, table s ize 810x570 mm, X=200 mm, Y=100 mm, 230v, 16 amp, 50/60 hz, with automatic leadframe strip transport system, type/tipo 0.3135.2000, magazin loader, camera control unit, monitor, fiberglass light, Numerik control, "Nikon" Microscop, type/tipo 6003907 11832 F and K Fitel TRIM AND F TRIM AND FORM MACHINE 1 ASSEMBLY excellent 01.06.2002 01.06.2001 immediately material width max 20 mm, speed max 150 Rpm, 380v, machine size / dimension 140x160x65 cm, with pneumatic leadframe transport system, digital touch screen control with disk drive, tools, press, vacuumfilter, offloader and security doors

11833 F and K Fitel TRIM AND F TRIM AND FORM MACHINE 1 ASSEMBLY excellent 01.06.2002 01.06.2001 immediately material width max 20 mm, speed max 150 Rpm, 380v, machine size / dimension 140x160x65 cm, with pneumatic leadframe transport system, digital touch screen control with disk drive, tools, press, vacuumfilter, offloader and security doors 7609 F&K DELVO 6400 Gold/Aluminum Wedge Bond 1 excellent 01.06.2002 immediately9953 FAITH TEC RapiTran Wafer Transfer Station 19954 FAITH TEC RapiTran 2 Wafer Transfer Station 18652 FastBid TechFB2000A FastBid Technologies FB20012343 FEEDMATIC VACUUM PACKER 1 200 MM excellent 01.05.2000 immediately6171 FEI Accura 800 Focused Ion Beam (FIB) Mas1 MASK excellent 01.09.2001 immediately9778 FEI Micrion 9500Focused Ion Beam 1 excellent 01.06.2005 immediately11109 FEI Micrion 9500FIB Focused Ion Beam 2 excellent 2 months12112 FEI 850+ upgrad FIB mask repair tool 1 excellent 01.05.2002 currently still installed and running manufacturing10462 FEIN FOCUSMICRO-3D VX-RAY 111745 FEIN FOCUSu-3D X-RAY INSPECTION 19322 FICO TFM-2A Trim and Form machine 1 good 01.07.2002 used to trim & form the IC-leadframe9321 FICO TFM-1A DEDAM DEJUNK MACHINE 1 01.06.200210212 FICO TFM -1A Trim & Form Integration 1 ASSEMBLY excellent immediately9600 FIEN FOCUS FIEN FOCUS X-RAY MACHI 110606 FISCHER SC215G OVEN 1 Isotemp11356 Fischer Scien215G Oven Isotemp. 18482 Fluke 1722A Fluke 1722A Instrument Cont210631 FORMA SCIE2946 WATERBATH 1 poor 22"x31"1558 FORTREND F6225,F622 WAFER TRANSFER 14 150 MM good immediately1557 FORTREND F6000QS 6 INCH WAFER TRANSFER 2 6 INCH good 01.01.1998 01.06.1992 immediately9134 Fortrend Plus 500 Robot Controlled Wafer Trans6 as new

11412 FORTREND F8025-S Wafer Handling 111594 Fortrend F-6350 Wafer transfer 2 150 mm Currently warehoused. Operational when deinstalled

7807 Four Dimens101C Six Point Probe Meter 111641 Fronteer Se FSM-8800 Metrology 1 01.06.1991

9164 FSI ORBITRAK SSI 68/69 18635 FSI Saturn FSI Acid Processor 19679 FSI Aries Surface Conditioning System1 01.12.1998 Tools is crated11324 FSI 1510 FSI chemfill's 1510 (2), chem 211452 FSI Mercury MP 1 5" to 8" excellent immediately11793 FSI Antaries Cryo Clean Hood 1 01.05.2001 Pumps not included with the system12117 FSI Saturn 3 5" and 6" good

1570 FSI * EXCALIBUR Iacid vapour c lean 1 200 mm excellent 01.06.2002 01.09.1995 immediately9736 FSI * Mercury MP Acid Spray Process 1 200 mm refurbished 1 month

8734 FSM 128 Stress 18735 FSM 8800 Thin Film Stress Gauge 1

8447 FTS/Kinetics RC3122BM1FTS/Kinetics System Chiller 110302 Fuji CP6 WE-080Feeders 53 WE-0804 1.3MM 13" REEL. 10303 Fuji CP6 WE-160Feeders 7410304 Fuji CP6 WE-241Feeders 10 WE-2416 5.0MM 13" REEL 11501 Fuji QP242E/ QP Placement System 2 01.01.19996146 Fuji Electron WLK14A10 Clean booth 1 1 excellent6147 Fuji Electron WLK15A12 Clean booth 2 and clean boo1 excellent6149 Fuji Electron WLK27A17 Clean Booth 14 1 excellent6150 Fuji Electron WLK12A8 Clean booth 25 1 excellent6151 Fuji Electron WLK10A7 Clean booth 28 1 excellent6152 Fuji Electron WLK35V12- Clean Booth 33 1 excellent6153 Fuji Electron WLK-24V17-Clean Booth 38 1 excellent6154 Fuji Electron WLk-24A14 Clean Booth 39 1 excellent11866 FUJI MACHI GP-641E screen printer 1 assembly excellent 01.09.1998 immediately6148 Fuji Microele WLK22A6 Clean Booth 5 1 excellent9202 Fujitsu Toho Bin Inspection 1 01.04.1994 wafer s ize 89203 Fujitsu Toho CHiVi-VII Inspection 1 01.11.2000 wafer s ize 81572 FUJIWA TEP175-70 Transfer Mold Machine 175 1 ASSEMBLY good immediately1573 FUSE 200T Transfer Mold Machine 200 1 ASSEMBLY good immediately6861 Fusion M200PCU UV HARDEN 1 200 mm good No CE marking required for this tool7092 Fusion M150PC Irradiator Photostabilzer 1 150mm8646 Fusion M150PC Fusion UV Photostabilizer 29955 FUSION 200PC Photoresist Stabilization Too 1

9956 FUSION AC2 Ozone Photoresist Asher, fo 19957 FUSION M200PCU Photoresist Stabilization Too 1

10518 FUSION M200PC UV BAKE 1 200 MM good 01.01.1989 immediately RESIST CURE7985 Fusion Syst M150 PC photostabilizer 1 100,125,150 mm 01.06.1993 380v/220v, 50hz, s ingle phase supply7986 Fusion Syst PS3 UV HardUV Bake tool 1 300 mm 01.06.2001 Dual chamber,fully I300 verified,0.18 um qualified7987 Fusion Syst PS3 UV HardUV Bake tool 1 300 mm 01.06.2001 Dual chamber,fully I300 verified,0.18 um qualified5227 GAERTNER L116C ELLIPSOMETER 2 150 mm good 01.01.2002 01.01.1991 immediately9958 GAERTNER L115B Ellipsometer w/ Hewlett-Pa 19959 GAERTNER Stokes LSE Ellipsometer, Manual 300MM 16919 Gaertner ScieL116C Variable Angle Ellipsometer 110583 Gaertner St LE STOKES LSE Ellipsometer 1 100MM-300 excellent 01.12.2000

11894 GASONIC A-3000 ASHERS 111912 GASONIC A-3000 Asher 5

1591 GASONICS Dual Multi-Li Robot from L3510 1 N.A. good 01.01.2003 01.01.1997 immediately With Controller P/N 4000C6668 Gasonics PEP 3510A/Csingle wafer downstream mi 1 100mm, 200 refurbished immediately9439 GASONICS LL2000 ASHER 1 6 OR 8 INCHrefurbished immediately9960 GASONICS Aura 1000 Downstream Plasma Asher 111248 Gasonics L3510A Completely remanufactured 1 125-200 mm refurbished 1 month10602 GASONICS AURA-1000 ETCH PLASMA 2 150MM10911 Gasonics A3010 Refurbished ashers 3 8" 200mm refurbished 1 month11067 GASONICS Aura 1000 Downstream Resist Stripper 2 Parts Tool Only10284 Gasonics /N PEP IRIDIA D dual chamber asher 1 200mm excellent 01.04.2005 01.06.1997 immediately5857 Gatan 645 precis ion ion milling system 1 excellent immediately For thinning of TEM specimens, complete with imaging system, eucentric goniometer stage & auto termination. The vacuum system includes an air cooled Leybold Model TMP360 turbomolecular pump rated at 345 l/s w/6" OD conflat inlet & NT150/360 controller, an Alcatel 2008A, 7 CFM- direct drive backing pump and a combination ion & two position thermocouple gauge7236 GCA DWS 8500R Stepper 1 150 mm good 01.02.1990 immediately10635 GCA 6300 1425 5 x i-line stepper 1 good 01.06.1985 immediately9961 GCA/TROPE9000 Surface Flatness Analyzer 110729 GD TAKATORI 2 FAB 210617 GELAIRE FL AIR, G CHEMICAL HOOD AND TAB 1 01.06.19891594 Gemini MODEL II EPI REACTOR 2 150 MM good 01.06.1999 immediately12014 Gemini 1 W/Huttinge RF heated Pancake EPI rea 212050 Gemini Gemini A/GemGemini II RF heated Pancake211860 GENERAL P DFGS2 PEELBACK FORCE TESTER 1 ASSEMBLY good 01.06.1996 1 month10513 Genesis Micro Star 2 Silation CVD Tool 1 200 MM good 01.09.1996 immediately8502 Genmark Aut4 Genmark 4 Robot Arm 39251 GENUS 6010 CVD 1 01.11.19939252 GENUS 6010 CVD 1 01.07.1993

9324 Genus Lynx 2 Mainframe with ALD Chambe1 01.06.200012116 Genus 8720 CVD Reactor 1 200 mm good 01.06.1988 immediately11875 Germfree LabSC-2 Purifie Scrubber System for drypum1 FACILITIES good 01.06.2001 immediately8619 Giga Test La N/A GTL (Giga Test Labs) 4040 P111146 Glenbrook RTX-113 BG Inspection System 1 as new11082 GPM BQ01 GPM Trim & Form 69463 GRAPHTEC AT3500 LASER Doppler Vibration Met1 01.07.19969772 Grieve VK220 VACUUM OVEN 19216 GSI Lumonic M325+ Repair 1 01.12.1998 wafer s ize 89215 GSI Lumonic M320FA Repair 1 01.11.1998 wafer s ize 810597 GSI LUMONIWAFER MARK Laser Marking System 1 150MM, refurbished 01.03.1989 2 months10723 GSI Lumonic HM1500 Backmark Laser 111496 GSI Lumonic SVS8100 Solder Paste Inspection 1 01.01.199511874 GSI LUMONI4100 wafer marker 1 6 inch as new 01.06.2002 immediately11907 GTX hood FAB SUPPORT 211097 Hakuto Raith150 16106 Hakutoh TPH-2200 Turbo Molecular Pump 1 excellent w. controller6107 Hakutoh TPH-2200 Turbo Molecular Pump 1 excellent8499 Hamamatsu PPhemos-200Hamamatsu Phemos-200 The1 immediately Hamamatsu Phemos-200 Thermal Emission Microscope. The Phemos 200 can detect photoemissions generated as a result of a bremsstrah lung or the recombination of electrons in a wafer. Semiconductor Equipment. 9962 HASKRIS R033 Recirculating Chiller, Air Co 19963 HASKRIS R550 Recirculating Chiller, Water 19059 HBr Air Liquide HBr gas distribut 16499 HBS Mark II automatic electroless palladi 1 01.11.2002 immediately8523 HDM ? HDM 3Step Wet Bench 111982 Helix TORR8 Cryo pump 210312 Heller 1700W Convection Oven 0 01.01.199711140 Heller 1500N Full Convection Reflow Ove 111245 Heller 1700W Model 1700W (21.5" Wide Ve1 21.5" Wide V excellent 01.12.1997 immediately9051 Hewlett Pac Design Jet 2 plotter 2

9965 HEWLETT P 16500B Logic Analyzer with 2ea 540 18432 Hewlett Packa16500B HP 16500B Logic Analysis 1 TEST8473 Hewlett Packa4145A HP 4145A Semiconductor Pa28475 Hewlett Packa16500B HP 16500 B Logic Analyzer 18479 Hewlett Packa54720D HP 54720D Oscilloscope 18488 Hewlett Packa54510A HP 54510A Digitiz ing Oscill 18537 Hewlett Packa4145B HP 4145B Semiconductor Pa1 HP 4145B Semiconductor Parameter Analyzer, s/n#2608J00689, 270va max, 48-66Hz. The 4145B Semiconductor Parameter Analyzer is a stand-alone Instrument capable of complete DC Characterization of semiconductor devices and materials. It stimulates voltage and current sensitive devices, measures the resulting current and voltage response, and displays the results in a user-selectable format ( Graph, lis t, matrix or Schmoo) on a built-in CRT Display. Semiconductor Equipment

8558 Hewlett Packa4195A HP 4195A Network/Spectrum18585 Hewlett Packa54542C HP 54542C Oscilloscope 2 18185 HIRAYAMA PC305S III AUTOCLAVE WITH PREHEA 1 FACILITIES good 01.01.1995 immediately9601 HIRAYAMA PC305S III AUTOCLAVE OVEN 17900 HITACH-DE H1300 TESTER 1 01.09.1986 MEMORY TESTER6868 HITACHI S7000 CD SEM 1 125 MM1608 HITACHI S6280H CD-SEM 1 200 mm good 01.11.1998 01.12.1995 immediately9234 HITACHI S-8820 CD- SEM 1 01.11.19968740 Hitachi 4500 FE Sem 19168 Hitachi S8820 SEM, refurbished and install 1 refurbished10317 Hitachi S-6100 CD SEM 1 1508908 HITACHI PCV-14 CLEAN BENCH 16851 HITACHI 308 METAL ETCHER 1 200 MM immediately5622 Hitachi S8820 CD-SEM 2 excellent 01.06.1996 immediately5735 Hitachi S4500 FE SEM with type 2 inspecti 1 150 mm excellent 01.01.2004 01.01.1995 immediately5896 HITACHI IM-2.5-7 Ionmilling Equipment 1 01.06.19975903 HITACHI M-206A- II Etcher 18808 HITACHI M-206A II ETCHER 1 excellent9268 Hitachi S8820 SEM 1 excellent immediately7901 Hitachi HI300 TESTER 1 01.09.1986 MEMORY TESTER9966 HITACHI S-6000 Field Emission CDSEM, 2ea A19967 HITACHI S-7000 CD SEM Measurement Tool, 2110315 Hitachi IS-100 Wafer Inspection Equipment 110393 Hitachi S4160 FE SEM 1 excellent10712 Hitachi S-6100 Scanning electron microsco 1 150mm10714 Hitachi M-216 Polysilicon etcher 3 150 mm11414 HITACHI 8820 Lithography 1

11456 Hitachi S-7800 EWS Scanning Electron Microsco 1 200 mm good 01.06.199711524 Hitachi S5200 FE SEM 1 excellent 01.06.2001 immediately

11603 Hitachi S6200H/S660SEM 2 150 mm11727 Hitachi S8820 CD-SEM 1 200 mm good 01.12.2005 01.06.1997 immediately11736 Hitachi S8820 CD-SEM 1 200 mm excellent 01.06.199611759 Hitachi S 4500 Microscope 111767 HITACHI 308 METAL ETCHER 3 200mm11773 HITACHI 308 Etch 111908 HITACHI S9220/S-926CD SEM 211978 HITACHI F-3000 Spectrophotometer 112015 Hitachi 6200H CD SEM #2 with pumps 1

Laser Unit : width 177 cm ,depth 150 cm, height 130 cm.VME 1230 DIG OUT

Set Size 125 mm

STAGE BEARINGS

STILL INSTALLED, MOTHBALLED IN FAB, POWER OFF.FUNCTIONAL WHEN SWITCHED OFF.

Accessory Box 1 and 2 WDF.SC. X=0.04 Y=0.05RETICLE ROTATION 0.071ppm

Environmental Enclosure P rovided YES

6-Pocket Telemark gunRebuil t DP w/new heater

In ori ginal packaging materials.Unused.

Implanters: Eaton Nova 10-160

Feeder for MSH=70pcs. ea. MPA=20pcsVery good running condition. Very good looking.Very good running condition, very good looking.FOB

includes bench.

130KVA Tube hour's usage: apprx 500hrs 120V, 60Hz, 20AMP 130KVA Tube hour's usage: apprx 500hrs 120V, 60Hz, 20AMP

Probe/Jan Jandel Cartridge Probe (Types A,B ,C,D)

Interface:GPIB,PC Aries 550MHz P3 Desktop

* Kalos Seri es Reference ManualSome new spare ci rcuit cards**Cont roller Rack is missing**6.PC Aries 550MHz P3 Desktop

Tool status:DownCompressor is a Model 8200Also includes 3m f lexible hosing

These pumps are f rom and for Ion Implantation Equipment!With ANSI f lange and HVPG Temperature Measurement

1,047,596 KB RAM KS50 software 2.7.0.65 Includes 20Gram Load Cart ridgeVideo Monitor

Dest ruct /non-dest ruct test ing. 110V, 50/60 Hz. * Destruct or Non-Destruct Test ing Power Requirements 110 V 4.5 A 50/60 Hz

Zoom Rangr 10x-70xTwo Nikon 10x eyepieces

Other Informat ion CDA Airpreassure consumtion 45 normliter/min N2 60 normliter/min 700 N/sqm Exhaust -300 Pa, 2,7 cum/min Backexhaust -300 Pa, 1,4 cum/min Hight with tower 1571 cm Original the system was made for SOG, with a conversion kid it was changed in to coater for thickresist. NTC: 4823

rinse tank. sil icon wafers.

UPSUPS

Functional Unit Stored and Wrapped Including DA 25 Temperature Cont rollerPAF Board LoaderCE Marked

o Manualo Shrink wrapped

4 systems operational in product ion, 1 is backupFull set of spares also avail able for sale

Tool status:downDespatch 10.Tool status: DownTool status:down

CONDITION COMMENTS Current ly warehoused. Good condit ion

Used with NaHCO3 1mM and Na2CO3 6 mM solut ions.See specifications attached.dimensions 130 x 95 x 65 cm, weight 50 kg

Includes 1500 VA UPS SystemType: EAUH-403900 Sn:H531-018Functional when crated.

No non contact sensor (parts available)No broken Bl ade detector (parts available)

ManualsSet up for 6" f. Stainless Universal Chuck table g. Input Voltage : AC200V 3Phase 3Wire c. Duct Fan

Singl e spindle

9) Hepa Filter - Nofacili ty

System was used for resist strip. Decontaminated and placed into storage on 1/29/96

They do not have a T&H unit, as i t was centralized with a SEMIFAB Unit in the Fab. - 2 Coater + 2 Developer System . And it has WEE (Edge Removal System)

Constant Temperature Reservoir : 10l max. 40-70 degrees C.

# Chemical Canister S torage Cabinet# AC Distributi on BoxYear of Manufacture 1992

BubblingPosit ion 9: IPA Vapor Dryer

AC Distribution Box

track (3 track cabi net), 150mm wafer coat /develop system.Currently warehoused. Operational when deninstalled.Di mensions: 1100 x 1500 x 1900mm (WxDxH) (43.3" x 59.1" x 74.8") Power: 100V 50A or 200V 50A

CONDITION COMMENTS Current ly warehoused. Excellent condict ion

facili ty

Di recti on - Lef t to Right

INCLUDES EDWARDS E2M40 VACUUM PUMPpower 30a 208v 3 phase at 60hz

Board Loader w/Edge Rai lsSpare Parts

12 pieces not on pal lets

FastScan System, Clampless Disks, Autoclean, 1986 vintage.

150mm "super disk" SD endstation. 160keV energy. CONDITION COMMENTS Current ly warehoused. Operat ional when deinstalled Can be inspectedThe smif arm is bolt on. The smif indexer is integrated.Can be inspectedThe smif arm is bolt on. The smif indexer is integrated.Can be inspectedThe smif arm is bolt on. The smif indexer is integrated.

# - #B Yes # - Manual s : Operati on & Maintenance 3 phase 208V 60Hz 3.7 KWShipping di mensions 81 x 126 x 122 cm (h)Di mensions 85 x 48 x 90 cmsuitable for use with Eaton ImplantersSuitabl e for use with Eaton GSD implanters

DI Rinse 208VAC, 110VAC - 50Hz, 3 Phase

QF 25 flangeFluorocarbon diapragmsold as is.Used for Anneal and CVD HT Oxidat ion processUsed for Anneal and CVD HT Oxidat ion process

V=208V 3 phase 50 Hz 1991, 6.8 KVAProcess used: WCVDProcess used: WCVDdimensions: 120 x 62 x 130 cm

Gases used SF6,CH4,He,N2,O2Includes breaker boxOn stand dimensions 110cm x 80 x 140 cmHydrocarbon oil typeOn stand dimensions 110cm x 80 x 140 cmHydrocarbon oil typeOn stand dimensions 110cm x 80 x 140 cmHydrocarbon oil type100 x 80 x 140 cm

Di mensions : 97 x 41 x 57 cmRefurbished by Edwards.50/60Hz versionDi mensions 40 x 80 x 52 cmWeight 223 kgDi mensions 70 x 50 x 75 cmWeight 223 kgDi mensions 70 x 50 x 75 cmRefurbished by Edwards.Just the pump, no frame.Weight 223 kgDi mensions 70 x 50 x 75 cmCode number A462-11-000S/N 5343508Complete with AC Supply CabinetMK 11 Controll er and CablesOn Pal let 76cm x 114cm x 100cmMK 2 Cont roller and CablesGate Valve 0638Gate ValveDi me: 45cm x 100cm x 100 cm

Locat ion: Avezzano (AQ) 66051 ItalyThe i solat ion valve assembly shown i n the photos is al so available for sale.Locat ion: Avezzano (AQ) 66051 ItalyThe i solat ion valve assembly shown i n the photos is al so available for sale.Locat ion: Avezzano (AQ) 66051 ItalyUsed for Anneal and CVD HT Oxidat ion process

Used for Anneal and CVD HT Oxidat ion processsold as is.Used for Anneal and CVD HT Oxidat ion processsold as is.Used for Anneal and CVD HT Oxidat ion process7.5 kva max.210 l/h, N2 60l/h

Inner Dimension 70mmOuter Dimensi on 130mmOuter Dimentions 16cmInner Dimensions 10cmInner Dimensions 70mmOuter Dimensi ons 130mmInner Dimensions 70mmOuter Dimensi ons 95mmQDP 80 s/n 9826Includes edwards gate valve model GVI100PPUMP QDP 80GATE VALVE VARIAN MODEL L8560-303Gate valve varian L8560-30345 CM X 100 CM X 100 CMDi ms 42cm x 100 cm x 180 cmWeight: 340Kgs105cm x 45cm x 80cm On Pal let : 76cm x 114cm x 100cmDi ms: 87cm x 44cm x 60cm

Including Gate Valve 100MEdwards 1400 cont rolerIncluding Gate Valve 063M

Located in the USA.Automat ic 8" Prober with Top Plate or Ri ng Carri er with Mounting Hardware for a Teradyne J997 Tester . Hole Opening 13" or 33,2nm O/S Revisi on: 6.02CE MARK

Di sk Assembly, PC Y2K 3 CCD Camera EPROM Assembly Assy, HC HFZLC NI 115V-4090 = 266942-002 System configured for a Teradyne 996 Tester with EG Manipulator and Bottom Probe Card C

EQUIP PRE ALIGNER MODEL PRE-300 CE s/n PRE1000ROBOT CONTROLLER ATM-FPD-400/500

Temperatures used RT to 400 deg CGas connect ions: O2, N2, CF4, SiH4, N2OLocated in the USA.Includes the tool and all it s partsVoltage: 380v 3ph Incline ent rance conveyor: Yes

The DI plant is running at 3000 Litersl/hour, capaci ty for 5000 L/Hr, it is currentl y used to suppl y >15MOHM quality to 5 Dicing Saws.

Need to put on a skid

refurbi shed, 90 day warranty, lead 2 to 3 weeks2500W, 13.56Mhz into 50 ohm load, 25p D-Sub analog customer interface, RF Output connector HN type, Water Temp 5 min - 35 max Degrees Celsius, 2 Gallons per minute or higher, Less than 70 psi water pressure, Three phase, 50-60hz Factory set for 208VAC, Power taps for 190/208/220/230/240 +/-5%

Compl ete w/control unit /software/documentation(was used only for test ing).Maximum board size of 300mm x 250mm . Ni trogen A tmospherewi dth max. 500mm, L->R * Vert ical I lluminatorsVISUAL BOX INSPECTIONAGES 1993, 1995, 1997

Communications: RS232, SECS/GEM I & II

Installed and inplace Good working conditi on

vintages 1999 and 2000

Ready for Demonstrat ion.

6.4 EXHAUST: TWO 7 INCH DUCTS TERMINATED UNDER FLOORCondit ion: Needs laser head. Needs robot . Laser diode new.

* Avail able for immediate shipping* Avail able for physical inspecti on

- HP-UX - HP-UX Chamber 60cm x 60cm x 60cmtemp 20C to 200C

Essemtec Expert Manual P ick and Place. Solder Paste / Glue Dispenser

Dark and light channel system*Dark channel is for detecting particl es and any light scattering

part amount OEM parts number UV-Lampe 500W ARC 20 14030107 SM BOARD 2 PHASE 1 12030039 SM BOARD 5 PHASE 1 12030040 PNEUMATIC IF CARD 13799 1 12030041 CLOCK GENERATOR BOARD 1 CKGEN INCREMENTAL ENCODER BOARD 1 INC3 STEPPING MOTOR UNIT 1 SMMU4 DC MOTOR CONTROLLER 1 DC3-4 OMRON WAFER CASSETTE SWITCH 2 12025023 O-RING 3X2 ALIGNMENT STAGE 4 11170005 O-RING 45X2 ALIGNMENT STAGE 4 11170016 MICROMETER SPINDLE X,Y,THETA 1 11040001 READ END SWITCH OM/34/2 1 12025002 LIMIT SWITCH OUT 1 11110011 MICRO SWITCH STAGE 4 12025003 REED SWITCH STAGE 3 12029055 END SWITCH QM/34/P STAGE 3 13050024 CABLE M/P34614/5 STAGE 1 13050026 stepper motor ZSS 33-200-1,2 1 10006094 bellows coupling 12x23,6 1 100118306

Deinstalled and wrapped.PE4122 QTY 2TTC81 TRAIN SELECTORSmall f ield part icle wafer exposureLaser - Lambda Physik NanolineManufactured i n 1987. Chamber needs decontaminat ion & electropoli shing.

Appli cation: Clear and opaque defect repairs on binary (Cr) and halftone (MoSi) masks. Specificati ons: Min. feature size: 500nm, Edge placement: +- 50nm , Repair site t ransmission: +- 10%. Current tool status: Up, in production, service cont ract unt il 09/04. Crated, in staorage.In excellent condition.

The tool has the foll owing options: - Stackmagazine - input - Stackmagazine - output - Trayoutput - for T&F / / D/D capable - no visi onsystem

HBAR PA182-60MJ WAFER LOADER X3,50 SLOT AND 25 SLOT TRANSFER, MANUAL AND AUTO,PLASTIC TO QTZ AND QTZ TO PLASTIC, 200VAC,3A,65 PSI CDAWarehoused at Avezzano, I tal y

*Registered t rade mark of FSI Internat ional.SDI is not an authorized resel ler of FS I products.*Trade Marks of FSI International Inc.SDI is not an authorized resel ler of these products.

nozzle changer MTU-7E Sti ll in production

208VAC 3Ph 21AmpsSoftware Ver 4.4

Includes PC and Ellipsometer sof tware.See at tached photos showing PC in operation.

Refractive Index: ±0.002 over most measurement range

* Damage-free, downst ream microwave source* Multi-mode wafer handling: parall el, sequent ial, and i ndependentREAR ACCESS WITH CONTROL PANEL FOR SERVICINGSYSTEM REFURBISHED TO MEET OEM SPECS.

Transfer 200 wafers using N2 plasma process without errorWarranty

SMIFd or no SMIF, your choice.Unheated chuck.

Includes Elect romagnet ic Leakage Moni tor and other tool setup equipment

Micro Manipulator Platten (MMP) Pin Type Wafer Chuck. Serial # 1432

Light Source: HeNe Laser Dimensions: 23H x 181/2W x 101/2D � �

8. One dopant source 9. Each Gemini is fitted with qty 2 bell jars.

"GMP" Peelback Force test ing machine cover-carrier , 115v, 3 ampSINGLE ARM ROBOT WITH CONTROLLER

Inspection available by appointment .Was originally owned by CNET, France.Complies with NATL UL 1262

Focal Spot to Image Plane Distance: 4-6 inches X-ray Act ivation Mode: foot pedal or ti mer switch

(lot 63067)Di gital cont rol

3-5 gpm Cooling Water Flip chip automated backmark laser system. Details on request. Warehoused. Operational when deinstalled.

-currentl y running 6 i nch wafers-can be demoed

The processing steps are as follows:Load, Unload, Zincate, Dump Rinse, Nitric Acid, Dump Rinse, Zincate, Dump Rinse, Electroless Paladium, Drag Out, Dump Rinse, & Hot Air Dry.

Machine comes with mesh and edge rails Used successfully for Lead-Free product ion. Status - Current ly i n Active Production * E-Stops* Very well maintained

Pumps-2 stage Edwards E2M quantit y 2Turbo pumps- Seiko Seki STP-301H qty 2

Installed30-day warranty

Installation available.? Signal processing: Automatic brightness and contrast, Gamma control, Dynam ic St igmatormonitor, Autofocus, Auto-sti gmator

With demonstrated performance. Installation and warranty is extra. CD SEM used with 150mm wafers. CONDITION COMMENTS Current ly warehoused. Column & stage secured prior to move. Operat ional when deinstalled. Polysi licon etch process.Currently warehoused. Operational when deinstall ed

magnificat ion up to 200.000, resoluti on 5 nm, cold f iel d emmission as electron source, defect review software not istalled, interface: RS232 and ethernet, size of main unit: 1255x1600x1900 - 2000kg, size of control unit: 600x1360x1800 - 320kg, size of power unit: 600x600x1500 - 200kg, two roughing pumps necessary (not included), documents: instruction manual, user manual, CE-declaration W-5030 is at tached.UPS is includedCurrently warehoused. Operational when deinstall ed Option: SECS Communication Funct ion, Dry Pump IF Unit (Ebara)�I. Power transformer: N/AIts located USA. �Vintage 1993/94

Page 3: [XLS]snf.stanford.edu/piperma ··· ment.xls - Stanford ...snf.stanford.edu/pipermail/specmat/attachments/20121223/... · Web viewlifting frame with remote control, 18 different

10958 HITACHI HI 308 Etch 111948 HITACHI HI 308 METAL ETCHER 1 200mm11949 HITACHI HI 308 METAL ETCHER 2 200mm7230 Holon ESPA-21 CD-SEM 1 5? 01.12.199812059 Holon ESPA-21 CD SEM 1 5 inch 01.12.199810477 Hoppmann FR-15 Hoppmann Centrifugal Feed 1 01.06.19976249 HORIBA PD3000 RETICLE / MASK PARTICLE 1 150 01.05.1992 it is capable of pelicalized retic les inspection and it is for 5" plates3266 HOTCHUCKTP0301D CV PLOTTER 1 TEST good 01.07.2003 01.08.1995 immediately1621 HP HP54120 Digitiz ing Oscilloscope 1 ASSEMBLY good immediately1620 HP HP5328A Universal Counter 1 TEST good immediately5224 HP 5890 series IGas Chromatorgraph 1 Facilities good 01.08.2003 01.08.1995 immediately5824 HP 5971A Mass Selective detector an 1 Facilities good 01.08.2003 01.08.1995 immediately

7711 HP HP4062UX Tester 17712 HP HP4062UX Tester 1 01.06.1994

9647 HP 30A Power Supply 110449 HP 3577A Network Analyzer 1 TEST excellent immediately

10450 HP E 4916A CRYSTAL IMPEDANCE MET 1 TEST excellent 01.06.1997 immediately10452 HP 5335A UNIVERSAL COUNTER 1 TEST excellent immediately10544 HP 4261A LCR METER 1 TEST excellent immediately10546 HP 10833A HPIB Interface cable 5 TEST excellent immediately10547 HP 10833D HPIB Interface cable 1 TEST excellent immediately10953 HP 4062B Test System 1 Semiconductor Parametric 11763 HP/Agilent 93000 HP 93K SOC Tester, 1024 c 1 01.06.2002

9118 Hughes 2470-III Aluminum Wedge Die Bonde 1 assembly excellent We just received in a Hughes Aluminum Wedge Die Bonder from a purchase we made. the unit was serviced and upgraded by the factory 18 month agoit is a Hughes 2470-III Aluminum Wedge Die Bonder and has accessories in the drawer and a set of manuals, the system looks great. 8494 Hughes WD-8702-0 Hughes Thermosonic Ball B 110598 HUGHES HTT-650 Reflow Solder 15641 Hughs Emergency shower and eye 2 Two Hughs Emergency shower and eye wash stations9040 HUGLE ELE HS-1810 WAFER EXPANDING MACHI1 ASSEMBLY good 01.03.2001 immediately11798 Hull Custom Hydraulic Moulding Press 1 ASSEMBLY fair 01.06.2002 01.06.1985 immediately Capacity 100 t, table s ize 400x440 mm, 380v, with electric and control cabinet, light, safety glass, production SOT 223 8586 Huntington M17-74166-0 Huntington 150MM Heater Pl 18595 Huntington M19-109388- Huntington 150MM Pinned, S 18602 Huntington M19-027010- Huntington 150MM w/CER Ba13134 Huttinger Ele PFG 1500 D DC generator 1 FACILITIES excellent 01.01.2001 VAS 3136 Huttinger Ele PFG 600 RF RF generator 1 FACILITIES excellent 01.01.2001 immediately VAS 3138 Huttinger Ele PFM 1500 A Cathode 5 FACILITIES excellent 01.01.2001 immediately VAS7865 Huttinger El PN: 0139823RF-Drive-Amp 1 as new immediately5226 HYPERVISIO VISIONARY Emission Microscope 1 200 MM good 01.10.2002 01.10.1995 immediately11424 Hytek Platics Ultrasonic bath system 48441 ICE AC-7.5-D-S- ICE 10 Ton Water Chiller 1 FACILITIES1623 ICOS LEAD SCAN MANUAL LEAD SCANNER 1 ASSEMBLY good 01.04.1997 immediately located in ireland11470 ICOS LI-7250 S Lead scanner 1 01.07.199611674 IDI Point-of-use buffer cabinet 18445 IEI N/A IEI Pads Phase 2 Pretreat Sy 110446 ILX Lightwav LDC-39163 LDC-3916376 Laser Diode C18937 IMAI no3084 CLEANING EQUIPMENT 1 01.06.20008152 IMS ATS 2 MEMORY TESTER 1 200 MM good immediately9547 IMS ATS 1271 MEMORY TEST 2 TEST good immediately11223 IMS ATS IMS Tester 1 (Full System)11224 IMS ATS IMS Tester 1 (SPARE SYSTEM)9152 IN HOUSE S TTU-31 TTU LOOPE TESTER 18942 INFICON IC/5 CRYSTAL OSCILLATION FIL 310672 INFICON Film thickness sensor 1

10673 INFICON I/C 5 Film thickness monitor 19153 INFORM 1X K410 G40 UPGRADE 111508 Innolas IL C 3000 D Laser Marker 1 300 mm 01.01.200010214 Innolas Gmb IL C 3000 D Wafer Scriber 1 300mm good 01.06.20028647 Innotec DS-28C Innotec DS 28C Sputter Syst 18648 Innotec VS-26C Innotec VS 26C Sputter wit 16431 Innovation Instruments IN Remanent Moment Magnetom1 01.02.1997 immediately6432 Innovation Instruments IN Remanent Moment Magnetom1 excellent 01.02.1997 immediately6433 Innovation I RMM-51 Remanet Moment Magnetome1 excellent 01.01.1995 immediately Power 110~120V 50/60Hz 4.0A2689 InOmTech Compel Ellipsometer 1 Laboratory good immediately8639 Inspex TPC 8525 Inspex TPC 8525 Patterned 3 excellent immediately9258 INSPEX CORTPC-9000 W/F INSPECTION 1 01.05.19979246 INSPEX CORTPC-8520 WAFER INSPECTION 1 01.07.199410752 INTEGRATE IC-2000 LEAD STRAIGHTENER, PIN 1 9861 Intelligent IOI-6000 PWB inspection system 1 excellent 01.05.19987844 INTERCON MA-3700 1 15.11.199611446 International Fabricators 1 01.05.199710603 INTL PLASM PM1813 ETCHER, PLASMA 110604 INTL PLASM PM1813 ETCHER, PLASMA 19970 IONIC Stressgage Wafer Film Stress Tester, 2e 112016 IPC Branson L2101 Barrel asher W/ wet pump 16506 IPEC 472 Wafer Polisher Oxide 0 6" good immediately Condition Fair (Novascan thought to be faulty)�6507 IPEC 472 Wafer Polisher Tungsten 1 6" good immediately6564 IPEC 472 Tungsten CMP 1 150mm excellent 01.09.1996 immediately9422 IPEC #676 CMP, Oxide 112104 IPEC 676 CMP SYSTEM 16756 IPEC/Speedf 676 CMP Polisher 1 200 mm excellent 01.03.2005 01.06.1998 immediately6757 IPEC/Speedf AVANTGAARTungsten CMP Polisher 1 200 mm excellent 01.03.2005 01.06.1998 immediately single s ided polisher, casette to casete6875 IRVINE OPTI AF4 VISUAL INSPECTION STATI 5 125 MM AUTOMATIC OBLIQUE LIGHT INSPECTION STATION9971 IRVINE OPTI Ultrasort 606Robotic Bar Code Reader/Wa1 good immediately Located in USA9972 IRVINE OPTI UltraSpec Wafer Inspection Station wit 1 01.05.19949974 IRVINE OPTI UltraStation Wafer Inspection Station with 111069 IRVINE OPTI UltraSpec III Wafer Inspection Station 12706 ISAMECA MP600 TAPE AND REEL FOR FLIP 1 ASSEMBLY good 01.01.1996 immediately STILL IN SERVICE AND CAN BE DEMOED11838 ISAMECA CP 143 TAPE TESTER 1 ASSEMBLY good 01.06.2002 01.06.1985 immediately tape width max 8 mm, speed 16, 220v, 7 amp., 50 hz, with "Eberle" display control, "Techomat" Pol. Tester 11839 ISAMECA CP 166 TAPE TESTER 1 ASSEMBLY good 01.06.2002 01.06.1987 immediately tape width max 8 mm, speed 16, 220v, 7 amp., 50 hz, with "Eberle" display control, "Techomat" Pol. Tester

11840 ISAMECA TMBR NL TAPE TESTER 1 ASSEMBLY good 01.06.2002 01.06.1988 immediately tape width max 8 mm, speed 16, 220v, 7 amp., 50 hz, with "Eberle" display control, "Techomat" Pol. Tester 11841 ISAMECA TMBR NL TAPE TESTER 1 ASSEMBLY good 01.06.2002 01.06.1988 immediately tape width max 8 mm, speed 16, 220v, 7 amp., 50 hz, with "Eberle" display control, "Techomat" Pol. Tester 11545 Ishii Tool & E CS-713 Cut & Separate Machine 1 01.10.199911466 Ismeca TMBU-GR Tape & Reel Machine 1 01.12.199511834 Ismeca CP 773 TAPE TESTING MACHINE 1 ASSEMBLY good 01.06.2002 01.06.1991 immediately tape width max 8 mm, 8 heads, 220v, 7 amp., 50 hz, with "Eberle" display control, "Lumonics" Lasermark system, c lass IV, output 8 o, duration 1-30 11713 ISO-TECH IPS 2303DD Laboratory DC Power Suppl 4 TEST excellent immediately Dual Tracking with 5V Fixed Power Supply11589 IVI Vacuum evaporator 16758 IVS ACCUVISI 8000 1 150 mm good 01.03.2005 immediately includes computer11662 IVS 100 Overlay measurement 1 150 mm3148 Iyama AS4311U + P17" TFT LCD Touchscreen m1 FACILITIES as new 01.01.2001 VAS3150 Iyama AX3817UT 15" TFT LCD monitor 1 FACILITIES as new 01.01.2001 immediately VAS6435 J A Woolam HS-190 Ellipsometer 111642 Japan Micro SP-900B Prober 18600 Jasco, Inc. HT-16M Jasco HT-16M Trench Depth19544 JENOPTICS ERGOSPEEDSMIF LOADER 2 200 mm new unused 01.06.1998 immediately9230 Jenoptik 200LPT 2 Right handed, in excellent condition9231 Jenoptik Ergospeed 2 4 Right handed, in excellent condition9232 Jenoptik Ergospeed 2 4 Left handed, in excellent condition9088 JEOL JWS 7500E Visual Check SEM 2 8 inch good 01.05.1994 immediately2614 JEOL JSM-840 SEM 1 200 MM good immediately6065 JEOL JSM-5200 SEM 1 excellent 01.05.19969352 JEOL JST-16F EB Power Supply 2 9741 JEOL 7500E SCANNING ELECTRON MI 1 200 MM excellent 01.06.1995 immediately Wafer Inspection System featuring... -Oxford EDS detector -Max Accelerating Voltage: 6KV -Cable length: 15m between operation console and power supply (5m between Main Console and Operation Console) -Parralax image software to save images (vs. printing) -Tilt: -15 to +60 degrees -Rotation: 360 degrees continuous -EOS resolution: 10nm -Stage accuracy: +/- 10µm -Stage configuration: 8 Vintage: 1995 Location: USA Condtion: Excellent **Item is crated, but crates can be opened if needed for inspection**�9976 JEOL JWS-7515 SEM Based Wafer Inspection110215 JEOL JWS 7515 Jeol 1 good 01.05.199610590 Jeol JEE-4P Evaporator 110835 Jeol 5800LV SEM with an Oxford Link ISIS 1 8" 01.04.1996

11587 Jeol JSM-6300F/ SEM 29627 JLSI IPS8653D TEST HANDLER 1 good Input Module: Auto elevator tray Output Module: 1 Auto elevator tray,4 fix tray Temperature Capability:Ambient/Hot Temperature Range: 25 Deg C to +130 Deg C Contact Site:Single Package type:Bga/Qfp/Pga. Vis ion capablity:Lead inspection module (in-house) 6500 Jmar Lumina L181Video Coordinate Measurem1 01.11.1999 immediately5895 JOEL UJC-5000 Sputter 1 01.06.19898803 JOEL JUC-5000 SPUTTER 1 excellent6584 joel jdlm6602 laser microscope 1 01.02.19968939 JOYO ENGINEERING GLASS SCRIBBER 1

11601 JST ManufactJST7200 Solvent wet s ink 18685 Juki CorporaKE-760 Juki KE-760 Mounter 1 SMT8684 Juki CorporaKE-750 Juki KE-750 Mounter 1 SMT11567 Julabo FC 1000 S/2 Recirculating cooler 1 01.11.19939774 JVC TM-1700 COLOR MONITOR 17010 k & S Nano Grind Back Side grinder 1 200mm9844 K & S 1488 Plus Wire Bonders 143 Quantity of 143 available9845 K & S 8020 Wire Bonders 5 Quantity of 5 available10913 K & S 8028 PPS Gold ball bonder 1 excellent5736 K and S 4526 bench top bonder 1 assembly as new 01.09.1998 immediately8431 K&S 1470-4 K&S Wedge Bonder 1470-4 1 ASSEMBLY5718 K&S 1488 PLus Wire Bonder 15 Assembly 01.07.19957611 K&S 6496 K&S 6496 AG DIE ATTACH 17613 K&S 1488 K&S WIREBONDER 29766 K&S 4123 WEDGE BONDER 19978 K&S 6497 Semi-Automatic Flip Chip Di 19979 K&S 1419-3 Automatic Hybrid Wire Bond 19980 K&S 1470-4 Automatic Hybrid Wedge Bo 1 hhh9981 K&S 1470-4 Automatic Hybrid Wedge Bo 110601 K&S 4122 BONDER 111465 K&S 1488 Plus Wire Bonder 3 Quantuty 3 units available12114 K&S 8028 Bonder 5 01.05.199612115 K&S 8028PPS Bonder 1 01.05.19963018 KAIJO FB-118A Wire Bonder 4 ASSEMBLY 01.10.1995 immediately3025 KAIJO FB-118A Wire Bonder 1 ASSEMBLY 01.03.1994 immediately10535 Kaijo FB-128A Wire Bonders 7 01.05.199511543 Kaijo FB-128C Wire Bonder 7 01.02.199711544 Kaijo FB-131T Wire Bonder 8 01.07.1998 YEAR OF MANUF MAY VARY.12069 Kaijo FB-128A Wire Bonder 7

1657 KAIJO DENKIFB-118A Wire Bonder , Gold Ball 1 ASSEMBLY good immediately1656 KAIJO DENKIFB117A Wire Bonders 5 ASSEMBLY good 01.01.1990 immediately6577 Kaijo Denki FB 118 C WIRE BONDER 10 TEST good 01.06.2004 01.06.1995 immediately DEINSTALLED, WAREHOUSED, 10321 Kaijo Denki FB118C Ball Wire Bonder 3 01.01.199511464 KAIJO DENKIFB 118A Wire Bonder 1111872 Kairos T7210 Downstream Plasma Asher 1 125 to 200 new 1 month10456 KAKIZAKI Body - KTB- FRONT OPENING SHIPPING011864 Kanken Tec KT-1000M Exhaust Gas Abatement Equ 1 FACILITIES excellent 01.01.1998 immediately8676 Karl Suss MA 56 Karl Suss MA56 14915 KARL SUSS N/A Thermochuck Controller 1 2006470 Karl Suss MA6 / BA6 Mask Aligner 1 100 mm good 01.01.1997 immediately8204 Karl Suss MA6 ALIGNER 1 150 mm 01.05.2002 6 months8201 Karl Suss Falcon COATER/DEVELOPER 1 4 INCH 01.08.2002 Dissassembled by Karl Suss, in c lean room, all functions okay before shut down, original price 1.25m usd9982 KARL SUSS RA120M Wafer Scriber 111540 KARL SUSS FC250 FLIP-CHIP BONDER 2 ASSEMBLY excellent 01.05.2000 immediately11220 Karl Suss MA6 / BA6 MASK ALIGNER 1 100 mm good 01.06.2005 01.03.1998 immediately11362 Karl Suss MJB3 Mark Aligner 1 1mm to 3 inc excellent 01.06.1995 2 months11367 Karl Suss MJB3 Mask Aligner 1 1mm to 3 inc excellent 2 months11366 Karl Suss MJB3 Mask Aligner 1 1mm to 3 inc excellent 2 months11368 Karl Suss MJB3 Mask Aligner 1 1mm to 3 inc excellent 2 months11389 KARL SUSS PM8 Probe station 1 as new 01.06.2001 2 weeks11422 Karl Suss PM8 Probe Station 1 good 01.06.2005 01.09.2001 immediately11453 KARL SUSS FC150 FLIP CHIP BONDER 1 new in box 01.06.2002 immediately KARL SUSS FC 150 flip chip bonder, NEW, never used - still in OEM packing, No SRA, UBA, 6" heating chuck (compatible up to 100 kg), 2" heating tool with pedestal component <2 mm, chip or substrate tray (metal), vacuum collet, Theta stage: +/- 7 deg, Automatic Alignment: Cognex 8100, CE marked, Currently stored in an controlled environment 22°C dry and safe storage room, can be inspected, 2002 vintage. 11407 KARL SUSS AMA200 C4 111983 KASHIYAMA INKPS901 Rotary Pump 212007 KASHIYAMA INSDE1200B-0Dry pump 29543 KDF 603NT Dual load lock, in-line, s ide 1 6" 150mm excellent 01.06.2000 immediately A Dual load lock, in-line, s ide sputtering batch system. The 600 Series systems are particularly popular for sensitive applications requiring target material that produces unusually high particulate contamination.9739 KDF 603NT SPUTTERING TOOL 1 150 MM excellent 01.06.2001 immediately7702 Keithley 5475 TEST SYSTEM 1 TEST excellent immediately11337 KEITHLEY S900 TESTER, PARAMETRIC 8 200 mm excellent immediately8470 Keller Techn BT-30 W/TD Keller Technology Corp Bond111923 KEM LAMDA 3000 14918 Kensington NA KENSINGTON INSPECTION 1 2006852 KENSINGTO 2 STAGE LOT SPLITTER 1 200 MM5198 Kensington 4 station 4 stage wafer sorter 1 200 mm excellent 01.01.1997 immediately5199 Kensington 2 station 2 stage wafer sorter 1 200 mm excellent 01.01.1997 immediately

9552 KENSINGTON Wafer Handler 1 good immediately10983 KENSINGTO 4 STAGE KENSINGTON 4 STAGE 111018 Kensington CSMT-4 Wafer Sorter 1 01.07.19998528 Kensington L25-3700-14 Kensington Laboratories Ro 18532 Kensington L25-3700-14 Kensington Laboratories Ro 38649 Kevex 954 Kevex 954 Omicron Spectro 111771 KEVEX 771 SEMICR XRF 112017 Kevex 7500 Mass Film monitor 14919 KEWANEE NA KEWANEE FUME HOOD 1 N/A11081 Kinergy Auto Frame Loader 1 Used in conjuction with Kotaki 200T Mold press9578 KINETEK DR200 Defect Review Station 1 200mm good9985 KINETIC SY 1201-05-11 Vibration Isolation Table, 30" 19986 KINETIC SYSTEMS Vibration Isolation Table, 30" 12603 Kinetic Syst 1201-02-11 Vibration Table 1 excellent7052 Kinetic Syst 1200-30-61 Vibration Table 1 excellent11515 Kinetics Mega Flow IIICMP Slurry Dispenser 19987 KINNEY KTC-21 Mechanical Vacuum Pump 16304 KLA 1011ACE PROBER 1 good6306 KLA 1007E PROBER 1 good10814 KLA Surfscan SP Unpatterned Wafer Inspecti 1 300mm good 01.12.1997 immediately11374 KLA CRS 3000 Confocal Review Station 311461 KLA SP1 TBI SurfScan wafer inspection 1 200mm & 30 excellent 6 months11536 KLA ES-25 WAFER INSPECTION SYSTE111740 KLA ES-25 WAFER INSPECTION 111741 KLA eV300 REVIEW SYSTEM 211742 KLA P-20 SURFACE PROFILER 111926 KLA 5200 XP Alignment 112103 KLA SM300 THIN FILM SYSTEM 111007 KLA - Tencor5200 Overlay Metrology System 1 01.12.19987566 KLA / Tencor AS-200 Alpha Step 200 1 4", refurbished 4", refurbished7567 KLA / Tencor M-Gage 300 Thickness Measurement 16934 KLA Tencor 7700 1 01.06.19966935 KLA Tencor 7700 1 01.06.19987696 KLA Tencor 6420 Patterned surface Inspectio 1 3 to 8 inch excellent 01.05.1997 immediately

7698 KLA Tencor 6420 Patterned surface Inspectio 1 2 to 8 inch refurbished immediately11008 KLA Tencor 8100 Scanning Electron Microsc 1 01.11.1998

9261 KLA TENCO 5100 OVERLAY MEASUREMENT S1 01.12.19949262 KLA TENCO 5015 OVERLAY MEASUREMENT S1 01.07.19949260 KLA TENCO 5100 OVERLAY MEASUREMENT S1 01.07.19959259 KLA TENCO 5100 OVERLAY MEASUREMENT S1 01.07.20009249 KLA TENCO 2112 PATTERNED WAFER DEFEC1 01.08.20009243 KLA TENCO 5100 OVERLAY MEASUREMENT S1 01.08.20009248 KLA TENCO 2131E PATTERNED WAFER DEFEC1 01.06.19961725 KLA-TENCO SURFSCAN SURFACE SCANNER 1 150 MM good 01.01.1992 immediately1704 KLA-TENCO 8100 CD-SEM 1 125-200 MM excellent 01.01.2005 01.09.1998 immediately1691 KLA-TENCO 259 Retic le Inspection 1 up to 7 inch good 01.01.2000 01.12.1991 immediately1688 KLA-TENCO 2552 AnalysiDEFECT MAP DATABASE 1 excellent 01.08.2003 01.01.1997 immediately1680 KLA-TENCO 2132 WAFER INSPECTION 1 200 mm excellent 01.09.2002 01.08.1995 immediately6873 KLA-TENCO TF1 THIN FILM THICKNESS ME 1 125 MM8733 KLA-Tencor HRP 100 Contact Profiler 1 good 01.08.2000 immediately8736 KLA-Tencor RS 50 Resistiv ity 1 may 19957014 KLA-Tencor 2139 Wafer Defect Inspection 2 200 mm excellent 01.05.2000 immediately8732 KLA-Tencor P12 Profiler 18731 KLA-Tencor TF2 1 150-200 mm9204 KLA-Tencor KLA-5300 Inspection 1 300 mm excellent 01.06.2000 immediately9176 KLA-Tencor eV300 Review SEM 1 wafer s ize 2004958 KLA-Tencor Rolla-Lift KIT 2135,2138,2230 MOVE 1 FACILITIES good immediately4959 KLA-Tencor 655-650504 8 INCH CHUCK ASSY FOR K1 200 mm excellent immediately KLA OEM spare part6837 KLA-TENCO 2132 PATTERNED WAFER DEFEC1 200 MM good immediately6847 KLA-TENCO 2030C defect inspection 1 200 MM6863 KLA-TENCO TF2 PROFILOMETER 1 200 mm5255 KLA-TENCO M-Gage 300 Non-contact Monitor for shee1 150 mm good 01.02.1990 immediately5844 KLA-Tencor AIT 1 WAFER INSPECTION SYSTE1 200 MM excellent 01.11.2004 01.05.1997 immediately

5845 KLA-Tencor AIT 1 WAFER INSPECTION SYSTE1 200 MM excellent 01.11.2004 01.12.1997 immediately6235 KLA-Tencor 7200 Surfsc Surfscan 1 good immediately6341 KLA-Tencor FT 600 FILM THICKNESS MEASUR 1 good 01.06.1992 immediately6504 KLA-Tencor P2 Profilometer 1 100mm to 20 good 01.03.1993 immediately6759 KLA-Tencor 8100 Stress Measurement Equipm1 125-200 mm excellent 01.03.2005 01.11.1998 immediately Fully automated CD-SEM6760 KLA-Tencor 8100XP Stress Measurement Equipm1 125-200 mm excellent 01.11.2000 immediately fitted with 3 station SMIF indexer.The KLA 8100 is a fully automated CD-SEM system for sub-micron (cd 130nm.) Critical dimension measurement and integrated circuit imaging. - Throughput >/= 40 wph - Varian Turbo pump defective9472 KLA-Tencor P30 H KLA-Tencor P-30H Profiler 1 8" 200mm excellent 01.12.1995 immediately

7642 KLA-TENCO 2132 WAFER INSPECTION 1 200 mm excellent 01.08.1995 immediately7684 KLA-TENCO UV1080 SYSTEM, Film thickness map 1 200 MM good 01.12.1996 immediately

7704 KLA-Tencor 1010 Partic le inspection 17705 KLA-Tencor SFS7600 1 01.10.1995

7706 KLA-Tencor SFS7600 1 01.02.19969852 KLA-Tencor AIT 1 Defect inspection 1 8 Inch good 01.08.2000 immediately9990 KLA-TENCO P-2 Profilometer 1 100 to 200 excellent 01.05.1992 immediately9991 KLA-TENCO RS55 Resistiv ity Mapping Tool 19992 KLA-TENCO Surfscan SP1Unpatterned Wafer Surface 1 200 mm and excellent 01.06.2005 01.02.1997 immediately10168 KLA-Tencor ev300 E-beam defect review tool 1 200 mm excellent 01.01.2000 immediately10206 KLA-Tencor Quantox Monitoring System, Si / Oxide 1 100 to 200 excellent 01.12.2005 01.01.2001 immediately10325 KLA-Tencor 2608 inspection system 1 200 mm good 01.01.2006 01.01.1996 immediately fitted with aysyst SMIF mini-environment and loader (can be removed)10327 KLA-Tencor 6100 Surface Analyzer 1 01.04.199210328 KLA-Tencor 7700 Surface Analyzer 1 20010329 KLA-Tencor 5100XP Wafer Inspection Equipment 1 01.01.199710334 KLA-Tencor HRP-100 Surface Profiler 1 20010333 KLA-Tencor Prometrix F Thin Film Measurement Syst 1 20010895 KLA-TENCO KLA5107/81 CD-SEM 211009 KLA-Tencor 8100XP Scanning Electron Microsc 1 excellent 01.05.200011035 KLA-Tencor Starlight SL3 Retic le Surface Analysis ( 1 excellent 01.05.199711068 KLA-TENCO UV-1080 Film Thickness Monitor 111089 KLA-TENCO 40-00088 SEM 111090 KLA-TENCO KLA-5011 Stress measurement 111602 KLA-Tencor 2132 Defect scanner 1 150 mm11682 KLA-TENCO Surfscan 770Partic le counter 1 01.05.1993 CONDITION COMMENTS Currently warehoused. Missing some PCB's. "Odd" cassete plates11879 KLA-Tencor 7700M surfscan 1 good immediately11880 KLA-Tencor 7700 surfscan 1 good immediately11927 KLA-Tencor 2401 ADI INSPECTION 112101 KLA-Tencor 300 STARLI RETICLE INSPECTION SYST 2

2752 KLA-TENCORFT-750 * THIN FILM MEASUREMENT 1 100-200 mm excellent 01.03.2004 01.02.1994 immediately2907 KLA-TENCORAIT 1 * SURFACE SCANNER 1 8 inch excellent 01.11.1997 immediately6882 KLA-TENCOR6220 * UNPATTERENED INSPECTI 1 excellent 01.12.2004 01.11.1995 immediately6585 KLA-TENCORCRS 1010 * CONFOCAL INSPECTION S 1 8 inch excellent 01.12.2004 01.11.1995 immediately6586 KLA-TENCORCRS 1010A *CONFOCAL INSPECTION S 1 8 inch excellent 01.12.2004 01.11.1995 immediately9742 KLA-TENCORFT-750 * THIN FILM MEASUREMENT 1 100-200 mm excellent immediately4920 KLA/TENCO NC-110 Omnimap Metals Monitoring 1 200 excellent 01.07.1996 immediately6251 KLA/TENCO 7000 SURFSCAN 1 1506252 KLA/TENCO 7200 14352 SURFSCAN 1 150

9577 KLA/TENCO ABI 2000 Defect Metrology 1 200m10612 KLA/TENCO ALPHA STEPPROFILOMETER 1

10964 KLA/TENCO 2130 1 Functional Area METROLOGY 11415 KLA/TENCORABI 2000 C4 111761 KLA/TENCORP-22 C4 111769 KLA/TENCORAIT Defect Metrology 111770 KLA/TENCOR RS55 Etch 111782 KLA/TENCOR 3800L 111785 KLA/TENCO 2135 Defect Metrology 111950 KLA/TENCO 6200 SURFSCAN BARE 1 200mm9096 KME CM202D SMT 1 SMT good 01.06.2001 immediately7846 KNS 7500 1 01.10.20029205 Kobelco PA-400 Inspection 1 01.08.19975878 Kobelco ResLTA 130A Lifetime Measuring Equipmen1 01.06.19898790 Kobelco ReseLTA-13A Lifetime measuring equipmen0 6 incl excellent 01.06.19971743 KOHTAKI 200Tonne Manual Transfer Mold Press 1 ASSEMBLY excellent2442 Kokusai DJ-815V VerVERTICAL CVD FURNACE (Si 1 200 MM excellent 01.01.2003 01.06.1995 immediately Located in USA, has dual gas configuration, cassette to cassette, cost 1.3M USD when purchased, loadlock model8131 Kokusai Vertron III Vertical diffusion furnace 1 200 mm excellent 01.01.1999 immediately Kokusai Vetron III Vertical Diffusion Furnace - 8746 Kokusai DJ 807 Vertical diffusion furnace 1 200 mm excellent 01.01.1999 immediately4963 Kokusai DJ640 Horizontal Furnace for Ann 1 150 mm good 01.01.1999 01.01.1991 immediately5181 Kokusai Apogee 1+ vertical atmospheric diffusio 1 200mm upgr excellent 01.06.19965205 Kokusai Vertron II D Vertical diffusion furnace 1 200 mm excellent 01.01.1999 immediately Kokusai Vetron III Vertical Diffusion Furnace - Atmospheric Oxidation and Diffusion7701 Kokusai DJ815V-8BL L/L 3 200mm good 01.10.1995 immediately10169 Kokusai Vertron V(S) Vertical Furnace - Other 1 200 01.01.200211345 KOKUSAI DJ-823 FURNACE, VERTICLE 2

11777 KOKUSAI VERTRON III Diffusion 19452 Kongo AEX Automated shelving system 1 01.08.19978796 Kosaka LaboSEF-30D contour measuring instrume 0 6 incl excellent11080 Kotaki Mold Press Kotaki 200T Mold Press 1 200 tons9487 Koyo RLA-3100 Anneal System 18783 koyo Linber VF-2000LP LP-CVD 1 6 incl excellent 01.09.19988785 Koyo Lindbe OMEGA-Jr (1Thermal Oxide Furnace 1 6 incl excellent 01.09.19985872 Koyo Lindbe VF-2000LP LP-CVD 1 01.06.19895875 Koyo Lindbe 272M-400 6 Diffusion Furnace 1 01.06.199111583 Koyo Lindbe VF5100B Nitrate 110963 KRYTEK 250 IMPLANTER SOURCE COND1 good immediately

11323 Krytek 250 source conditioner 19994 KTC Bond Tester Wire Bond Pull Tester 1

9995 KTC Shear TesterDie Shear Tester, 1000g Loa111831 KTC Bondtest 30 Bond Tester 1 ASSEMBLY good 01.06.2002 01.06.1995 immediately with "Bausch&Lomb" Microscop, type StereoZoom 4, range 0.7x-3.0x, digital display control, wafer table s ize 150x160 mm, on table 6761 Kulicke & Sof1470 Automatic Wedge Bonder 1 assembly good 01.03.2005 01.06.1988 immediately 75 x 48 x 60 inches6762 Kulicke & Sof1470 Automatic Wedge Bonder 1 assembly good 01.03.2005 01.01.1985 immediately10335 Kulicke & Sof1484XQ/148 Ball Wire Bonder 811017 Kulicke & Sof1488 plus 3 Wire Bonder 1 01.05.199711504 Kulicke & Sof8028 PPS Ball Wire Bonder 2 01.01.200111510 Kulicke & Sof7500 Dicing Saw 1 200 mm1654 Kulicke Soffa 8060 Aluminium wedge bonder 4 ASSEMBLY excellent 01.12.2001 01.03.2000 immediately6347 KVD M2i Imager tester 1 TEST excellent 01.06.2005 01.06.2000 immediately11639 Kyosan HPK10Z DC Power Supply 28909 KYOUEI 1H-3 MANUAL SPINNER 15882 Kyowariken K-69J-150 Film thickness measuring dri1 01.06.19899183 Kyushu MatsDM60M-H Die Bonder, 2 units 1 01.01.1998 wafer s ize 89184 Kyushu MatsHW27U-H Wire Bonder, 2 01.01.199810625 LAB LINE 3559 REFRIGERATOR 1 Class I, C, D, Explosion

10620 LABCONCO 75040 FREEZE DRYER 1 8, 16 Port, pump checked, refrigeration works, may need leak check on ports9775 Labline 45AF Freezer 16889 LAM 4520 Single Chamber Oxide Etch 1 150mm 01.03.2005 immediately9131 Lam 9400SE TCP TCP 9408 1 200mm excellent 01.06.1995 immediately9488 LAM 2300 ETCHER, 2 as new OXIDE 4 CH5632 LAM Alliance Ra Etcher 1 good 01.02.2000 immediately6351 Lam Synergy Integra 1 200 mm excellent immediately

6221 LAM 4420 4428 Poly/Nitride Etcher 2 200mm excellent immediately 200MM, Classic software E1, Hine 38A Indexers, Standard 4420 process chamber, No Chuck Clamp, Variable Gap, ENI 650A RG Generator Cart, Gas Panel: (General Spec) Tylan 2900 MFC's, SF6 500sccm, 02 50 sccm, Argon 100 sccm, CL2 500 sccm, C2F6 500 sccm, HBr 200 sccm, He 500 sccm. Backside He, M&W Dual Channel Chiller, Interconnect Cables. Warranty, installation, and rebuilds available for addtional charge. Pumps and process kits are not included.7091 LAM TCP 9600 Metal etch 1 100 mm excellent 01.06.19948215 Lam 4520 4528 1 200mm good immediately7703 LAM 9608 Etchers 3 01.05.19978114 LAM 4520 8" Oxide Etcher 1 8"9998 LAM TCP 9600SE Plasma Metal Etch System 110316 LAM 4528 oxide etch 1 200mm refurbished10906 LAM 9400 Etcher 1 200 MM 01.05.1997 See attached Document for configuration and photo's11016 LAM 9600 PTX A 2 chamber strip, 2 chamber e1 200 MM excellent 01.03.2006 01.06.199911152 Lam 4528 Oxide Etcher 2 8 inch excellent11153 Lam 4720 Tungsten Etcher 1 8 inch operational

11458 LAM 4528 Allianc Lam Alliance A2 4520 etcher 1 200mm good 01.12.2005 01.12.1994 immediately11494 Lam 9400 etcher 1 200mm excellent immediately

9045 LAM RESEA Rainbow 45 Silicon Oxide Dry Etcher 1 150 mm missing parts 01.06.1992 immediately7692 Lam Resear 4500 Oxide Etcher 1 good immediately5664 Lam Resear 9400SE 1 200 mm refurbished immediately

10337 Lam Resear 4428 PolySilicon Etch System 1 20010340 Lam Resear Exelan Oxide Etch Chamber 3 01.01.2000 Exelan chamber ONLY with chiller, gas box, ancillary equipment

10501 Lam Resear 9400 series 1 20011335 LAM RESEA ALLIANCE A SYSTEM, 2 CH POLY ETCH 112018 Lam Resear Lam 690 # 2 Autoetcher 690 Metal etcher 112041 Lam Resear 690 #25 Autoetcher 690 Metal etcher 112051 Lam Resear Lam 490 #4 Autoetcher 490 Poly Nitride 110341 Lam Resear DSS-200 SerieStandalone CMP Cleaner 1 20011733 Lam Reserc 4520 Process Module 111393 Lambda PhysA4003 Excimer lasers 411532 Lambda PhysA4003 Laser 111724 LAMBDA PHYA4003 LASER 19049 Lasair Lasair 310 partic le counter 1 PMS Partic le Measurement Systems airborne partic le counter.Dimensions 43 cm x 37 cm x 20 cm.Communications ports RS 485,RS 232, HHIPA, Analog IP, Manifold,Analog out, 230V power in.

10810 lasair 310A-A Portable partice counter 1 01.11.200311858 Lasertec MD2000 I Autoloader for MD2000 Seri 1 new unused 01.06.2001 immediately Autoloader for lasertech retic le inspection system11794 Lauffer VSKO 175 Hydraulic transfer Moulding 1 ASSEMBLY good 01.06.2002 01.06.2001 immediately Vintage 1992 circa, rebuilt in 2001,capacity max 1750 kw, max transfer 135 KN, press fast approach 150 mm/sec, volume of packing 10m/3, 7.5kw, 50/60 hz, safety distance 120mm, Ram stop time, 0,04 sec, ram stop distance 6 mm, with Hydraulic control panel 11795 Lauffer VSKO 175 Hydraulic transfer Moulding 1 ASSEMBLY good 01.06.2002 01.06.2001 immediately Vintage 1992 circa, rebuilt in 2001,capacity max 1750 kw, max transfer 135 KN, press fast approach 150 mm/sec, volume of packing 10m/3, 7.5kw, 50/60 hz, safety distance 120mm, Ram stop time, 0,04 sec, ram stop distance 6 mm, with Hydraulic control panel 1791 LAURIER HA250 SEMI AUTO DIE BONDER 1 ASSEMBLY excellent 01.01.1998 immediately8019 Laurier DS-7000 DIE SORTER 1 200 mm and 300 mm 01.06.2001 172 cm x 122 cm x 210 cm, 790 kgs, "Diesorter 200/300mm bridge tool model number: DS-7000 JEDEC Input: frames with 200 and 300mm wafers Output: in JEDEC trays only manual frame handling automated JEDEC tray stak feeder with up to 25 JEDEC trays bar code reader for frame ID" 10941 LAURIER DS 7000 T/R die sorter 111667 Leatherwood Horizontal quartz furnace tu 111668 Leatherwood Quartz stocker (2 cabinets) 29680 Leatherwood Plastics Spin Bench 1 200mm 01.04.199310478 Leatherwood LPC123-FR Fume Hoods 1 01.06.200411375 Leatherwood Plastics Acid Hood 19843 Leco AP 600 CMP tool 1 good 01.12.2005 01.01.1985 immediately with many spare mechanical parts.9999 LEDE HTC-4000 Glassware Washer 111042 LEDE / FLO HTC-4000 WASHER DRYER BOX/GLA 1 Stainless Steel, Dual Action, 20" X 20" X 18" Chamber, 500 CFM Hot Air Blower, Omron C28 Microprocessor , 208v, 150 Amps, 3 Phase, DI 20 PSI, 6 GPM, 1.5-2.5GPM H20, 6 GPM Drain, 40-60 PSI CDA,9257 LEICA INS2000 REVIEW STATION 1 01.11.20033402 Leica INM200 MICROSCOPE 27059 Leica M.I.S. 200 Defect Review & Inspection 1 01.01.19937058 Leica INS 2000 Defect Review & Inspection 1 01.05.19967057 Leica INS 2000 Wafer Inspection System 1 01.07.1996

6865 LEICA POLYVAR S REVIEW STATION 1 200 mm WITH KENSINGTON STAGE6908 LEICA INS-2000 MIS PR-MICROSCOPE 1 150 mm excellent 01.12.1996 immediately6907 LEICA INS-2000 MIS PR-MICROSCOPE 1 150 mm excellent 01.08.1996 immediately

6906 LEICA INS-2000 MIS PR-MICROSCOPE 1 150 mm excellent 01.01.1996 immediately9752 Leica GZ6T MICROSCOPE 1

10171 Leica INS 1000i Microscope 1 20010172 Leica INS 1000i Microscope 1 20010343 Leica INS 1000i Microscope 1 20011001 LEICA MIS2000 INSPECTION SYSTEM 111011 Leica MIS 200/Peri Inspection Microscope 1 150 wafer s i excellent 01.11.1995 Microscope Type Upright 11117 LEICA INS3000 INSPECTION MICROSCOPE 1 excellent 01.03.2002 immediately11332 LEICA INS1000i INSPECTION SYSTEM 111737 Leica INS2000 1 150 mm 01.05.199611843 Leica INM20(3023 Microscope 1 01.09.1996 Upright

11844 Leica Polylite88 Microscope 3 01.01.1995 Inverted12019 Leica 200 #1 LEICA200-01 1

12074 Leica Stereo Zoom Microscope Head and Base 1 Microscope Type Upright 9814 LEICA/REIC Objective Plan Apo 150x/0.95IK inf/0 1 Offer good until March 20068521 Leitz 020-448-02 Leitz Ergolux Microscope 18572 Leitz ERGOLUX LEITZ Inspection Microscope18623 Leitz MPV-SP Leitz MPV-SP Thickness Me 1 good immediately5687 Leitz Stereo Microscope 1 Leitz Stereo Microscope5688 Leitz Stereo Microscope 0 Leitz Stereo Microscope6728 Leitz MPV-SP Auto Spectrophotometer 1 150 to 200 excellent 01.06.1988 immediately9335 Leitz MPV-SP Microspectrophotometers 1 50 to 150mm good 01.06.1985 immediately11859 Leitz MPV-CD2 Optical CD Measurement Mi 1 excellent immediately Used with retic les up to 7 inch.12090 LEO 1530 HI-RESOLUTION SEM 110954 Lepel 810S55 RF Generator 112052 Lepel Generator RRF generator for Gemini EPI 14018 Leybold Z1100 Sputter Coater for coating w 1 Other good 01.08.1999 immediately9182 LEYBOLD Turbotronix Vacuum Pump Controller 1 01.02.19959181 LEYBOLD TMP 50 Turbomolecular Vacuum Pu 1 PUMP excellent 01.01.2004 immediately8451 Leybold MAG W1300 Leybold Mag W1300 Pump 18518 Leybold UL100 Leybold UL100 Plus Leak De18561 Leybold 600L Leybold 600L Turbo Molecu 18604 Leybold TMP2000L Leybold TMP2000L Turbo P 25613 Leybold D25BCS Trivac Pump platform from 1 200 mm good 01.01.2000 01.10.1995 immediately6335 Leybold D16B Trivac Mechanical Oil Rota 1 PUMP excellent 01.06.1997 immediately5775 Leybold ARS 16-25 Oil mist filter 2 PUMP good 01.01.2000 01.10.1995 immediately ARS 16-25 Number 18956

5776 Leybold D25BCS Trivac Vacuum Pump 2 PUMP good 01.01.2000 01.10.1995 immediately5777 Leybold WSU 151 Ruvac Vacuum Pump 1 PUMP good 01.01.2000 01.10.1995 immediately

9628 Leybold 100P Dry Vacuum Pump 19629 Leybold D30A Vacuum Pumps 8

9630 Leybold D60A 12860931, C2842538289 29631 Leybold WSU150 Blower 110549 Leybold TMP361C Turbomolecular Vacuum Pu 110917 Leybold Z650 SPUTTERING SYSTEM 1 01.12.1988 Sputtering system Z650, loadlock with sputter etch, 2 process chambers, heater, rotating substrate holder11684 LEYBOLD UL 400 LEAK DETECTOR 1 FACILITIES 01.06.1990 220V, 50/60HZ, 1200 VA SO 154.283.600 Loctated in Germany10933 Leybold Va TRIVAC D40 ROTARY PUMP 1 PUMP 01.06.200110000 LEYBOLD-H D30AC Mechanical Vacuum Pump, 2e1

10001 LEYBOLD-H D40BCS Mechanical Vacuum Pump, 28.110002 LEYBOLD-HERAEUS Pump Rack Including Electri 1

10003 LFE PDS-504 Plasma Cleaning Tool 16443 Lindberg Blue Conveyor oven 1 good immediately

10004 LINDBERG 51662 Box Furnace 13087 LKT FL200U Loader/Unloader UBGA 1 excellent 01.07.1999 immediately UBGA10589 LOGITECH IWB52 WAFER BOND UNIT 111244 LOGITECH LP-50 Precis ion Lapping and Polis 1 01.08.199910465 LRC 4428 Etch 110969 LRC 4528 110978 LRC 200 SERIES LRC 200 SERIES 2 110979 LRC 4428 LRC 4428 111398 LRC 4428 Etch 111951 LRC 200 SERIES DSS OXIDE/ILD 2 200mm11952 LRC 200 SERIES DSS POLY 1 200mm11953 LRC 4428 POLY ETCHER 2 200mm11954 LRC 4528 OXIDE ETCHER 2 200mm11955 LRC 4428 HARDMASK ETCHER 1 200mm11956 LRC 200 SERIES DSS OXIDE/ILD 1 200mm11957 LRC 4428 NITRIDE ETCHER 1 200mm8541 LSA Technol 04-717983- LSA Technologies Degas, 6"58907 LTX SynchromasteRF test system 1 TEST good immediately7622 LTX FUSION HFi TEST SYSTEM 2 TEST immediately10346 LTX Fusion HF SOC Test System 18628 Lufran Incor 105-CM-480Lufran Ultrapure De-Ionized 25751 Lumonics LWXP wafer marker 1 missing parts immediately7644 Lumonics Wafer Mark Laser marking system 0

10005 LUMONICS WaferMark 2YAG Laser Wafer Marking S 110006 LUMONICS WaferMark YAG Laser Wafer Marker for 1

10007 LUMONICS WaferMark 3YAG Laser Wafer Marking S 110008 LUMONICS WaferMark 3YAG Laser Wafer Marking S 112113 M Braun Glove Box 1 good 01.06.20039537 M-SETEK MS-129A Flush Anneal 1 01.06.19911832 MACTRONIXUKA5204A WAFER TRANSFER 1 150 MM good immediately1831 MACTRONIXUKA52043 WAFER TRANSFER 1 150 MM good immediately1827 MACTRONIXEUREKA IV HORIZON LB2 LONGBOAT 8 200MM excellent 01.01.2001 01.09.1995 immediately2687 Mactronix UKA25A3 Wafer Transfer 5 150 mm good immediately qty 5 available4969 MACTRONIXEUREKA IV WAFER TRANSFER TO LON1 200MM excellent 01.01.2001 01.09.1995 immediately

9633 Mactronix AM500 Autowafer Loader 1 excellent Setup for PA72049M Cassettes11144 Marantz 22X-FV-350 1

11145 Marantz 22X-FV-450 110142 March SuperPlasmod 19779 MARKEM Q2000 ETO- Marking Machine 2 excellent 01.08.1997 immediately9780 MARKEM Q2000 ETO- Marking Machine 1 ASSEMBLY excellent 01.11.2005 01.08.1998 immediately9810 Markem Q2000 Spare Parts 1 01.05.20059811 Markem Flame Flame Control Box 1 excellent immediately11509 Markem 606 Laser Marker 1 01.12.20008510 Markem Corp610 Markem 610 Laser Marker P1 good immediately Markem 610 Laser Marker plate maker, s/n#9015685884 Marusho DenGRK-8905 Vacuum Furnace 1 01.06.19898795 MARUSHO DGRK-8905 Vacuum Furnace 1 6 incl excellent8794 MARUTO IN MC733 CD CUTTER 1 6 incl excellent5883 Maruto Instr MC733 CD Cutter 1 01.06.19896767 Materials D CSM/WIN-VFCV Plotter 1 excellent 01.03.2005 01.09.1998 immediately PSU and computer, 60 x 30 x 57 inches3154 Matheson A-T Plus Gas Wall Mount 4 FACILITIES excellent 01.01.2001 immediately CVD horiz Scrubber Pad SIH43155 Matheson A-T Plus Gas Wall Mount 1 FACILITIES excellent 01.01.2001 immediately HE+ auto change over no scales3156 Matheson A-T Plus Gas Wall Mount 1 FACILITIES excellent 01.01.2001 immediately DRIE C4 F8 BH+ auto change over no scales3157 Matheson A-T Plus Gas Wall Mount 1 FACILITIES excellent 01.01.2001 immediately RIE CHF3+ auto change over Complete3158 Matheson A-T Plus Gas Wall Mount 1 FACILITIES excellent 01.01.2001 immediately RIE CF4 + auto change over no scales3159 Matheson A-T Plus Gas Wall Mount 1 FACILITIES excellent 01.01.2001 immediately DRIE SF6 + auto change over complete3161 Matheson A-T Plus Gas Wall Mount 1 FACILITIES excellent 01.01.2001 immediately DRIE CF43162 Matheson A-T Plus Gas Wall Mount 1 FACILITIES excellent 01.01.2001 immediately RIE CF43163 Matheson A-T Plus Gas Wall Mount 1 FACILITIES excellent 01.01.2001 immediately DRIE PERFLUOROISOBUTENE C4F83165 Matheson A-T Plus Gas Wall Mount 1 FACILITIES excellent 01.01.2001 immediately DRIE C4 F8

3167 Matheson A-T Plus Gas Wall Mount 1 FACILITIES excellent 01.01.2001 immediately RIE Helium3168 Matheson A-T Plus Gas Wall Mount 1 FACILITIES excellent 01.01.2001 immediately DRIE SF63179 Matheson A-T Plus Gas Wall Mount 1 FACILITIES excellent 01.01.2001 immediately HE / N2 BH + auto change no scales3180 Matheson A-T Plus Gas Wall Mount 1 FACILITIES excellent 01.01.2001 immediately 2nd shipment He RIE3181 Matheson A-T Plus Gas Wall Mount 1 FACILITIES excellent 01.01.2001 immediately 2nd shipment CHF3 RIE3186 Matheson A-T Plus Gas Wall Mount 1 FACILITIES excellent 01.01.2001 2nd shipment He RIE3188 Matheson A-T Plus Gas Wall Mount 1 FACILITIES excellent 01.01.2001 immediately 2nd shipment SF6 RIE3198 Matheson SGS6000E Valve Manifold Box 1 FACILITIES 01.01.2001 DRIE C12 +GSM468 Gas Monitor3199 Matheson SGS6000E Valve Manifold Box 1 FACILITIES 01.01.2001 N2 / H2 +GSM468 Gas Monitor3200 Matheson SGS6000E Valve Manifold Box 1 FACILITIES 01.01.2001 DFV NH3 +GSM468 Gas Monitor3201 Matheson SGS6000E Valve Manifold Box 1 FACILITIES 01.01.2001 CVD horiz PH3 /SIH4 +GSM468 Gas Monitor3202 Matheson SGS6000E Valve Manifold Box 1 FACILITIES 01.01.2001 CVD horiz SIH4 +GSM468 Gas Monitor3203 Matheson SGS6000E Valve Manifold Box 1 FACILITIES 01.01.2001 CVD horiz SIH4 +GSM468 Gas Monitor3204 Matheson SGS6000E Valve Manifold Box 1 FACILITIES 01.01.2001 DFV SIH2 DICHLOROSILANE 468 Monitor3208 Matheson SGS6000E Valve Manifold Box 1 FACILITIES 01.01.2001 Poly etch HYDROGEN BROMIDE+468 Monitor3218 Matheson Vector 2200 Gas Cabinet 1 FACILITIES excellent 01.01.2001 immediately CVD horiz Scrubber Pad SIH43221 Matheson Vector 2200 Gas Cabinet 1 FACILITIES excellent 01.01.2001 immediately N2 / H2 3 bottle cabinet12020 Matrix Matrix 105 Asher 22757 Matrix ® 403 Plasma Poly / Nitride Etcher 3 150 mm excellent 01.03.2004 01.07.1998 immediately11869 Mattson ASPEN 1 200 MM good 01.06.1997 immediately NEEDS SOME MINOR REPAIR9590 MC ELECTR JLSI 8990 HANDLER 111376 MC System In8806 Analytical Probe System 1 100 mm Microscope Type Microzoom 11019 MC System In8806 Analytical Probe System 110010 MC SYSTEM 8806 Analytical Probing System w 11844 MCT 3608-E HANDLER 3 TEST immediately1845 MCT 3608-E300 HANDLER 2 TEST good 01.08.2005 immediately1843 MCT 3608-A HANDLER 9 TEST immediately7458 MCT 4610H Test Handler 2 TEST excellent 01.06.1996 immediately Temperature : room to +155 C 10011 MDC CSM/16 Automatic CV Plotter with 1

10012 MDC CSM/2-WIN- 12869 MDC (Materi TP0301D CV PLOTTER 1 150 MM good 01.08.2003 01.03.1993 immediately4007 MDC (Materi DUO CHUCKCV Measurement system 1 150 mm good 01.06.1999 01.06.1995 immediately8624 MDC Vacuu ? MDC C-V Plotter Agilent 428016912 Meco Spare Parts for Meco Strip Pl 19746 Meco EDF+EPL 24 Strip Plating Line 1 assembly excellent 01.12.2000 immediately12102 MECO EPL, 1 STRA PLATING LINE 1

1854 MECO (SPAREDF EPL 240SPARES FOR PLATING LINE 0 ASSEMBLY new unused 01.01.1997 immediately11360 MEECO, INC.LBY MOISTURE METER 19247 MEGATEST megatest MEMORY TESTER 1 01.06.19944008 Megatest Genesis III Test system (without test he 1 TEST good 01.01.1995 immediately9546 MEGATEST GENESIS II TEST SYSTEM 2 TEST good immediately STILL INSTALLED, MANUALS AVAILABLE10013 MERCATOR LF-5 Plasma Stripper 111159 Metcal Solder statio Solder de-Solder station 10 excellent immediately10519 METRICON 2010 PRISM COUPLER 1 200 MM good 01.06.2005 01.07.1997 immediately9154 METRON SINGLE CEL ORBITRAK SINGLE CELL 16434 Metronics QC2000-HHQuadra Check-11 +2000 Calib29429 Mettler AE100 SCALE 11857 MGI PXM38932V2WAFER TRANSFER 1 150 MM good immediately1856 MGI PXM38932V2WAFER TRANSFER 1 150 MM good immediately9632 MGI PXM-38932VWafer Transfer 2 good10014 MGI 1282 Wafer Transfer Station, 2ea A110015 MGI E.E.T. 2000 Wafer Transfer Station 16339 Micrion 9500 FIB 1 refurbished immediately7734 Micro AutomaM-1006 Dicing Saw 19776 MICRO-G Micro-G 63- Anti Vibration Table 11860 MICROANAL7500 MASS SPECTROMETER 1 150 MM good immediately1861 MICROCON "P.F.P UV""Ei Fully Automatic Detaper 1 200 mm and excellent 01.10.2001 01.08.1997 immediately2834 MICROCON MWEP WAFEUV Wafer eraser 4 100 to 200 good 01.01.1999 01.01.1995 immediately12087 MICRON MICROMATEEFA TESTERS 112089 MICRON MICROMATEEFA TESTERS 112088 Millice 8860 SEMI AUTO PROBER 18535 Millipore ACR-28 Millipore ACR-28 Temp/ Pres19461 Minato Elect MH630 Tester 2

10016 MINIPACK-T FM76 Packing Machine for Sealing 19237 Mirae MR-5300 AUTO HANDLER 1 01.01.2002

10353 Mirae MR7300 Module Handler 76 01.06.199910985 Mitubishi FT-2200FW Turbo Molecular Pump 14234 Mitutoyo 1044 Dial Depth gauge 5 mm rang 5 facilities good 01.01.1993 immediately9754 Mitutoyo Quick scopeMEASURING MICROSCOPE 110017 MITUTOYO PJ-250H/PJ Profile Projector 23228 MKS 647C-4-R-1 MFC Multigas controler 1 FACILITIES excellent 01.01.2001 immediately VAS3230 MKS MFC 100 sccm Ar 1 FACILITIES excellent 01.01.2001 immediately VAS6055 MKS PDR-C-1C Baratron Vacuum Gauge 1 excellent w/ sensing head6056 MKS PDR-C-1C Baratron Vacuum Gauge 1 excellent w/ sensing head

With HP 59822B Ionizat ion guage cont rollerIncludes spare columns, manuals etc.HP-1 column 5m x 0.53mm x 2.65 um m ethyl sili con gum110-240V input supply

3577A Operat ing manual part number 03577-90000Maintenance manual p/n 03577-90012CE markedIncludes E4916A Operation manual p/n 4915-90030

dimensions 47cm x 22cm x 15 cmWeight 6 kg1 meter l ength30 day return warrenty included to nearest SDI office.30 cm length30 day return warrenty included to nearest SDI office.

Tim Interval Analyzer � 592 I/O channels �

Spare part, driver stage for generator, Trumpf laser for cut ting sheet steel .New in original crate.-Pelt ier tube is broken in the Emission Mi croscope camera-prober Z drive needs replacing as it is worneach tank and one pipe is locted at the top of each tanks. The pipes are perforated to all ow water to enter the tank when the fill valves are activated

CONDITION COMMENTS Current ly warehoused. Operat ional when deinstalled.

* Temperature cont rollers operate i n constant temperature or constant resistance mode with expanded gai n setting from 1 to 127 * GPIB/ IEEE-488 or RS-232 remote cont rol interface

SLOT 9 TIMINGSLOT 16 PMU

No cables

Rated Power Output 2002 Watts

Including Del l Model M570 (11/1999) colour MonitorIncluding Del l Model M570 (11/1999) colour Monitor

repeatability of refractive index measurement: +/- 0.0005 on 800 A S iO2/S i sample, t=400 msInspex TPC 8525 Patterned Wafer Inspect ion System 15amps, 120/240vac.Located in Texas, USA

unknown but looks compl ete

-All hazardous liquids drained with DI water and tested -Ethylene glycol refigerant drained -compi lat ion of materials safety data sheets for toolcm 220 x 220 x 224 h, 3500 kgs weight

CE Marked

# NIKON CFWN 10X Eyepieces# 100W Halogen Lamp Housing * Remote Keypad Controller * High Intensity Lamp for Manual Macro Inspection # SONY Model PVM -135 B&W Monitor# Remote Keypad Cont roller

JEDEC and NEC Trays

Good core for rebuilder. Approx 30" box; Airco Simba 2 power suppl y; 20" CTI cryopump. E-gun missi ng; chamber tooling mostly missing. Sit ting in non-operat ional wafer fab area. Buyer must remove

CONDITION COMMENTS Currently warehoused. Robot arm is not working on the hnadler uni t.

Features 75W Light SourceHi gh Speed Monochromator

Original price(1998) : 150 K$Condiction: like new, never install ed

(Voltage drop at 2.0kVA should be within 3%)

The system is due for de-install around the beginning of June and can be seen operating wi th prior arrangement

Excellent condition... very lit tle use

2 - 3 tank automated sink mounted in one 10 foot long S/S cabinet.

R134aDi ms: 44cm x 56cm x 45cm2 inputs/outputs2 Grind spindlesoperati onal condit ion

Bottom Row: VME comm. port board, VME SBC/CPU1 board, VME vis ion system, VME temp control board, USG board, Servo preamp board, stepper controller, VME sensor/solenoid control, VME I/O boardLike new condi tion.Located in Europe therefore has CE mark.

4012-K267

Sn:2063 Vintage 91-6LOCATED IN DUBLIN, IRELAND

Quantity of 11 availableVintage 1994~1995Kairos windows based softwareStart-up, qual ification and warranty

15. MACHINE TABLE TYPE 2 W1000/D800/H700MMCE MarkedSuitabl e for wafer up to 150mm or Substrates 6" x 6"up to 6mm thickness

Fragile Material Compatibility : InP, GaAs, sil icon, ceramic, kapton, MCT, glass...4" chip size optionally allowing substrate to substrate bonding V 230 VA 1500 HZ 50/60CE MARKED7. System is packed for shipment per factory spec. Lead time: 4 to 6 weeks aro7. System is packed for shipment per factory spec. Lead time: 4 to 6 weeks aro7. System is packed for shipment per factory spec. Lead time: 4 to 6 weeks aro7. System is packed for shipment per factory spec. Lead time: 4 to 6 weeks aro1. Karl Suss Light Tight Enclosure with Isolation Table2. Additional Objective: 50xNB: Only one Trio Tech setup comes withthis prober.

INSPECTION AVAILABLE.A Dual load lock, in-l ine, side sput tering batch system. The 600 Series systems are part icularly popul ar for sensiti ve applications requiring target material that produces unusually high parti culate contamination.

� De-i nstalled in March 2003

Set Size 200 mm

The Surfscan SP1 performs rapid, highl y sensit ive inspection of unpatterned wafers, providing capabil ity critical to the development and product of sub-0.25 micron process technologies. The SP1 utilizes a patented technology known as Stationary Beam Technology (SBT) to detect surface defects and contaminants. Using opt ics and an optional bright field channel, the tool can detect parti cles, scratches, and other materi al defects on 12" (300mm) unpat terned wafers (only). Sof tware version -> 3.4; manuals on CD available

Currently under manufacturer's warranty.Will be avail able ~Q1 2007.

Set Size 150 mm

Part icle Sensiti vity: 0.10µm, rough surfaces, varying film thickness, map wafer surface in 3D, KLA 6420 Surfscan for Non-Pat terned wafers, Capable of 3" -8" wafers, Low angle optics,Standard OEM puck,0.10 micron defect Sensitiv ity @ 95% capture on bare silicon, based on PSL standards,accuracy within 1% based on Tencor approved test specificaitons, 0.02 ppm Haze Sensit ivit y on Silicon, new Argon Laser,Win98 software,CD ROM writer, NIST Calibrated,new printer, network ready, upgraded electronics package, manuals,XY coordinates,GEM SECS: opt ions available. * Warranty: 60 day parts, CCM and Wafer puck not included.3 Pieces all crates.CD-SEM Measurement

dimensions 240cm x 141cm x 230cm, weight 1773 kg CRATEDNumerous spares also available.Price for sale including install at ion on a "best ef fort " basis and incl uding installat ion to specificat ion can be quoted on request.Conversion to 0.36 pixel s ize is also possible.Floppy Disk Drive8mm Tape Drive0.25 30 0.20 15 Full perform ance specif ications are availabl e on request

This KLA Tencor HRP-100 contact prof iler i s in good condit ion and it was manufactured in year 2000.

ISMIF, OCR,S ignal tower, Earthquake restraint kitFull specifi cations are available on request.

Fully Automatic Overlay Accuracy Inspection

KLA PART NUMBER 780-688251-000ROLLA-LIFT P /N 970-678679-00-AC

· EMOs with switch covers�

Model 056456With printer etc.s/w windows NT 3.51#SEC/GEM yes

s/w windows NT 3.51#SEC/GEM yes

Provides in-line measurement and mapping of wafers for process monitoring and control.Measures single or mult ipl e l ayers of oxide, nitride, photoresist, polysilicon and other optically transparent f ilms from less than 100 angst roms to greater than 4 mm13 m (6.5 m ) range 0.001 m (10 A) max sd300 m (150 m) range 0.005m (50 A) max sd

GEMS/SECS II� 208V, 3A, 1 Ph, 50 Hz�0.25 30 0.20 15 Full perform ance specif ications are availabl e on requestThin film measurement tool with broadband UV optics and dual beam spectrophotometry, applications include polysilicon, UV reflectiv ity, and simultaneous oxide and TiN thickness for CMP applications, Windows NT Summit software with GUI, s imultaneous mult ilayer and ESML measures over 500 discrete wavelengths, SECS II interface incl uded, 110v

Accessories 8" chuck

Condit ion ExcellentYear of Manufacture 1997SEM IMPACT ADC *SEM IMPACT ADC(Software and Hardware).# Modem and SecS Com Connections # 4,5,6,8 inch wafer Setup capable

The results can be displayed or stored in color-coded wafer m aps, hi stograms, or sum maries.

Personal Computer System with 19 inch Video Monitor, Keyboard, Rol ler Ball. Sony model up-895 MD V ideo Graphic Printer Used for high speed step height monitori ng excellent step height repeatabi lity Refractive index and reflect ivit y measurements 110 Vac Process Vacuum

Criti cal dimension measurement and integrated circuit imagingKLA- Tencor Starlight 3, Unpatterned Retic le Surface Analysis System using a 0,375µm objective; inspects chrome, pellicle & glas ret icle surfaces, 0,5µm & 0,35µm sensi tivit y, automatic defect categorization, pellicle & gl as surfaces inspected. URSA detects contaminates as small as 4.0 µm, features black and white reflected light brightf ield mi croscope. Manual fine focus control, automated ret icle re- inspecti on, batch i nspect ion, high resolution review at 0,25µm. Our machine is generally working, just the Laser (Argon 488nm ) i s defect. The Laser is a normal consumable part .

Currently warehoused. Operational when deinstall ed

in usast ill installed aug 2006in usast ill installed aug 2006

serial number 940255220V, 1250W, includes FT di agnost ics Unit , cognex 3100 vis ion system, 44MB PC, dimensions are 120cm x 100cm x 155cm height* Trade marks of KLA-Tencor. SDI is not an authorized reseller of KLA-Tencor products.* Trade marks of KLA-Tencor. SDI is not an authorized reseller of KLA-Tencor products.* Trade marks of KLA-Tencor. SDI is not an authorized reseller of KLA-Tencor products.* Trade marks of KLA-Tencor. SDI is not an authorized reseller of KLA-Tencor products.

# -Polar and XY wafer mapping (>1200 sites). # -1-30 site programmable quick test

The m achine is in like new condition and can be viewed and demonstrated anytime.

Hi gh-sensi tive Dozing Monitor

Chamber 3: Poly DepChamber 4 Cool down208V 3 phase 32 KVA pumps and fans100V 1 phase 2 KVA controllersupgraded to 8" in 1999.Sti ll installed and can be inspected.

- TEMP CONTROLLER : YES N2 Purge Function for Buffer Rack *Auto Pressure Equalization Funct ion

No Motor Not installed - Not Crated Accessories Verity AP2000 UL Process ControllerTwo Olympus GSWH-20x eyepi eces

maximum stage rotat ion 270 degrees Tool is de-install ed and S tored

THIS TESTER HAS NOW BEEN CRATED AND PLACED IN STORAGE SINCE JUNE 2005

4.5 CU FT. 12 TO + 6 Degrees C- Remote AC Box- Orbitally Welded Gas Box : Backside Heli um Cooling

Availability: Now Chem Delivery Unit110VAC/60Hz/25A power input

Now the machi ne can be used for poly application with no any part change, but if you want to use this machine for metal as origi nal,we will have to replace some missing parts and new MFC change-BCL3 and some MFC correct s ize Remote AE 2200 Rf CartDual Channel chiller

Pumps or Process K it not included.

6 O2 300 UFC 8160Pumps sold separately

S/W 2.2

One for 4520 poly chamber. the other for 9400.Includes dry pumps, cablesVAT 64 �8 MFC (Tylan, Unit)several missing PCB cardsgap dri ve apart and missing many itemsPDW 2200Cl amped chamberRemote AC box EMO cable Yes (customer suppl ied)Etcher power cable Yes * Buyer is resonsible for any packing and crati ng

1 skid, < 1,000 lbs

1 skid, < 1,000 lbs# Vert ical Unload Station # Touchscreen Control

Lambda Physik 193nm (A rF) Excimer laser for li thography applicati ons. Thi s is a 5 Watt 4Khz laser wi th a 0.3pm Bandwidth specModel A4003 Exci mer lasers, Qty of 4Lambda Physik 193nm (A rF) Excimer laser for li thography applicati ons. Thi s is a 5 Watt 4Khz laser wi th a 0.3pm Bandwidth spec

2000, tape and reel unit (tape only output ), (no manuals).CONDITION COMMENTS Current ly warehoused. Excellent condition 1 cabinet with l arge drawers and 1 cabinet with small drawersCurrently warehoused Power Requirements 120 V 7.0 A(2) 1 Phase Mounting Type Stand Alone

No monitorc/w one monitorCE MarkedNo Monitor

Manuals : NoCE Marking : No

monitor, or 100 % monitor*LUDL robot and controllermonitor, or 100 % monitor*LUDL robot and controllerLUDL robot and controller

6, 8 inch available

1 skid, < 1,000 lbs

Leitz MPV-SP Thickness Measurem ent System, 110/120-130/220-230/240volts, P.Max: 30watts, 50/60Hz, Scope Type: 020-501.015, Laser Type: 036-094.102, Class 1, Li ght Type: 036-086.001. Locat ion: Of fices of SDI Inc., Colorado Springs, Colorado, USA.

Locat ion: SDI ItalyLocated at SDI in Italy.Does not incl ude wafer handler.

Crated and ready to be picked up Operat ional when removed

Complete As NewIncluding cable.

Rack dimensions 61 x 90 x 179 cm* ®, Applied Material s�Flow: 16M3/HrIn I rish Of fice

Ul t. press. 8 x 10-4With ARS 16-25 Oil mist filterCE marked60Hz US versi on

Rebuil d Condit ion : Like new

We will gladly professionally ship and install this m achine worldwide for addit ional cost. Buyer is responsible for acquiring all software li censes to run the machine.

2 Dual Channel DPS 2 Quad Channel CPS

modular glove box. consisti ng of three modules. each 900mm(h) x 1800mm (l) x 780mm (d). control of functions and parameters wi th SPS manufacturer: M Braun Module 1 (chemical box):One filter gas exhaust , 2 glove feedt roughs on front and back side, standard load look right (390mmx600mm )to module 2, minil oad lock lef t (150mm x400mm). O2 sensor, H2O-sensor, gas cleaning pump, solvent trap , box cleaning unit Module 2 (depostion box): Module w/o gas exhaust, 4 gl ove feed throughs on front s ide. Integrated depost ions tool Edwards Auto500. O2-Sensor, H2O-Sensor. Mini load look lef t. Load lock, T-Design l eft (390mmx800mm) (interface to chemical box). automatical l oad look control(SPS), Vacuumpump, box purging unit Module 3 (measurement box):box w/o gas exhaust, 4 glove feedtroughs on f ront s ide. 2 schwingungsgedämpf te Hartsteinplatten. Verschiedene Kabeldurchführungen (Koax, für Messsignalkabel, usw

-System pressure 70 PSI-Low particle generat ion designed series VI

-System pressure 70 PSI-Low particle generat ion designed series VI

Computer Power Macintosh G4

Chiller: Af finity Model RAA-007Q-CE0ICBCSet of ManualsChiller: Af finity Model RAA-007Q-CE0ICBCSet of ManualsPN:80282462250CQuantity:11 roolsIncluding new Gas supply Line , Reg, Solenoid.

1 skid, < 1,000 lbsCrated (est imate) 153 cm x 107 cm x 155 cm.Photos available on request .

See at tached photos for details.Used for DIP 300 mil, 8/24 lead packages

Automat ic CV P lot ter with 490 QuietChuck 200mm DC Hot Chuck, H-P 4140B pA Meter/DC Voltage Source & H-P 4284A 20Hz ? 1Mhz Preci sion LCR Meter * Computer, printer, frequency synthesi zer Deinstalled, barrier bagged, warehoused at warehouse of SDI , Avezzano, Italy.Only the main unit in the photos is available.

See at tachmentsAll parts as new condit ionBase Material: CuFe + CuNiDeposit 1: Sn plate

Assy Elect MF 10PS, GII I p/n 121492

Soldering I ron and Vacuum tubeSoldering I ron holder #WS4Just the unit pictured is being sold.There is no PC with it.

Voltage range 90~280VAC 43Hz,0.5A 250V.Metronics remote control

Refurbished and Guaranteed fully functional.Includes gas box.

89w x 75 d x 76 h

Set up for detaping of 8 inch wafers v ia UV Irradi at ion of tape.Deinstalled, barrier bagged and warehoused, stored at the warehouse of SDI, Avezzano, I talyLocated at the warehouse of SDI, Avezzano, Italy.The M icrocontrol MWEP is a fully automatic, economical table top system designed for erasing memories and EPROMS on wafersthough UV exposure.Another applicat ion i s the sof tening of UV protect ion tape prior to the detaping af ter back-grinding process.The system uses 2 servo drives, robotics and a single wafer cassette to achi eve up to 500 wafers per hour throughput, excluding exposure time. A simple operator i nterface features menu driven sof tware with wafer program storage. The MWE is a highl y f lexibl e system that can be configured for wafers from 4 inch to 8 inch wi thout a hardware change.

vintages 1999-2000

gauge resolut ion i s 0.01 mmMechanical t ype

Page 4: [XLS]snf.stanford.edu/piperma ··· ment.xls - Stanford ...snf.stanford.edu/pipermail/specmat/attachments/20121223/... · Web viewlifting frame with remote control, 18 different

6066 MKS 113B-1-P Read out unit 1 excellent10760 MKS Orion Comp Residual gas analyser. 111599 MKS ROR-8U-S Gas flow rate calibrator 110791 MKS / Astex Triod Tube Triode Power Tube, used in 100 Please contact [email protected] for price and availability8454 MKS Instrum 647B-14041 MKS Instruments 647B Pres 2010354 MKS Instrum Series 47 HeSpare Parts 17708 Mosaid MS3480 Memory Tester 17709 Mosaid MS3480 Memory Tester 110951 Mosaid 3490/3480(3Testers 47843 MOTOROLA AUTO ROTAOR 1 15.09.199610173 MPM UP3000 In-Line Screen Printer 1 200 01.01.199710460 MPM AP/B PRINTER, SCREEN 2

11137 MPM SPM MPM SPM Semi Automatic Scr2 good immediately11138 MPM SP200 Semi-Automatic Screen Print 1

8742 MRC 662 3 target sputtering tool 111701 MRC 822 Sputtersphere with Load Lo 1

11694 MRC 943 Sputtering System (In-Line 111695 MRC 603 In-Line Load Lock Sputterin 1 with BI-Scan11696 MRC 902A In-Line Load Lock Sputterin 111698 MRC 902M In-Line Load Lock Sputterin 111699 MRC 903A In-Line Load Lock Sputterin 1 (Cannibalized parts machine)11700 MRC 903 Load Lock Sputtering Syste 1 with GE Fanuc PLC Automation11702 MRC 8632 Sputtering System with Manu 1

11703 MRC 8800 Turret Sputtering System 1 (4 Face Cube) with Manual Load Lock (6" Diameter Targets Only11711 MRC Sputter Etch System 1 "Flip Top" RF 11712 MRC MARIE 720 Etch System 1 (Cannibalized, Parts Machine)11716 MRC 942 Sputtering System 1 In-Line Load Lock 8640 MRL 62-931792 MRL Furnace 110018 MRL INDUST1024 2- Tube Horizontal Diffusio 110019 MRL INDUST1024 3-Tube Horizontal Diffusio 110020 MRL INDUST14TC-45 SMD IR Conveyor Furnace, 5111656 MRL Industri 228200 Ion source vacuum oven 111657 MRL Industri 350-927115 Implanter parts oven 111658 MRL Industri Cyclone Horizontal furnace 1 150 mm8665 MRSI MRSI-175 MRSI 175 Dispenser 410943 MTI NSX-250DS Multi Blade Dicing Saw 1 8"/200mm 01.05.199911802 Muhlbauer DB 200 WTE Die Bonding system 1 ASSEMBLY excellent 01.06.2002 01.06.2000 immediately ejector one needle system, type 10o, diam. 0.7 mm, length 17 mm, range 0,1-1,5 mm, Wafer s ize max 8 inch, bondarm X=5 mm, Y,Z=50 mm, bondarm force 50-250 g, table s ize 630x1100 mm, 400v, 16 amp, 50/60 hz, with Leadframe transport system, digital display with control, "Leica" Microscop, "Pulnix" camera control unit, monitor, fiberglass light, 1 "Xanto"power supply, type S 2000, keyboard and "Cedes" Safety security, type SAFE 4 11804 Muhlbauer DB 200 WTE Die Bonding system 1 ASSEMBLY excellent 01.06.2002 01.06.2000 immediately ejector one needle system, type 10o, diam. 0.7 mm, length 17 mm, range 0,1-1,5 mm, Wafer s ize max 8 inch, bondarm X=5 mm, Y,Z=50 mm, bondarm force 50-250 g, table s ize 630x1100 mm, 400v, 16 amp, 50/60 hz, with Leadframe transport system, digital display with control, "Leica" Microscop, "Pulnix" camera control unit, monitor, fiberglass light, 1 "Xanto"power supply, type S 2000, keyboard and "Cedes" Safety security, type SAFE 4 11807 Muhlbauer DB 200 WTE Die Bonding system 1 ASSEMBLY excellent 01.06.2002 01.06.2000 immediately ejector one needle system, type 10o, diam. 0.7 mm, length 17 mm, range 0,1-1,5 mm, Wafer s ize max 8 inch, bondarm X=5 mm, Y,Z=50 mm, bondarm force 50-250 g, table s ize 630x1100 mm, 400v, 16 amp, 50/60 hz, with Leadframe transport system, digital display with control, "Leica" Microscop, "Pulnix" camera control unit, monitor, fiberglass light, 1 "Xanto"power supply, type S 2000, keyboard and "Cedes" Safety security, type SAFE 4 6204 Multi-test MT8503 Multi-test Handlers 2 Handlers not including package Kit6772 Multitest MT8501 TEST HANDLER 1 TEST good 01.03.2005 01.06.1991 immediately 230V 1 PHASE 16A, 11678 Murakama GM-26D Reflectance gauge 18625 Musashi TBW-CD-30 Musashi Compact Chamber Ch18787 Musashi Engcustom infrared lamp furnace 0 6 incl excellent 01.04.19988786 Musashi engcustom infrared lamp furnace 0 6 incl excellent 01.04.199810357 MV Technolo1820 Component Inspection 18446 Mydax 1VLH7WA Mydax 1VLH7WA Chiller 18627 N/A R02-145550BEI Industrial Assy, XFER A 26582 Nachi-Fujikousp-6b double-side lapping machin 1 29.12.18997568 Nanometrics010-0180 CD Measurement 1 4" 4"7569 Nanometrics215 Film Measurement 19689 Nanometrics010-237 Microscope 1 good immediately

10021 NANOMETRINanoline CDCD Measurement Tool 110022 NANOMETRINanospec 2 Film Thickness Measuremen110023 NANOMETRINanospec 2 Film Thickness Measurement 1 01.10.199110174 Nanometrics210 XP AFT Thin Film Measurement Syst 1 200 01.10.199210358 Nanometrics210 AFT Thin Film Measurement Syst 1 good immediately11070 NANOMETRINanospec 2 Film Thickness Measuremen1 good immediately11560 Nanometrics NanoSpec 8000 1 01.05.199810629 NAPCO 250 WATER BATH 1 poor10531 NEC CPS-100AS Mounter 1 6 inch 01.05.200311542 NEC PM-6552 Mounter 1 01.04.200212068 NEC CPS-100AS Mounter 1 6 inch 01.05.200310359 NEC Corp SL-473E Laser Marker 1 1506768 NEFF Press ID12-5M Hydraulic press 1 FACILITIES excellent 01.03.2005 01.06.2003 immediately11358 NEL D-304 1 6 INCH .TAPER, NITOMATIC.8492 NEL System D-304 NEL System D-304 Tape Appl18493 NEL System H-304 NEL System H-304 Tape Re 18616 Neslab 6.02E011 Neslab Cool Flow System IV 15703 Neslab HX75 Chiller 0 Neslab HX75 Chiller9634 Neslab CTF-75 Refridgerated Cooling, Pump1 PD2 good9635 Neslab RTE210 Chillers 5 good9636 Neslab RTE211 Chillers 4 good9640 Neslab HX-150 Chillers 2 good 1 Water Cooled, 1 Air Cooled10024 NESLAB CFT-75 Air Cooled Chiller, Analog 110025 NESLAB HX-75 Recirculating Water Chiller 110026 NESLAB HX-75 Recirculating Water Chiller 110027 NESLAB HX-150 Recirculating Water Chiller 110028 NESLAB HX-150DD Recirculating Water Chiller 110029 NESLAB HX-151 Recirculating Water Chiller 110030 NESLAB HX-200 Recirculating Water Chiller 110031 NESLAB HX-300 Recirculating Water Chiller 1 FACILITIES good immediately10032 NESLAB HX-750 Recirculating Water Chiller 110033 NESLAB SYSTEM II Liquid to Liquid Heat Exchan 110630 NESLAB RTE-110/RT Water Bath 2 poor11029 Neslab HX-150W Chiller 111352 NESLAB HX-75 CHILLER 111564 Neslab HX-150 Neslab Coolflow Chiller 1

11565 Neslab HX-150 Neslab Coolflow Chiller 111566 Neslab HX-150 Neslab Coolflow Chiller 18526 Newport 35-3700-14 Newport Kensington Robot 28533 Newport 25-3700-14 Newport Kensington Robot 128612 Newport 25-3700-14 Newport Kensington Robot 29757 Newport 04S150386 GRANITE TABLE 110034 NEWPORT RVH 3648-OP Isolation Table, 4200mm X 110035 NEWPORT RESEARCH Wafer Handling Robots w/Lase11896 NICOLET MX-ECO 1 150 MM-200 good 01.11.1982 immediately6869 NICOLET EC0-8S FTIR 2 125 MM9151 NICOLET ECO 1005 FTIR 51 110036 NICOLET ECO-DX FT-IR Spectrometer for Carb 110037 NICOLET ECO-MX 160FT-IR Spectrometer, As-Is O 112021 Nicolet ECO MX Epi film monitor 112086 Nicolet ECO1000 FTIR 19208 Nidek IM-80D10/M Inspection 1 wafer s ize 89207 Nidek IM-80D10 Inspection 1 wafer s ize 89206 Nidek IM-80D10 Inspection 1 wafer s ize 85705 Nidek IM140 Scope Handler 1 Nidek Scope Handler10908 Nidek AI-1 PR Visual checker 1 8 inch 01.07.20018894 NIHON KOS RFS-30HF RF POWER SUPPLY 18946 NIHON KOS HFS-030 RF POWER SUPPLY 19008 NIHON KOS HFS-005 RF Power Supply 18893 NIHON KOS HFS-005 RF POWER SUPPLY 17808 NIHON KOS HFS-005 RF POWER SUPPLY 1 ONLY MAIN UNIT IS AVAILABLE7810 NIHON KOS HFS-020 RF POWER SUPPLY 1 INCLUDE M/B AND AMC9818 NIHON KOS HFS-30 RF Power Supply 1

10669 NIHON KOS MKN-203 111637 NIHON KOS HFS-20 RF Power supply 111638 NIHON KOS HFS-020 RF Power supply 11936 NIKON NSR-S202A DUV STEPPER 1 200 MM good 01.01.2003 immediately1933 NIKON NSR-S201A SCANNER 1 200 MM excellent immediately LENS NA 0.6, RESOLUTION 0.25 UM, REDUCTION RATIO 1/4, EXT WAFER CARRIER, 6 INCH RET.,NOTHCED WAFERS,CHIP LEVELLING, FIA, LIA1928 NIKON NSR-2205-i STEPPER 2 6 INCH good immediately1907 NIKON NSR-2005-i I LINE LITHOGRAPHY 2 200 MM excellent 01.01.2004 01.01.1997

2758 Nikon NSR2005I1 I-LINE STEPPER 1 150 mm excellent 01.03.2004 01.06.1995 immediately2759 Nikon NSR2005I1 I-LINE STEPPER 1 150 mm excellent 01.03.2004 01.06.1995 immediately2760 Nikon NSR2005I1 I-LINE STEPPER 1 150 mm excellent 01.03.2004 01.06.1995 immediately2926 NIKON NSR-2005-i I-LINE STEPPER 1 200 mm excellent 01.05.1992 immediately6888 Nikon NSR-2205EXDUV Photolithography expos1 150 mm excellent 01.03.2005 01.06.1997 immediately6874 NIKON NWL 640 AUTOLOADER 20 125 MM9220 Nikon S202a Stepper 1 01.03.1999 wafer s ize 89219 Nikon S202a Stepper 1 01.09.1998 WAFER SIZE 89218 Nikon S202a Stepper 1 01.03.1998 wafer s ize 85216 Nikon NSR S202A DUV lithography exposure to1 200 mm immediately5217 Nikon NSR S203B DUV lithography exposure to1 200 mm immediately8506 Nikon MPA-3 Nikon Micro-Pattern Analyze16806 Nikon NSR-S305B ArF DUV Lithography scann 1 good 01.03.2002 immediately9466 Nikon NSR 2205 E deep UV Stepper 1 8 inch excellent 01.06.19967506 Nikon NSR-2205EXDUV Photolithography expos1 200 mm excellent 01.01.2005 01.06.1999 immediately7571 Nikon Stepper G6D 1 6" 6"7572 Nikon Stepper i8A 1 6" 6"7868 Nikon Body 4 spar Camera Controller, Camera 18424 Nikon i7 Stepper 1 8 Inch good 01.06.1989 immediately8051 Nikon NSR2205EX Stepper 1 01.05.1997 Specification & Photo's Attached9749 Nikon SMZ800 STEREO ZOOM MICROSCO 19753 Nikon Eclipse L150INSPECTION MICROSCOPE 1 good immediately10038 NIKON Metaphot Binocular Microscope with 5 110039 NIKON NWL-851 Cassette Wafer Loaders for 110040 NIKON Optiphot 66 Trinocular Numarski Microsc110041 NIKON Optiphot 66 Trinocular Numarski Microsc110042 NIKON Optiphot 66 Binocular Microscope with 5X110043 NIKON Optiphot 88 Binocular Microscope with 5 110044 NIKON Optistation 2 Automatic Wafer Inspection 1

10045 NIKON Optistation 3 Automatic Wafer Inspection S110362 Nikon LCD Microscope 1 15010365 Nikon NSR-2205EX248nm Stepper 1 20010366 Nikon NSR-S203B 248nm Scanner 110367 Nikon NSR-S306C 193nm Scanner 110368 Nikon Optistation 3 Microscope 1 150 Optistation 3 Inspection System 10907 Nikon NSR2005i1 i-Line stepper 1 150mm 6" good immediately10957 NIKON 4425I Wide Field I-Line STEPPER 1 200 mm good 01.06.2005 immediately11010 Nikon 3 Visible Optical Microscope 1 01.01.199511110 Nikon Optiphot 88 Metalurgical Microscope 111111 Nikon NWL- 851M Wafer auto loader 1 200 MM 01.04.199611113 Nikon Optiphot 200 Inspection Microscope 111377 Nikon Scope Heads 811378 Nikon Opistation 3/ Opti Inspect Station 1 100 mm 01.06.198911380 Nikon UW Microscope 2 01.06.199611676 Nikon Optistation 3 Wafer inspection 2 150 mm11677 Nikon M110 Wafer inspection station 1 150 mm11845 Nikon Optiphot Microscope 111846 Nikon Optistation Microscope 111863 Nikon AMI2000 Wafer Surface Inspection S 1 200 mm excellent 01.11.2001 immediately11958 NIKON 3A OPTISTATION CU 1 200mm11979 Nikon NRW-504 Retic le c leaner 112022 Nikon Nikon 17 Nikon Optistation 3 112023 Nikon Nikon 15 Nikon Optistation 3 112024 Nikon Nikon 09 Nikon Optistation 3 112026 Nikon Optistation 3 Nikon Optistation 3A 1 Was running until June 0612027 Nikon Nikon 07 Nikon Optistation 3 112028 Nikon Nikon 05 Nikon Optistation 3 112029 Nikon Nikon OPTIS Nikon Optistation 3 112058 Nikon Stepper 1 6 inch 01.05.199612081 Nikon SMZ 10 Metallurgical Microscope 1 excellent Application 12121 Nikon Metallurgical Microscope 1 good12122 Nikon SMZ 1000 SMZ 1000 with camera adapt110974 NIKON-NPI S202+ NIKON-NPI 12808 NILFISK GB726 Industrial Vacuum Cleaner 2 Facilities good 01.12.2003 01.05.1995 immediately11679 Nitto DR-6304 Wafer tape removal 1 150 mm 01.06.1993

11680 Nitto HR-6304 Wafer tape apply 1 150 mm 01.06.199310046 NITTO DEN D-304 Automatic Wafer Taper 110047 NITTO DEN H-304 Automatic Wafer Detaper 110719 Noah SCP3106 Foundry s ink 28567 Noah Precis n/a Noah Precis ion 6" Cleaning S12690 Nor-Lake Enviroline Packaging Tool 1 Assembly good 01.03.2001 immediately10925 Nordiko SPUTTERING SYSTEM 2 01.06.1990 2 x Sputtering system, one MOD1 low pressure single wafer chamber, loadlock9637 Nortec MP500 Humidifier 1 fair 480 VAC, 3P, 35A, 20.4Kw11959 Nova 210 NOVA MEASURING 2 200mm10175 Nova Measu2020SA CMP MONITOR TOOL 1 20011141 Novastar 1800A Full Convection Reflow Ove 110370 Novellus C2 Sequel PECVD (Chemical Vapor Dep1 200 MM good 01.01.2000 immediately6891 Novellus C1 WCVD Tungsten CVD 150mm 2 150mm 01.03.2005 immediately9786 Novellus Concept 2 Multi-Process / Various Pr 34 excellent 01.06.2004 immediately8664 Novellus 10-107923- Novellus B/C Valve Manifold 28659 Novellus R04-721696Novellus Clampless, No Flat28660 Novellus 04-708211- Novellus Servo Controller 28661 Novellus 00-689345- Novellus RF Table Drive Ass48443 Novellus 04-705651- Novellus Coaxial, FF, Feed 38456 Novellus R27-130951Advanced Energy Match 188468 Novellus 04-716577- Novellus TI, 5N Target 18474 Novellus PL-2HF ENI Plasmaloc 2-HF Power 48480 Novellus 06-130938- Novellus ESC, IC, NTH, Spray18481 Novellus 04-130970- Novellus CONSBLS, IMPVD H18483 Novellus 04-716542- Novellus 5" DC BIAS, Heater 1008507 Novellus 06-116368- Novellus DFE Spare, Shield K28511 Novellus 04-716616- Novellus RF Matching Netwo18524 Novellus 00-684170- Novellus Wafer Load DR 5" 18536 Novellus R04-719669Novellus Assy, Elevator, R.H18539 Novellus R02-139515Novellus LH MVBL, PED Ass 18540 Novellus 02-1063598 Novellus RT Moveable Heat28548 Novellus 04-716070- Novellus Wafer Size, Kit, 6" 18568 Novellus 52121902E Novellus Load Arm, C2 Assy28569 Novellus PB78P-FA Digital AlphaServer 1000A 7

8575 Novellus R02-00308- Novellus Spindle W, DD, Fla 108578 Novellus R02-00308- Novellus Spindle, W, DD, F 2

8579 Novellus 00-687501- Novellus Etch Table, 150mm 18581 Novellus R03-10641- Novellus Indexer Drive, Left 78583 Novellus R04-716616Novellus RF Matching Netw 48587 Novellus 04-717628- Novellus Ignitor Box Assy 28588 Novellus 00-680104- Novellus Pressure PLT Assy18593 Novellus 04-719775- Novellus Digital Eurotherm 18596 Novellus 02-053001- Novellus Door Lift Assy 18597 Novellus R02-029514Novellus Type 2 Indexer Ro 18599 Novellus 04-719416- Novellus Wafer Orienter Cont178615 Novellus R04-722441Novellus 8" Fujik in Network 48630 Novellus 04-719317- Varian RF Interface Controlle28632 Novellus 03-10641-0 Novellus Type 1 Indexer Ro 18642 Novellus 27-09349-0 Novellus PLC Sequencer, Un17715 Novellus C2 Speed SeConcept 2 Speed tool with 1 200mm excellent 01.06.2004 01.05.1999 immediately9785 Novellus Concept 2 D Multi-Process / TEOS CVD 1 200 mm excellent 01.06.2004 01.10.1994 immediately9787 Novellus C2 Speed SeConcept 2 Speed tool with 1 200mm excellent 01.02.2003 01.01.1996 immediately10971 NOVELLUS 372M NOVELLUS 372M 310982 NOVELLUS 372 Planer 111071 NOVELLUS 02-00345-0 Novellus Gas Cabinet by e- 111402 NOVELLUS DUAL SPEED Thin Films 111734 Novellus C1 Plasma CVD system 1 125 mm 01.05.199311762 NOVELLUS 676 Planer 111960 NOVELLUS 676 W POLISH 4 200mm11961 NOVELLUS 372M/210 CMP OXIDE/ILD 5 200mm3074 Novellus * Concept 2 SaCopper electrofill *wet deposi1 200 mm new unused 01.09.1999 2 weeks9239 Novertek NTS-2200 CYCLING TESTER 1 01.05.19979240 Novertek NTS-2200 MEMORY CYCLING TESTER1 01.12.2001

10371 Novtek NTS2200A Temperature Cycle System 1 2009451 NP Test IDS3000PS2 EB Tester 1 01.04.199512061 NP Test IDS3000PS2 EB Tester 1 01.04.19958457 NPI( Northwe2284-B-103 NPI ( Northwest Power Integr110372 NPTest SchlumbergeSOC Test System 1 01.01.200311707 NRC/VARIAN3177 1 with 24" O.D. X30" H S.S. Bell Jar11600 Okoru Y297-A0200 Temperature profiler 1

2822 Olympus BHMJL Microscope inspection stati 1 150 MM good 01.06.1999 01.01.1991 immediately8452 Olympus BH2-UMA Olympus BH2-UMA Microsc 1

5755 Olympus BH3 MJL A4 Microscope inspection stati 1 150 MM good 01.06.1999 01.01.1991 immediately5811 Olympus BHMJL Microscope inspection stati 1 150 MM good 01.06.1999 01.01.1991 immediately

5812 Olympus BHMJL Microscope inspection stati 1 150 MM good 01.06.1999 01.01.1991 immediately5813 Olympus BHMJL Microscope inspection stati 1 150 MM good 01.06.1999 01.01.1991 immediately5814 Olympus BHMJL Microscope inspection stati 1 150 MM good 01.06.1999 01.01.1991 immediately9750 Olympus SZ-11 STEREO ZOOM MICROSCO 110048 OLYMPUS BH2-MJL Wafer Inspection Microscope110049 OLYMPUS BHM Wafer Inspection Microscope110382 Olympus MX-50A-F Inspection Microscope 3 8 Inch10607 OLYMPUS MICROSCOPE OLYMPUS 112079 Olympus BHM High powered Metallurgical 1 excellent Accessories - DS-5M-L1 Digital s ight camera system incorporating a built in LCD monitor in a stand-alone control unit. 5 mega pixel resolution.6439 Omega P/N ACPC-1 Temperature Probe Curr/Volt2 good immediately10050 OMEGA 595 Strip Chart Recorder 110051 OMEGA RD6401-1K Circular Chart Recorder 16343 OPAL 7830I CD-SEM 1 150 MM-200 missing parts 01.06.1995 immediately MISSING LOAD STATION9853 OPAL i7830 CD-SEM 1 200 MM good immediately10223 OPAL 7830i CD-SEM 2 200 MM good 01.04.1997 immediately11531 Optical GaugSmartscope Scope Gauging 011660 Orbot WF-720 Defect scanner 110375 Orbotech VT8026 Stand-alone AOI 1 01.06.19984011 ORIEL 77200 1/4M MONOCHROMATOR AND OP1 150 MM good 01.04.1995 immediately5886 Origin P-4A Sealing Device 1 01.06.19948797 Origin P-4A Sealing device 1 6 incl excellent1982 OSAKA TD2001 TURBO PUMP CONTROLLE1 N.A. good 01.08.2001 01.01.1995 immediately6101 Osaka Vacu TG203VW Turbo Molecular Pump 1 excellent No Cable, controller6103 OSAKA vAC TG1003M Turbo Molecular Pump 1 excellent w/ controller, no cable6110 Osaka Vacu TG-3410 Turbo Molecular Pump 2 excellent w/ controller

6128 Osaka Vacuum Sputter 1 excellent8484 Osaka VacuuTD711/1111 Osaka Vacuum TD711/1111-18605 Osaka VacuuTG1133EM Osaka Vacuum Compound M210052 OSI Metra 2100 Wafer Inspection Tool, for 110376 OSI 2100 Overlay Measurement Syst 1 20010377 OSI VLS-1 CD Measurement System 1 CD Linewidth Measurement 6260 OTHER CLEAN TECHHAND WASH TOOL 16262 OTHER CLEAN TECHHAND WASH STATION 16263 OTHER CLEAN TECHHAND WASHER 1

6264 OTHER CLEAN TECHHAND WASHER 111790 Oxford Instr Plasmalab 1 RIE Plasma Etcher 1 200 mm excellent 1 month

10918 Oxford Instr Plasma sputtPLASMA IBE IBD SPUTTERI 1 good 01.05.2005 01.12.1988 immediately10856 PACIFIC PREV PPL 05 PPL SYSTEM 1 SERIES 30009751 Pacific Precis ion LaboratoNIKON MICROSCOPE 1 Including Panasonic B&W camera 8637 Pacific Preci ST-EL-66-P2PPL (Pacific Precis ion Lab 111148 Pacific Prec ST.Z6M.3000XYZ Measurment System 1 01.05.199811408 PACIFIC PREnot in SIMS 111936 PALL 881 EHA 3.4 Air Dryer 1 01.06.199011937 Pall 801 EHA 3.4 Air Dryer 1 01.06.19901987 PAN ABRASI PB1000F DRY BEAD BLAST SMIF FITT 3 200 MM good 01.01.2002 01.05.2000 immediately SMIF FITTED2353 PAN ABRASI ST-5 SWING TURNTABLE SUCTIO2 200 MM good 01.09.1995 immediately SMIF FITTED8663 Panasonic TB4OU-M Panasonic TB4OU-M Outer 19097 Panasonic SPF NM-PC SMT 1 SMT good immediately9847 Panasonic Panasert ST Flip-Chip Bonding System 1 01.12.200010380 Panasonic MPAV 24x12 Feeders 3611493 Panasonic SoftBeam Soldering tool 211497 Panasonic Edgebelt Conveyor & Material Handli 1 01.01.200011498 Panasonic Slide-Gate 6 01.01.200011502 Panasonic MV2F Placement Systems 410594 Panisonic YB-04Fm1 Laser solder system 0 excellent 01.04.20008127 PARKER AUTODOSE PLATING BATH ANALYSER 1 200 mm excellent immediately9572 Parker Autodoser Chemical Replenisher Unit 1 200mm8128 PARKER AUTODOSE PLATING BATH ANALYSER 1 200 mm excellent immediately9573 Parker Autodoser Chemical Replenisher Unit 1 200mm

9048 Partic le Mea Lasair 110 partic le counter 211236 Partic le Mea LASAIR 110 OPTICAL PARTICLE COUN 1 FACILITIES good 01.03.2005 immediately8688 Perkin Elmer 4450 Perkin-Elmer 4450 Sputterin 18669 Perkin Elmer 2400 Perkin & Elmer 2400 Sputter 15223 Perkin Elmer SIMAA 6000 Simultaneous Multi element A 1 excellent 01.08.2003 01.08.1995 immediately10921 Perkin Elmer PE 2400 SPUTTERING SYSTEM 1 150 mm 01.12.1988 3 RF sputter positions, loadlock, 6 " wafer carrier10922 Perkin Elmer PE 2400 SPUTTERING SYSTEM 1 150 mm 01.06.1985 3 RF sputter positions, loadlock, 6 " wafer carrier10923 Perkin Elmer PE 2400 SPUTTERING SYSTEM 1 150 mm 01.06.1983 3 RF sputter positions, loadlock, 6 " wafer carrier10054 PERKIN-EL 2400-8J RF Sputtering System, 3ea 2 111688 Perkin-Elmer 4400 Sputtering System (Load Loc1 (3 X 8" Diameter Targets)11689 Perkin-Elmer4410 Sputtering System (Load Loc1 (Delta Targets or 8" Diameter)

11690 Perkin-Elmer2400-8SA Sputtering System 1 with 1 Each 12" Target11691 Perkin-Elmer2400-8L Sputtering Systems (Load L 1

11692 Perkin-Elmer 2400-8J Sputtering System (Load Loc1 (4 Targets)11704 Perkin-Elmer4400 Sputtering System 1 Load Lock (4 X 8" Diameter Targets)6828 PFEIFFER TMH520 TURBO PUMP AND CONTR 1 N.A. good 01.01.2000 01.01.1998 immediately10926 Pfeiffer SPUTTERING SYSTEM 1 01.12.19988438 Pfeiffer Vac TMH 261 P/DPfeiffer Vacuum ISO-100 26 1 PUMP8500 Pfeiffer Vac PMK01882 Pfeiffer PMK01882 Turbo Pu 18571 Pfeiffer Vac PMP03043A Pfeiffer PMP03043A Turbo P 18591 Pfeiffer Vac 1100 L/S Pfeiffer Vacuum 1100 L/S Tu 258626 Pfeiffer Vac TMH 1600 Pfeiffer TMH 1600 Vacuum T 14932 PHI Smart 200 A Auger PHI Smart 200 1 200 Vendor is Physical Electrical1991 Phicom 1,1 Wire Bonder 16 ASSEMBLY 01.01.1984 immediately9763 Philco RF DUMMY LOAD 111499 Philips M20908/M23Flip-Station 311500 Philips Turn-Station 4 01.12.200011519 Philips FCM II Pick & Place 1 01.06.1999 with 96 Feeders, flexible carrier10516 Philips / FEI CM 30 Transmission Electron Micr 1 200 MM excellent 01.06.1989 immediately

9343 Philips AnalytXL50FEG 200mm inspection tool w/loa 1 01.06.19968687 Philips Tech Impulse 300 Philips Impulse 300 210055 PHOENIX 520-NMP Electrode Stabiliz ing Oven 110057 PILLER FUA 083-6/4 Motor Generator, 2ea Availa 17831 PIONEER CHANGER 15670 Plade Bold Wetdeck, Two Bath, Hyd0 A Plade wet deck Bold Wetdeck, Two Bath, Hydrofloric acid, (156cm wide x 180cm deep x 253cm high)5671 Plade Piranha Wetdeck, (4) Bath, S 0 a Plade six s igma gateway control system Piranha Wetdeck, (4) Bath, Super Q Etchant, Hydrogen Peroxide, Sulphuric Acid, N2, Ethylen Glycol, (2m wide, v 1.4m deep x 2m high)

5673 Plade Piranha Wetdeck, (5) Bath, 0 A plade six s igma gateway control system Piranha Wetdeck, (5) Bath, Hydrogen Peroxide, Ammonia Solution, Sulphuric Acid (2m wide x 1.4m deep 2m high)9839 Plade Piranha WET BENCH 4 Bath 19840 Plade Piranha WET BENCH 5 Bath 15864 Plasma Syst DES-106 P-Reactor 1 01.06.198910816 PLASMA-fini Plasma clea Plasma cleaner 111505 Plasma-finis V25-G Lead Frame Cleaner 17457 PlasmathermVLR Series/ Dry Etcher 1 150 mm excellent 01.06.1996 immediately6354 Plasmatherm790 Series PLASMA THERM 790-Series-P1 excellent 01.10.1992 immediately5225 PLASMOS SD 4000 Ellipsometer 1 200 mm good 01.09.2000 01.07.1993 immediately6807 Plasmos SD4000 ellipsometer with pattern rec 1 excellent immediately can be demonstrated11157 Plasmos SD-4000 Elliposmeter 1 parts machine

2678 PMS CLS 700 liquid partic le counter 1 FACILITIES excellent 01.01.1998 immediately4230 PMS LPS C210 Airborn Partic le counter 1 Facilities good 01.12.2002 01.05.1993 immediately

4231 PMS LPS C210 Airborn Partic le counter 1 Facilities good 01.12.2002 01.08.1995 immediately8083 PMS Lasair 110 Airborne Partic le Counter 1 Facilites good 01.03.2005 01.08.1995 immediately8082 PMS Lasair 110 Airborne Partic le Counter 1 200 mm good 01.03.2005 01.08.1995 immediately

10588 PMS 3608 SURFACE ANALYSIS SYSTE 1 01.06.1993 System boots up.9050 PMT mini-laz 310 airborne partic le counter 1

5656 PMT Mini Laz 310 airborne partic le Counter 1 PMT mini-laz 310 airborne partic le Counter11938 Pneumatic p GDA 1200-1 Air Dryer 1 01.06.199911939 Pneumatic p DHA 1200 A Air Dryer 1 01.06.199810638 POLY DESIGNCustom 200 mm Quartz Tube Storage 8 200 mm excellent 01.06.2005 01.06.2000 immediately10386 Polyflow S-525 WET Hood Quartz Tube Cle 1 facilities good 01.06.1995 immediately11322 Polyflow H453 horizontal quartzware cleane1 Up to 8" tubes11672 Polyflow S310 Vertical quartz c leaner 3 Currently warehoused. Operational when deinstalled10830 Possehl TPG1-07 Taping machine 1 fair4968 Powerware IPlus 18/18 Uninterruptible power Supply1 Facilities good 01.12.2003 01.11.1997 immediately10859 PPL PPL System 1 (upgrade fr. S3000)4299 PRAGMATIC 2202A 20 mhz arbit. waveform gene1 TEST excellent immediately

7829 PRECISION PTI LC-800A 1 15.09.200310387 Precis ion Te Tape Apply Taper/Detaper 16777 PRI System 7700retic le stocker 1 good 01.03.2005 01.06.1993 immediately The PRI 7000 is a automated system. It handles boxed retic les. This stocker is for 5" Canon cassette only. Footprint is 42"W X 180"L X 114"H. Stocker houses 1674 but they only use 1500 because fully loading it will develop operation errors withing the software. The PRI Retic le System is crated. There is a uncrating fee of $2,288.00 should you choose to inspect the system. The system is offered "AS IS" condition9603 PRI VERTICAL TRANSFER 140" X 18534 PRI Automati WTM-511-2 PRI Automation Robot Arm 411853 PRI Automati ABM-407B-1-S-CE-S293 2 excellent immediately Qty. 2 of Equipe by PRI Automation robots, both are Model: ABM-407B-1-S-CE-S293, MFG date: 06/2008467 Prometrix FT-650 Prometrix FT-650 Film Thic 16234 Prometrix FT-530e Thin Film Mapping System 1 good immediately10059 PROMETRIXRS-35c Resistiv ity Mapping Tool 110060 PROMETRIXRS-55 Resistiv ity Mapping Tool 110061 PROMETRIXVP-10e Four Point Probe for up to 110710 Prometrix FT-650 Film thickness tool 1 150 mm Metrology 10711 Prometrix FT-500 Film thickness tool 1 150 mm10063 PS SYSTEMSM-Press Trim & Form Press, 4ea Avail 111659 PST Wafer sorter 1 150 mm Currently warehoused. Operational when deinstalled9854 QC OPTICS API 3000 / 5 Retic le Defect inspection 1 good immediately10955 QC Optics API-3000 Photo Mask Inspection Syst 1 good 01.06.19906775 QC SolutionsQCS-3200 Surface Conditioning System1 125- 200 mmgood 01.03.2005 immediately 208v 3 phase, 40 x 33 x 65 inches11381 QC SolutionsSB4000CNCDie Measurement Tool 16268 QUAESTOR INSPECTIONQUAESTOR PLUS 1 150

9855 Questar / C APT 5800 AP CVD 1 good immediately9548 QUICKTURN16500BR 19644 Quickturn 16500BR Quickturn test System 1

9862 Quickturn Quest II Mer Test System 1 TEST excellent 01.10.2005 immediately11099 RaddiontechSHF-100 LP-CVD 1 4 01.06.2003

11643 RaddiontechSHF-100AN Anneal 4 01.06.20039527 Ramgrabner Digestorium Chemical Desk 1 01.06.20039559 RECIF IDLW8 Wafer Handling 19560 RECIF IDLW8 Wafer Handling 19561 RECIF IDLW8 Wafer Handling 19562 RECIF IDLW8 Wafer Handler 19563 RECIF IDLW8 Wafer Handling 19564 RECIF IDLW8 Wafer Handling 19557 RECIF IDLW8 Wafer Handling 1

9804 Recif IDLW8 19805 Recif IDLW8 1

9806 Recif IDLW8 19807 Recif IDLW8 19808 Recif IDLW8 111962 RECIF IDLW8 OPTICAL CHARACTER REA 5 200mm8141 RECTIF IDLW8 WAFER TRANSFER 1 200 mm excellent immediately8140 RECTIF IDLW8 WAFER TRANSFER 1 200 mm excellent immediately10936 RECTIF IDLW5 LOT AND WAFER IDENTIFI 1 125 MM excellent immediately10064 REDDISH E SM500 CXE Convection Reflow Oven 16596 Reichert Polyvar 2 Wafer inspection 2 excellent 01.06.2000 Reichert Polyvar 2 wafer inspecton units. Mint condition. Part of an Optical Specialties Metra 2000 8" wafter analysis and measurement. Two available!10622 REICHERT STEREO ST MICROSCOPE 1 0.7x-4.257011685 Reichert Poly lite SC Wafer Inspection Microscope1 150 MM 01.06.1990 Reichert Poly lite SC: Type: 301901, Wafer Inspection Microscope With Autoloader Eyepieces: 10X ? Objectives: Plan Fluor 10x, 20x, 50X, 100X Motorized Objectives Brightfield/Darkfield Reflected Light. Illuminator Type: 85W Halogen 11885 REICHERT POLYLITE 88MICROSCOPE 1 200 MM good 01.06.1996 immediately10065 REID-ASHM 60 Pin Test Head Manipulator 110860 REL INC CRITERIA XVIBIO HD 18/HD 110863 REL INC CRITERIA XVIBIO HD 18/HD 510871 REL INC CRITERIA XVIBIO HD 18/TEX 410875 REL INC CRITERIA XVIBIO HD 18/TEX 1111194 REL INC CRITERIA XVIBIO HD 18/HD 1 Automatic Test Equipment 5837 Reliability C-18 burn in ovens 5 good immediately5838 Reliability C-5 burn in ovens 5 good immediately5196 RELIABILITY C 18 SDS / T BURN IN OVEN 1 RELIABILITY good immediately5835 RELIABILITY CRITERIA C BURN IN OVEN 1 RELIABILITY good 01.08.2004 immediately In good condition, now warehoused.5836 RELIABILITY CRITERIA C BURN IN OVEN 1 RELIABILITY good 01.08.2004 immediately In good condition, now warehoused.

11535 Research D M8 FLIP CHIP BONDER Manual 111723 RESEARCH M8 FLIP CHIP SYSTEM, MANUAL1

12100 RESEARCH M8 FLIP CHIP SYSTEM, MANUAL16028 RF-PP RF-20F RF Power Supply 1 excellent

6035 RF-PP RF-20S RF Power Supply 1 excellent9162 RGA Restoration RGA SRD Restoration Kit 111752 RHK UHV-300 ST Scanning Tunneling Micros 1 excellent 01.06.2003 immediately9166 RHO 727 SSI COATER-SSI # 63 19464 RICOH NX910 IPSIO Printer 2 01.06.20002019 Rigaku ATX-E XRD 1 200 MM excellent 01.01.2001 immediately 3KW hi resolution x-ray diffraction system for TF analysis with an encoder for the omega 2 theta axis.Use of momchromatic X rays from a 4 bounce crystal monochromator enables the measurement of rocking curves and reciprocal lattice maps with high resolution. Computer control of 14 axees which enables the reconfiguration and readjustment of the optics through software.Reciprocal space measurements are made easy by one-touch receiv ing slit exchange and optional analyzer.Reflectiv ity measurements can be made to determine film thickness density and roughness. Rigaku CrystalGuide software enables the user to c lick on a graphical representation of the reciprocal lattice space and the ATX-E will automatically seek the Bragg reflection of interest.In addition to a library of lattice parameters of typical crystal substrates, CrystalGuide can auto-seach the substrate crystal orientation.Wafers up to 8 inches can be measured.Area mapping can be made over a 104 X 104 mm region. Parts included: ATX-E Hi-resolution goniometer, ANPM Parabolic multilayer mirror,A4057B3 Sealed tube X-ray generator, A4253A1 Radiation enclosure,Tube support,A4006Z1 Horizontal Tube shield, High voltage cable and X-ray Tube,A4610K4 Incident Optical system and X-ray optical system alignment s/w, A5738E2 Scintillation counter detector, control and measurement software,CrystalGuide Software,A9240H141 Rocking curve simulation s/w,reciprocal map texture display s/w,A9T51B0032G03 Transformer,AATP-FF-CUL X-ray tube,AATX-E-COMPXTL Beam width compression crystal monochromator,AATX-TOPOG Topography option, AREFL-NODS Relectiv ity analysis without diffuse scattering simulation, AATX-BOND Attachment for bond method including s/w, AATX-ANAXTL 2 -bounce analyser crystal for reciprocal map measurements, ACP-M17-20128W Instrument controller,ARW-100-ACN 2.8kW Heat exchanger11049 RIGAKU 3700H TXRFX-RAY SPECTROMETER 1 01.04.1994 SINGLE CASSETTE, NESLAB COOLFLOW SYSTEM II CHILLER, EBARA 40 X 20 PUMP

6870 RIGAKU 3613A XRF 1 125 MM8784 Rigaku RINT1500 X-ray Diffraction 1 6 incl excellent 01.02.2002

9499 RIGAKU 3750 TXRF SYSTEM 19435 Rigaku 3700H TXRF System 1 fair not working

9794 RIGAKU 1D12261 X-RAY DIFFRACTION MEAS 19795 RIGAKU E12021 X-RAY DIFFRACTION MEAS 110066 RIGAKU 3630 TXRF Wafer Analyzer 1 01.02.199610067 RIGAKU 3630 TXRF Wafer Analyzer 110068 RIGAKU 3750 TXRF Wafer Analyzer 110069 RIGAKU DPGS Xray Diffractometer, 2ea Avai110709 Rigaku 3620 X-ray spectrometer 2 150 mm Metrology 11072 RIGAKU 3700H TXRF Wafer Analyzer 111382 Rigaku 3620XRF X-Ray Analyzer 111438 Rigaku UD2643N X-ray 111439 Rigaku 2991G2 X-ray 110896 Riken AC-1 Surface analyser 19062 Riken Keiki GD-K7D Air Liquide PH3/He gas distri 19061 Riken Keiki Air Liquide NH3 gas distribu 19060 Riken Keiki CLF3 Air Liquide CLF3 gas distribu19057 Riken Keiki PCS090 Air Liquide 2 bottle Gas Cabi 19058 Riken Keiki PCS090 Air Liquide 2 bottle Gas Cab 111556 Rite Track SVG 8636 Etch Bake Track 1 01.05.19988503 Rite-Temp RTS 304 AW Rite-Temp RTS 304 AWC Liqu110700 Rogaku Rint 1500 XRF 18545 Rohde and ADS Rohde&Schwarz Dual Arbitr 18525 Rorze RR721L202 Rorze Robot Arm 2 good immediately8529 Rorze RR700L150-Rorze Robot Arm 1 good immediately

8530 Rorze RR700L150-Rorze Robot Arm 1 good immediately8554 Rorze RR700L150-Rorze Robot Arm with Contro1 good immediately

11122 Rorze IVRR8140-0 Wafer Transfer 2 01.05.1996 These are used for Loading wafers to Tools such as Centuras ,etc.3067 Royce MBS200 Ball Shear Tester 0 Assembly excellent 01.09.1994 immediately2354 RUDOLPH 200XL SPEC THIN FILM METROLOGY SMI1 200 MM excellent 01.10.1998 immediately SMIF FITTED5635 Rudolph Caliber 300 Ellipsometer 1 300 mm new unused 01.01.1997 immediately New, was never used.2888 RUDOLPH * MetaPulse 20Copper Film Measurement 1 200 MM excellent 01.03.2002 immediately8142 Rudolph * Metapulse 20Copper Film Metrology 1 200 mm excellent immediately10390 Rudolph Re Auto El 4 Thin Film Measurement Syst 1 150 01.12.198910391 Rudolph Re Auto El 4 Thin Film Measurement Syst 11 1502791 RVSI LS-3000 Lead Scanner 1 excellent 01.06.1994 immediately QFP Lead Scanner, Tray to Tray

10070 RVSI Vanguard VABGA Solder Ball Placement S 110071 RVSI Vanguard VABGA Solder Ball Placement Li 1

10394 RVSI LS-3950DB/ Lead Inspection Equipment 211469 RVSI LS 3900 DB Lead Scanner 1 01.11.199710517 S and K 281 FEL Vapor Dryer 1 200 MM missing parts 01.01.2001 immediately10072 SAGAX Isoscope 12 Film Thickness Monitor 110073 SAGITTA ECP-2000 Cross Section Polisher 1 excellent 01.06.200111432 Saito Seiki Band Saw 1 200mm11433 Saito Seiki Ingot Grinder 1 200mm9789 SAKSEY DENF6 FREQUENCY AUTO SORTE 1 01.06.19908782 Samco PD-2ON P-CVD 0 6 incl excellent 01.05.19998906 SAMCO CVD 15871 Samco PD-20N P-CVD 1 01.06.198911094 Samco PD-460YS TEOS CVD 1 4 01.05.200011107 Samco PD-240 P-CVD 16141 Sanei Riken SVC-600 Evaporator 1 excellent EB Gun EBX-10C8448 SanJose Tec6GP4130 SanJose Technology Disk 17509 Sanken ElectSSFT-30SH Uninterruptable power supp 3 01.05.200011419 Sankyo APL-881 Post Lapping Clean SInk 1 200 mm11595 Sankyo AWC-662S HF bench 1 150 mm Currently warehoused. Operational when dei8444 Sankyo Seiki SC3000-030Sankyo Seiki SC3000 Robot 56269 SANTA CLARN/A QUARTZ STORAGE CABINE 1 2006270 SANTA CLARN/A QUARTZ STORAGE CABINE 1 2009682 Santa Clara PWet Station Wafer Cleaning 1 01.04.199011383 Santa Clara Plastics Manual Polypro Hood 1

11384 Santa Clara Plastics Manual Polypro Hood 112075 Santa Clara PWet Station Wafer Cleaning 1 10176 Santa Clara E200 Wet Etching System 1 200 01.05.20029095 Sanyo TCM-3000E SMT 3 SMT good 01.06.1997 immediately9094 Sanyo TCM-3000Z SMT 1 SMT good 01.12.1998 immediately10636 SAPI Hood Hood PP Acid 1 200 mm good 01.06.2005 01.06.1995 immediately9436 Sartorius AC120S-00VSCALE 18157 Schlumbege IDS 10000P TEST SYSTEM 1 good immediately9521 SchlumbergeBLU300EII Retho test equipment 1 good 01.06.19998197 Schlumberger TEST SYSTEM 1 good immediately7710 SchlumbergeDX2200 EDS Tester 17903 SchlumbergeEXA-2000 TESTER 1 01.02.2000 2HA/2HF,8M,192PIN,36,4DPS7904 SCHLUMBE EXA-2200 TESTER 1 01.11.2000 2HA/2HF,8M,192PIN,36A,4DPS7905 SchlumbergeITS/CV9000 TESTER 1 01.11.1997 256PIN,6DPS7906 SCHLUMBE ITS/CV9000 TESTER 1 01.09.1999 256PIN,6DPS9615 SCHLUMBE SDH#3 Handler 110867 SCHLUMBE SDH HANDLSDH HANDLER 210868 SCHLUMBE SDH16 SDH HANDLER 18463 SchumacherUFDLI Schumacher UltraFill Chemic28505 Schumacher300 Schumacher Chem Guard 30029492 Scientific Air SAS-48-72-Eair shower 1 01.01.199711784 SCIENTIFIC I1LM21H Lithography 111379 Scope Head SMZ-1 10 Other Information Total 10 Scope Heads 11413 SCP 9410 Etch 112042 SCP Global SCP 03 (Oxi SCP Global Semi Automated O110074 SDI SPV 10 Surface Photo Voltage Tester110075 SDI FAaST 230 Surface Photo Voltage Test 1 01.08.199910076 SDI FAaST 330 Surface Photo Voltage Teste 110077 SDI FAaST 300 Dielectric Charaterization T 1

11073 SDI FAaST 230- Surface Photo Voltage Test 111074 SDI FAaST 330 Dielectric Charaterization To1 300 MM Wafers11075 SDI SPV-300 Surface Photo Voltage Tester1 300 mm Wafer9604 SEARS SPACE MAS REFRIDGERATOR, MODIFI 19793 SECASI 33602 X-RAY DIFFRACTION MEAS 12859 SEIKO SAI9801S Scanning Electron Microscop1 150 MM good 01.02.1999 01.03.1994 immediately10734 SEIKO CQDP-40 C ANALYTICAL REPAIR TOOL 1 Item Type: Test & Measurement

10078 SEIKO VP-1500 Video Printer 110952 Seiko SMI 9800 Scanning Ion Microscope 1 High Performance 7575 Seikosha VP-3500 Printer 1 VIT VIT8800 Seinan Industcustom ZMR Device 1 excellent9047 SELA MC100 Micro Cleavage System 111385 SELA MC-100 Micro Cleaving System 111534 SELA MC-100 Micro Cleavage System 111738 SELA MC100 CLEAVER, MICRO 111739 SELA MC100 CLEAVER, MICRO 18610 Semco EnginG17-09244- Semco Engineering ESC 200611417 Semicon Create Pre-mount c lean sink 111418 Semicon Create Pre-WHT Clean Sink 111421 Semicon Create Clean sink 1 200 WAFER8564 SemiconductCMS 111-A Semiconductor Diagnostic 111047 SEMICONDUCFAaST 200/F HEAVY METAL CONTAMINAT29046 SEMITEST SCA 2500 Surface Charge Analyzer 02047 SEMITOOL SWP2 HEAD DEVELOPER 1 6 INCH good 01.08.1995 immediately9054 Semitool ST 860 Table top Spin Rinser Dryer 1 4" Configured for 4" Wafere8721 Semitool Equinox 19149 Semitool SAT208OD Double cham berspray acid 14004 Semitool VTP-1500 Semitherm VTP 1500 RH Fu 0 200 excellent 01.06.1996 immediately4937 SEMITOOL SAT Wet Bench 1 200 mm as new immediately9441 Semitool SLINGSHOT Plating System 17576 Semitool WSST606A Sovent Processor 1 w/BOC smart cart w/BOC smart cart7577 Semitool WST406MG Spray Solvent Tool 1 w/chemical cainet w/chemical cainet7631 Semitool LT-210C COPPER PLATING SYSTEM 1 200mm excellent 01.01.2000 immediately7633 Semitool Equinox Plat Radial Plater 1 200mm good immediately

7686 Semitool SSTF221270Pos Resist Stripper 0 as new7725 Semitool SSTF221270Positive resist Stripper 1 good immediately

9765 Semitool SMIST WET SOLVENT RESIST STRI110079 SEMITOOL ST860F Spin Rinser Dryer with PSC-11 125 MM10080 SEMITOOL ST860F Spin Rinser Dryer with PSC- 110178 Semitool SAT-321 Wet Etching System 1 200 01.05.200210396 Semitool Millenium Wet Etching System 3 Wet PRS (Cu) 10397 Semitool SAT series wet etcher/Spectrum/WST seri 3 20010463 SEMITOOL MILLENNIU Lithography 1 FAB 11218 SEMITOOL SRD 2300 SPIN RINSE DRYER 1 100 mm good 01.08.2005 01.03.1997 immediately SINGLE STACK WITH PSC 102 CONTROLLER11776 SEMITOOL WST 308 Lithography 1

Currently warehoused. Operational when deinstall edBaratron & controller have been removed

(18 I/O, 18M Fail Bit Memory)(36 I/O, 144M Fail B it Memory)

P/N 565859007 10000485-14/A 1 1 - Stencil Wiper Blade, 14"*P/N 565859009 002034/D 1 1 - Seal Vacuum Penum 16

Status - Current ly i n Active Production. Squeegee Speed 0 - 304.8 mm/sec (0 - 12 in/sec). Status - Current ly i n Active Production

CONDITION COMMENTS Current ly warehoused. Operat ional when deinstalled. CONDITION COMMENTS Current ly warehoused. Operat ional when deinstalled. Collector /oxidation furnaceCurrently warehoused. Operational when deinstall ed

with documentati on(has been used for about 1 year)

CONDITION COMMENTS Current ly warehoused. Operat ional when deinstalled.

Power Requirements 115

� Precision: One Sigma Value Better than 0.005 Micrometers for Chrome Photomasks NanoLoader I I Si ngle Casset te Automated Wafer Loader 10X and 40X Objectiv Link Technologies Video Display Monitor 20 Col umn Thermal Printer3.5 Drive*MonitorAC Power 110V

Camera defect

Accessories Allen Bradley SLC-5/03 Cont rollerErgonomic Palm But tons Force/Disance Monitoring Servo RCS System Light Curtains Fixed Hard Guarding Heavy Duty Air Cooler Press Bench Assembly Power Requirements 208 V 3 Phase

Pumpi ng Capacity: 0 - 12 lpm2 with Booster Pumps115V, 60Hz, 12A1 Chiller w/Booster Pump

Pumps--CP-55 or PD-2Shipping Weight 477 lbs.

100/ref rigerated, -12C to 100C, capacity 5 liters/1.3 gallons, pum p capacity 13 liters/minute, cooling capacity 350 watts @ 20C

Refrigerant Gas :R22200V~208V 14A 1phaseRefrig:R22200V~208V 14APart No: 600827

1 skid, < 1,000 lbshookup

Including M/B and AMC

Condensate DrainRetic le size 6 inch-Low Partic le Black Ceramic Wafer Loader Fixture { Probably}-Chamber temperature 21 C A: CCUExtended Wafer Carrier Table YesChamber temperature 21 Cdimensions: 79 x 99 x 89 (H) inchesWeight: 11,000 lbs- Wafer Loader Type 3- L Chamber WL3 Inl

Wafer Loader Type:Type 3Laser Type: Cymer ELS-5400Wafer Loader Type:Type 3Laser Type: Cymer ELS-5410

Current ly system is crated and i n climate controlled facilit y Ni kon Model NSR-2205EX12B .KrF Stepper, 248nm, Reduct ion Rat io 1:5, 8", Reti cle Type -8", Waferstage, lightening Area 22nm, Wafer Loader Type 2, Notch Type, Inline System, Cymer Laser ELS-5400, Conventi on Il umination Slot 2: NA/S igma=0,55/0, 8 Slot 3: NA/Si gma=0,55/0,4, A lignment system: LSA and FIA, PPD, Software revision: MCSV V .2.10, excellent condition, crated in cleanroom conditions, 1999 vintage. In excellent condit ion. The system has been deinstalled .The system has been crated in cleanroom condition.

Ushi o Lamp Power Supply (3ea) Body 4 Lens Cont roller Box (1ea)

Stage: Standard type for Ecl ipse L 150

� All Interconnect Cables & System Operati on Maintenance Manuals

Laser Type: Cymer ELS-5400 Full configuration file available

Video P rinter: No

Stage: 8" stage-Temperature controller unit with environmental chamber-Nikon SECS I I GEM interface2-10x Periplan eyepiecesOne Power Supply Ni kon Power supply unitCE Marked Mounted on Ant ivibration table

Eye piece CFW/CFUW series Power Requirements 110 V 50/60 Hz 1 Phase CONDITION COMMENTS Current ly warehoused. Operat ional when deinstalled. CONDITION COMMENTS Current ly warehoused. Operat ional when deinstalled. Accessories Transformer Power Supply Included YES

1 skid, < 1,000 lbs

Ni kon S/N 213184Boom Stand

CONDITION COMMENTS Current ly warehoused. Operat ional when deinstalled.

CONDITION COMMENTS Current ly warehoused. Operat ional when deinstalled.

Manual foundry s ink .Currently warehoused. Operational when deinstall ed.

Suitabl e for Glue or Solder. Status - Current ly i n Active ProductionSystem was installed in a fab running Cu Interconnects 1 SDS boxes with system, one is single Sequel chamber, the other is 2 Sequel chambers compatible Asking Price: $400,000 w/pumps $350,000 without pumpsTwo availabl e.Trademark Novellus Inc.SDI Group is not an authorized reseller of Novellus Products.

Passive temp monitor Dual speed/sequel readiness kitTrademark Novellus Inc.SDI Group is not an authorized reseller of Novellus Products.User Interface Console:PC,monitor,keyboard,t rak ball 1 box: Manuals, Turbo Pump Jack, Cables

Rf generator: ENI-28B, PL-2-HF

*TM, Registered trade marks of Novellus Inc.

System Verificat ion Programs & Calibration routines

Currently warehoused. Operational when deinstall ed100V 1KW 2.2A 50/60 HzDeinstalled, barrier bagged, warehoused at the warehouse of SDI, Avezzano, Italy.Shi pping Dimensions 125 cm x 128 cm x 140 cm

Shipping Dimensions 30 in X 45 in X 36 in (H)Deinstalled, barrier bagged, warehoused at the warehouse of SDI, Avezzano, Italy.Trinocular Eyepi eces WHK 10x/20LShipping Dimensions 50 x 120 x 70 cmTrinocular Eyepi eces WHK 10x/20LShipping Dimensions 50 x 120 x 70 cmTrinocular Eyepi eces WHK 10x/20LShipping Dimensions 50 x 120 x 70 cmMonochrome computer display TR12TIADeinstalled, barrier bagged, warehoused at the warehouse of SDI, Avezzano, Italy.10xWF eyepieces Standard base

*50(NA;0.80)*100(NA;0.90)

BCAL=29172DCAL=21693

Located in the USA.

CONDITION COMMENTS Current ly warehoused. Problem with "Z" stage m otor - otherwise good condition. CE Marked

-Includes Olivett i D2/66E-Housed in polished stainless steel rack (Cleanroom compatible)

DELIVERY: Allow 4-6 weeks for deliveryAVAILABILITY: Qty 1 available for saleGas connect ions: Ar, O2, N2 Edwards vacuum controller

XYZ Full Funct ional See at tachedment for Photo's

220V 0.5A 50Hz PH1220V 0.5A 50Hz PH1

FOBPumpThree small boxes with ext ra small parts.

Compressed A ir: 0,5MPa Status Indicator Tower Light

CE Marked

w/manualsphotos availableAST 650 MB internal MO driveAST 5V 15 monitor�AST 650 MB internal MO driveAST 5V 15 monitor� PMS Partic le Measurement Systems airborne partic le counter.Di mensions 43 cm x 37 cm x 20 cm.Communicat ions ports RS 485,RS 232, HHIPA, Analog IP, Manifold,Analog out, 230V power i n.Powers up.serial number 33602-0895-246

Computer and monitor are not included.Deinstalled an located at the warehouse of SDI, Avezzano, Italy.

Also available Dual Vacuum Gauge Sensor type TPG 252ADi mensions of pump 15 " x 15 " x 15 "

2 ea.NTM310IL CE Marked SEPTEMBER 2000/91152NTRM9ILL (T-Type) CE Marked

With EDAX At tachment (X-Ray Detector / Spectrometer).

one chamber; Gases: compressed air 6 bar, N2 0,5 bar, EDAX EDX;

A plade six sigma gateway cont rol system Piranha wetdeck (4) bath, super Q Etchant, Hydrogen peroxide, sulphuric acid, N2, Ethylene Glycol,2m wide x 1.4m deep x 2m high A plade six s igma gateway cont rol system Piranha wetdeck (5) bath, hydrogen, peroxide, amonia solution, sulphuric acid. 2m wide x 1.4m deep x 2m high (Internal

Control: PLC with v isualisation unit

-Power:208Volt 60Hz high-TC superconductors, silic ium oxide and silic ium ni tride layer characterization;

Calibrated in Q3/2003Original Price appr. 35.000 Euroserial number 33608-0593-871 Located in I talyserial number 33608-0895-1224Located in I talyLocated at the warehouse of SDI, Avezzano (AQ) 67051 I tal y.serial number 33602-0895-246Weight 15 kg.serial number 33602-0895-248

1336 NM3/HR-40 Deg C Dew Point

-40 Deg C DewpointExternal di mensions 60 x 61 cm x 170 cm height (including height of wheels).Qty 8 avail able in total.

Power Requirements 220 V 50 Hz

Can be demo'd

Metrology .CONDITION COMMENTS Current ly warehoused. Operat ional when deinstalled

With SEEMORE opt ion

One Bandy Corp Cabinet S/N 0062096One Oneac Power Supply

Located in the USA.

Photo's available upon requestDi ffusion furnace in the same model is also available.

Wafer Handl ing Wafer Handl ing Wafer Handl ing Wafer Handl ing

Wafer Handl ing

# Contact Free Read Determinist ic OCR Supporting# Touchscreen and Trackpad

Net Weight (lbs.) 35 80 150 170Water Flow (gpm) air 2.5

- RHK UHV-300 STM (beet le-style scanning tunnel ing microscope and SPM 1000 control system) - prooven Walker"/Beet le" design - inherent mechanical and thermal stabil ity - free opt ical access to the sample surface during scanning - open geometry facilitates the combination with other surface techniques such as MBE , AES, LEED, etc. - Low Current Imaging - < 1pA at 5kHz bandwi dth - High Speed Scanning - 3 Frames/second - Maximun Scan Range: 5um - Condi tion: excellent - vintage 2003 � �

� Stainless S teel Roughing Line with Ant i Suck-Back Valve� AC Distribution Box - Input Voltage 200V, 80A, 60 Hz

X-ray for checking orientat ion of silicon wafers. wafer and the other for Ingot .

Tool status:Down

Located in USA.s/n RA01535In USA.s/n R990483Deinstalled, warehoused at warehouse of SDI Inc.serial number RA00798Deinstalled, warehoused, located in USA.wi th Controller s/n R990226, RR700L150-Z30-010

220~240VManual Available

*TM Rudol ph Technologi es Inc. SDI Group is not an authorised reseller of Rudolph Technologies Products*TM Rudol ph Technologi es Inc. SDI Group is not an authorised reseller of Rudolph Technologies ProductsAuto ELIV Thickness Measuring System auto rotation data reduct ion sof tware

One tool needs Laser head repairing

Missing Casette El evator (Removed for spares use)

Year of Manufacture 2001 Ut ilized for the cropping and sample taking from gound silicon ingots. ingots. Includes built on xray for proper crystal orientation.

CDA, DIW, HOT DIW, drains and bulk chemical dist ribut ion Unit (BCD). Also connected is a HOT DIW heater which will supply hot water to the tool.

Verteq Power Supply FRS4-36L

velocity f low" dispersion pl ate and associated pl umbing andhardware . *Sanyo original, left-to-right , rear fi xed. Each machine comes with 50 feeders. All are in very good running condition.Sanyo original, left-to-right , rear fi xed. Each machine comes with 50 feeders. All are in very good running condition.

3,000 pounds plus 2 STI 's

� CE Marked

* Wafer Imaging >6000 Points in 9 Minutes * Automat ic Self Calibrati on Di elect ric Charaterization Tool with COCOS, S ILC & Epi-t for up to 300mm Wafers

Deinstalled, barrier bagged, warehoused at warehouse of SDI, Avezzano, Italy

cw Olympus BHMJ microscope eye pices 10x MMOCC, objectives MD pl an x150/0.95, x100/0.75, x50/0.55 and x10 (S/N 65201)(96 psi) Minute Micro Cleaving Cross-Secti on Sample Prep System

automated.

wi th downf low spin dryer fully automated. enviorement

Configured for Deposit ion of doped pol ySi on 200mm Sti ll installedNever had chemicals in it.Only water.

Will not be sold with the ACMS cont roller unit (used for chemi cal component analysis and dosing.)TOOL CONFIGURATION AVAILABLE UPON REQUEST

Cassette-to-casset te temperature controll ed wet solvent resist stri pper Watlow #F06007797A Blanket Heaters, 120 Volts 255 Watts� Voltage 120AC, 50/60 Hz, 15 AmpsVoltage 120AC, 50/60 Hz, 15 Amps Add a 5000W in-l ine heater to Tank 3 delivery line. *Add a diluti on valve to the HF drai n and an thermocouple in the HF drain that will c lose the Tank 3 drain valve if the drain temp is above

Page 5: [XLS]snf.stanford.edu/piperma ··· ment.xls - Stanford ...snf.stanford.edu/pipermail/specmat/attachments/20121223/... · Web viewlifting frame with remote control, 18 different

12030 Semitool STI 12 A&B STI 870 2 stack , rotors fro 1 150 MM12031 Semitool STI 13 A&B STI 870 2 stack rotors from 1 150mm 12033 Semitool STI STI SRD Model 270 Double112034 Semitool STI 10 A&B STI 870 2 stack 150mm rotor 112035 Semitool STI 6A & 21B STI SRD Model 270D STI M1 112043 Semitool STI 03 112044 Semitool STI 5 A&C/STI 3A & 3B 2 150 mm7578 Semix 6123U(D) SOG 1 4" 4"9676 Semix Tazmo Photoresist Coater 1 150 mm 01.07.199211079 Semix Vortex SS80 Semix SOG system 1 8 inch new unused 01.07.200211867 Senju Metal I SX2508 REFLOW OVEN 1 ASSEMBLY excellent 01.09.1998 immediately2056 SENTRY SENTRY 21 TESTER 1 TEST immediately

2054 SENTRY SENTRY 10BTESTER 1 TEST immediately2055 SENTRY SENTRY 10 TESTER 1 TEST immediately

2053 SENTRY SENTRY 10ATESTER 5 TEST 01.01.1992 immediately9735 SERRMAC / TDS 40 TC V PILLAR DRILL 6 MACHINE T good 01.01.1996 immediately

10765 SEZ 201 SEZ RST201 Pre-Gate Cle 1 200mm 8" good 01.12.1997 immediately11037 SEZ Semiconsingle s ide e Spin Etcher 1 300 mm good 01.05.1998 immediately7907 SHIBASOKU WL93ALAPHTESTER 1 mixed signal12064 ShibaSoku WL93 IC Tester 1 01.09.19959210 Shibaura ILC3000DPSMarking 19170 Shibaura CDE200 Etcher 1 wafer s ize 20010667 Shimaden PSE-070 1

11999 Shimadzu TMP-280L Turbo Molecular pump 112006 Shimadzu TMP-1500L Turbo Molecular pump 25888 Shimazu MSE-M2t-HI HE leak detector 0 01.06.19945889 Shimazu HE-1T-5-6 HE Bombing Device 1 01.06.19945890 Shimazu F-1T-5-6 Fron bombing device 1 01.06.19945944 Shimazu TMP-150 Trrbo Pump 15946 Shimazu TMP-550 Turbo Pump 2 W/ Controller6064 Shimazu MSE-11AU He Leak detector 1 excellent6109 Shimazu EI1001 Turbo Molecular Pump Powe1 excellent8830 SHIMAZU TMP-280 TURBO PUMP 1 01.06.19948802 Shimazu F-1T-5&#125Fron Bombing device 1 excellent8798 Shimazu MSE-M2t&#1 He Leak Detector 1 excellent7860 shimkawa utc 200 wire bonder 1 15.01.19955869 Shincron BMC-600DDEvaporator 1 01.06.19952075 SHINKAWA UTC 200(SP SPARES 1 ASSEMBLY excellent 01.12.2001 01.01.1999 immediately SET OF REPLACEMENT PCBs AND MACHINE SPARES FOR UTC 200-list available2074 SHINKAWA F UTC 10 Wire Bonder 1 ASSEMBLY immediately7848 SHINKAWA UTC 200 WIRE BONDER 1 30.03.20027849 SHINKAWA UTC 200 WIRE BONDER 1 26.08.20027850 SHINKAWA UTC 200 WIRE BONDER 1 26.08.20027851 SHINKAWA UTC 200 WIRE BONDER 1 30.09.20027852 SHINKAWA UTC 200 WIRE BONDER 1 30.09.20027853 SHINKAWA UTC 200 1 30.09.20027854 SHINKAWA UTC 200 WIRE BONDER 1 30.09.20027855 SHINKAWA UTC 200 1 30.09.20027856 SHINKAWA UTC 200 WIRE BONDER 1 26.08.20027857 SHINKAWA UTC 200 WIRE BONDER 1 15.12.19947858 SHINKAWA UTC 200 WIRE BONDER 1 15.12.19947859 SHINKAWA UTC 200 WIRE BONDER 1 15.01.19957861 shinkawa UTC 200 WIRE BONDER 1 15.01.1995

7862 SHINKAWA UTC 200 WIRE BONDER 1 15.02.19957863 SHINKAWA UTC 200 WIRE BONDER 1 15.02.19957864 SHINKAWA UTC 200 WIRE BONDER 14 15.03.19957889 Shinkawa UTC 200 Wire Bonders 5 01.03.199410398 Shinkawa SPA-20 Epoxy Die Bonder 2 ASSEMBLY good immediately10399 Shinkawa UTC-205 Ball Wire Bonders 1011546 Shinkawa SP1-2 Lead Shape Checker 1 01.06.199711792 Shinkawa SWB-FA-UT Gold Wire Bonder 5 01.09.1993 Condition : Just Pull Out from Cleanroom Production, very c lean and fully operation machine10083 SHINKO BGA-BA-1 BGA Mounting Tool 110084 SHINKO BGA-BM-2 BGA Alignment Tool 112062 Shinko VSL-30N05 Soldering Machine 1 8 inch 01.08.200211092 Shinku Giken Evaporator 1 8 01.06.19975887 Shinyei SRH-3MC 1 Devided flow method humidit 1 01.06.19916581 Showa ShinkSPC-512T sputter 0 29.12.18997824 SHOWA SHI SBC-10C EVAPORATOR 1 NO EB POWER SUPPLY IS INCLUDED8807 SHOWA SHINASP-33 SPUTTER 1 excellent10698 Showa ShinkuASP-33 Sputter 110703 Showa ShinkuSPM5302 Single wafer sputter 1 01.05.200010800 Showa ShinkuSIP-510 Ion Plating system 110893 Showa ShinkuNSP-17 Sputter 111091 Showa ShinkuSBS-06DA Monitor 1 3 as new11612 Showa ShinkuSEC-10C Evaporator 111647 Showa ShinkuSIP-700 Ion Plating machine 111977 Showa ShinkuSBC-16SA Evaporator 18776 SHOWA SHI ANE-4 Sputter 2 150r excellent 01.07.19958806 SHOWA SHINSRC-180SN EVAPORATOR 1 excellent8941 SHOWASOKKI1332 DIGITAL DISPLAY VIBROME 19124 siemens F5 chip shooter 1 SMT excellent 01.06.2000 immediately9123 siemens HS 50 chip shooter 1 SMT excellent 01.06.2001 immediately9122 siemens HS 50 chip shooter 1 SMT excellent 01.06.2001 immediately10400 Siemens HS50 Placement System 39497 Sierra Instruments Calibration bench 19346 Sigmameltec CTS-5000 Coating System, 2001, s/n 1 01.06.2001 Modul 1: 1. Cleaning station ASC, 2. UV Station 3. HMDS Station, 4. Bake Station, 5. Cool Station, 6. Robot System With Aligner, 7. SMIF Loading Station 22°C 200°C. Modul 2: 1. Input Transfer Station, 2. Coating Station with Vacuum Chuck, 3. Output Transfer Station, 4. Robot System 5. Stocker for Resist, 6. Stocker for Thinner 0 2500rpm, max. 4 litre NowPack, max. 3 gal / 4 litre. Modul 3: 1. Bake Station 1, 2. Cool Station 1, 3. Bake Station 2, 4. Cool Station 2 5. Robot System with Aligner, 6. SMIF Unloading Station, 22°C 200°C. Environment: Climate stabilisation control, HEPA filters on all tool parts, Pumps: Millipore Intelligen pumps in Stockermax 5,8ml volume � � � � �7579 Signatone S-250 Microscope 1 6" 6"10401 Sikama Falcon 8500 Convection Oven 112045 Silicon Systems Inc SSI 1A 20/SSI 1A 21 2

12046 Silicon Systems Inc SSI 150 #1/SSI 150 #2 29790 SIMMAK DI Water plant 16325 Simtech PL 125 C Contact paddle welder for pla1 excellent immediately Contact Paddle welder comes with the following flange sizes: 25, 32, 40, 50, 63, 75, 90, and 110mm.2357 SLOAN DEKTAK 800 SURFACE PROFILER SMIF F1 200 MM good 01.12.1994 immediately FITTED WITH SMIF INTERFACE10614 SLOAN DEKTAK IIA Profilometer 1 150mm 01.06.19978147 SMARTSONI4200 SOLDER PASTE CLEANER 1 300mm excellent 29.12.1899 immediately2739 SMS Octal 8 s ite manual Programmer 1 SMT 01.12.199911916 SMS hood Wafer ( FAB support ) 19771 Solitec 5110C WAFER SPINNER 110085 SOLITEC 8360-ST Wafer Scrubber, 2ea Availab18621 Solitec Wafer820-CB Solitec Alloy Track1 18622 Solitec Wafer820-CB-G Solitec Alloy Track 2 111521 SOLTEC Soldering Machine 1 01.05.19982358 SONICOR CVD ULTRASONIC DEGREAS1 200 MM good 01.05.2000 immediately FITTED WITH SMIF INTERFACE2084 SONY VIDEO PRIN VIDEO PRINTER 3 150 MM good immediately9188 SONY CCD Cleaning 1 01.09.20029187 SONY SONY 1 01.01.20029186 SONY CCD Cleaning 1 01.01.20017580 Sony Video UP-850 1 10086 SOPRA ES-4G Spectroscopic Ellipsometer 110825 Sopra SE200/300-I Ellipsometer 1 200mm good 01.05.2002 technical details: 200mm and 300mm loadport; compatible with c lean room class 10; globar source and FTIR spectrometer; liquid nitrogen cooled MCT detector; pcik and place wafer handling; wafer throughput 15 wafer/h (5 s ites per wafer) for a standard application like epi layer thickness; automated pattern recognition; manual recipe option; model-based integrated and offline SW; spectra range: 600 - 7000 cm-1; spectral resolution: 1 - 16 cm-1; spot s ize on wafer: 150 x 300 µm²; fixed angle of incidence 9224 SPANDNIX Tester 1 01.01.20016816 Spares for 6 Various Complete set of spares for al 2188 150 mm new in box immediately11460 Spea Tester 3 Configurations attached9684 Spec SBX9-36 Solvent Auto Hood System 1 01.06.199611416 SPEC SBHALT37-8Clean System 111420 SPEC SPHAT16-30Wet Process Tool 1

11425 SPEC SBX10 OSF Etching Station 111426 SPEC SBXAT18-70 Quartz Crucible Cleaner 111427 SPEC SBX8-56 Horizontal Quartz Cleaner 111428 SPEC SBIXD-56 Quartz tube cleaner 111429 SPEC SBX4-36 Quartz and Metal Parts c lea 111430 SPEC Tube & Chamber Cleaning 111431 SPEC SBX10-36 OSF Etching Sink 1

11445 SPEC OSF Etching Draft Sink 17689 Special Opti Beam EnlargeBeam Enlarger for Argon Ion 2 parts good 01.06.1992 immediately7690 Special Opti Fourier Tran Fourier transform Lens for A 2 parts good 01.06.1992 immediately9091 SPEEDFAM IPEC CMP POLISHER 1 12 INCH excellent 01.06.2002 immediately CE MARKED, STILL INSTALLED, IN EXCELLENT CONDITION10909 Speedfam Ip 676 Oxide CMP 1 200 mm new unused 01.07.2001 immediately This tool is brand new. It was purchased, delivered and then left crated in a warehouse as the company went through some very tough times. It is now available for a fraction of the price of a new system.10402 SpeedFam/I 676 - ILD CMP System 1 01.01.199710403 SpeedFam/I 776 - ILD CMP System ( 111516 SpeedFam/I Auriga C - I CMP System 2 01.09.200011517 SpeedFam/I Momentum - CMP System 1 01.06.2002 Model: Momentum 200mm maker: Novellus S/N:014 process: Oxide, metal convertible. condition: operational when power on. customer: Hynix, full-service contract10405 SpeedFam/I Avanti 472 - CMP System 1 01.01.19986496 Speedline El OmniFlow 14Convection Reflow System 1 excellent 01.11.2001 immediately10848 SPEEDLINE ATMOS 2000REFLOW OVEN 110849 SPEEDLINE OMNIFLO7 BALL ATTACH REFLOW 111151 Spin Rinser 498001-01 Spin Rinser Dryer 1 150 mm good 01.06.200410842 SPX OVEN 110087 SSEC Evergreen MWafer/Plate Cleaner with Au 1 refurbished immediately10406 SSEC 3300 series Standalone CMP Cleaner 1 200 mm10946 SSEC Everg Model 10 Photomask cleaning 1 01.05.19953280 SSI 1C-2L-2L2S COATER 1 4.5 INCH S for spares u 01.01.2001 01.05.1997 immediately SEMICONDUCTOR SYSTEMS INC. MODEL 1C-2L-2L2S3TU ORBITRAK 4.5" SQUARE WAFER COATER SYSTEM (USED FOR SPARE PARTS) CAPACITY PRO-1/2 0-100PSI CENTERING 0-30PSI TPM 0-100PSI CLASS I/O 0-100PSI PROG EXH 0-100PSI AIR PURGE EXHAUST 0-100PSI AIR PRESSURE 0-100PSI FEATURES AUTOMATIC WAFER CASSETTE LOAD/UNLOAD SYSTEM (2) COATING MODULES (2) BAKE / CURE OVENS (1) ROBOT CONTROLLER (2) PROCESS MODULES (1) SYSTEM MODULE (1) SPIN CONTROLLER (1) AC POWER CONTROLLER MODULE POWER 208VAC 3PHASE 60Hz 50A 5WIRE (3) TMP CONTROLLERS (1) SEMIFAB MODEL RAM-1000/208/SSI POWER CONTROLLER CABINET S/N 7806-01 DATE OF MFG 05/14/1997-REV-A POWER 208V 3PHASE 50/60Hz 41.6A/150A 10kAC CHARGE 10LBS (1) SSI SOLVENT DISPENSING CABINET CAPACITY 0-30inHg NITROGEN 0-100PSI COATER 1/2 BULK FILL / EBR 0-30inHg 0-100PSI RESIST / EBRICR DEV-1/2 0-100PSI NITROGEN PURGE 0-15PSI WITH (2) CYBOR DIGITAL CONTROLLERS (6) NOWPAK MONITORS (SSI-62) 3283 SSI 1C-2L-2L2S COATER 1 4.5 INCH S good 01.01.2001 01.05.1997 immediately SSI SEMICONDUCTOR SYSTEMS INC. MODEL 1C-2L-2L2S3TU ORBITRAK 4.5" SQUARE WAFER COATER SYSTEM CAPACITY PRO-1/2 0-100PSI CENTERING 0-30PSI TPM 0-100PSI CLASS I/O 0-100PSI PROG EXH 0-100PSI AIR PURGE EXHAUST 0-100PSI AIR PRESSURE 0-100PSI FEATURES AUTOMATIC WAFER CASSETTE LOAD/UNLOAD SYSTEM (2) COATING MODULES (2) BAKE / CURE OVENS (1) ROBOT CONTROLLER (2) PROCESS MODULES (1) SYSTEM MODULE (1) AC POWER CONTROLLER POWER 208VAC 3PHASE 60Hz 50A 10kAC (3) TMP CONTROLLERS (1) SEMIFAB MODEL RAM-1000/208/CE/SSI POWER CONTROLLER CABINET S/N 8664-01 DATE OF MFG 12/18/1997 POWER 208V 3PHASE 50/60Hz 41.6A/150A CHARGE 10LBS (1) SSI SOLVENT DISPENSING CABINET CAPACITY 0-30inHg NITROGEN 0-100PSI COATER 1/2 BULK FILL / EBR 0-30inHg 0-100PSI RESIST / EBRICR DEV-1/2 0-100PSI NITROGEN PURGE 0-15PSI WITH (2) CYBOR DIGITAL CONTROLLERS (6) NOWPAK MONITORS (SSI-63) 9165 SSI 668 SSI74 111661 SSM 4701 CVBT plotter 1 150 mm Currently warehoused. Operational when deinstalled10088 STAUBLI SA Puma 200 Robot, 2ea Available 1 excellent immediately11346 STEAG QE2 WET PROCESS STATION 111914 STEAG hood PIR / PIR>500:1HF CLN [FUL111918 STEAG hood WAPM CLN (1:1:60) 111663 STEC SF-2000 MFC calibration kit 110089 STOKES 339-150 Oil Purifier 18683 Strasbaugh 6DS-SP Strasbaugh 6DS-SP CMP Sy 1 good immediately Strasbaugh 6DS-SP CMP System w/Assort. s/n#0461294, 208volts, 3ph, 60hz, 36 motor amps, 60 Full Load amps. The system is designed for demanding processes in provides two-step processing, s ingle or dual wafer, increased process flexibility . The 6DS-SP is ideal for even the most demanding semiconductor applications

10090 STRASBAUG6BA Polishing Machine 110407 Strasbaugh 6EC - ILD CMP System 1 20011525 Strasbaugh 6EG 200 & 300mm polisher. 1 200mm & 30 excellent 01.11.2001 immediately 200 & 300mm polisher.10408 Streckfuss EO80 Selective Soldering 29443 Structure Pro12150-AB SPUTTER COATER 18202 STS MULTIPLEX I ICP ETCHER 1 2, 4,6, 8 inch good 01.07.2002 immediately10091 STS Multiplex CVD Deposition for 100mm 1 excellent immediately9758 Stuart Scienti SH2D1 HOT PLATE 19685 Submicron S AWS-12-02/ Wet Bench 1 01.08.2000 Five tanks5891 SUMITOMO M401 Fluorinet Leak Tester 1 01.06.19948799 SUMITOMO M401 Florinert Leak Tester 1 excellent5880 Sumitomo PreSG-01A High Density Ozoniser 1 01.06.199110608 Sumitomo PreSG-01A Ozone Generator 1 01.06.199111590 Sumitomo PreSGN-04CUA-High concentration ozone ge1 01.05.1995 Currently warehoused8792 Sumitomo PreSG-01A High Density Ozonizer 1 6 incl excellent2090 SUN ULTRASPARCOMPUTER 1 IT EQUIPME excellent 01.06.2002 01.09.1999 immediately 333 MHZ, 128 MB RAM, 9 GB HDD8691 Sun Yang Te TBGA Sun Yang Tech TBGA Lamina15750 Suss M6000L lift off tool 1 as new 01.06.2002 immediately11974 Suzuki C-100K Cryo compresser 19199 Suzuki Shok C200 Facility 1 01.04.19858846 SUZUKISHOKC300 CRYO PUMP COMPRESSOR19043 SVG VTR 7000 DIFFUSION FURNACE for ga1 150 MM good immediately9044 SVG VTR 7000 DIFFUSION FURNACE for s il1 150 MM good immediately8720 SVG 8600 19161 SVG 8600 SVG 61/62 17741 SVG lift off L001 Lift off Process 1 2" and 4" 01.05.20024939 SVG 90-S photo track 1 200 Interface was purchase to fit onto UPCL10S, 193nm scanner4942 SVG 90S COAT & DEVELOP TRACK 1 2004943 SVG 90S COAT & DEVELOP TRACK 1 2007581 SVG SVG 8626/861 Coater 1 Developer 1 4" 4"7582 SVG SVG 8136 Dual Developer Tracks 1 4" 4"7583 SVG SVG 8136 Dual Polymid Coater Track 1 4" 4"9039 SVG VTR 6000 DIFFUSION FURNACE for ga1 150 MM good immediately10092 SVG 8620SSC/86 Single Sided Wafer Scrubber110179 SVG VTR 6000 - Vertical Anneal Furnace 1 200 01.01.199010180 SVG VTR 6000 - Vertical Anneal Furnace 1 200 01.05.1990

10550 SVG VTR 7000 Furnaces 410927 SVG 90S COATER AND DEVELOPER 1 150 mm 01.10.1995 Coat/develop track, SVG 90S; Set up for 150 mm wafers; Two coaters (9026); One developer (9032); Eight vacuum bakes (9037-S); Three chill plates (9044-3)8680 SVG ( Silicon 88 SVG 88 Developer 16831 SVG Silicon 90S Coat/Develop Track System 1 200 mm excellent 01.01.2005 01.01.1997 immediately2112 SVGL MSII 2 200 MM good 01.01.1997 immediately6191 Synax SX-141 pick and place handler with 2 good immediately8072 Synax SX-141 TEST HANDLER 1 TEST 01.10.1996 USED WITH LTX SYNCHROMASTER PLUS. Software Version: Ver1.15 141C038071 Synax SX-141 TEST HANDLER 1 TEST 01.08.1996 USED WITH LTX SYNCHROMASTER PLUS.Software Version: Ver1.15 SX1418069 Synax SX-141 TEST HANDLER 1 TEST 01.10.1995 USED WITH LTX SYNCHROMASTER PLUS.Software Version: Ver1.15 141C038068 Synax SX-141 TEST HANDLER 1 TEST 01.10.1995 USED WITH LTX SYNCHROMASTER PLUS.SW 2.05 141C028066 Synax SX-141 TEST HANDLER 1 TEST 01.02.1995 USED WITH LTX SYNCHROMASTER PLUS. Software Version: Ver1.21 141C018067 Synax SX-141 TEST HANDLER 1 TEST 01.10.1995 USED WITH LTX SYNCHROMASTER PLUS.Software Version: Ver1.21 141C018070 Synax SX-141 TEST HANDLER 1 TEST 01.01.1999 USED WITH LTX SYNCHROMASTER PLUS. Software Version: Ver1.15 141C032740 Systemation MT30 Manual Tape & Reel 1 SMT excellent 01.08.1993 immediately11467 Systemation ST-495 Tape & Reel Machine 111468 Systemation ST-60 Tape & Reel Machine 1 01.05.199610486 Systemation ST495 Tape and reel 3 good 01.05.1998 immediately10487 Systemation ST485 Single reject track. 1 good immediately 2D10488 Systemation ST60-P6 ST-60-P6 2D Short track, tap 3 good immediately10489 Systemation ST60P7 ST-60-P7 2D Long track 3 good immediately10490 Systemation ST60-3D 3D tape and reel 4 good immediately9533 Systronic SYS152-200 Systronic Cleaning System 1 good 01.05.200411354 TABAI IPHH-200 OVEN 211547 Tabai ESPECVAC-200PR Clean Oven 1 01.04.199912070 Tabai ESPECVAC-200PR Clean Oven 1 01.04.199910905 Tabai Espec PL-2KT Temp and humdity chamber 2 01.06.199910094 TAKATORI ATM-1100C Wafer Taping Machine for u 110095 TAMARACK PRX 500/100UV Exposure System 110930 Tamson RTE 7 THERMOSTAT BATH 1 FACILITIES 01.03.200110409 Tanisys Sigma 3 DRAM Test System 510618 TANTEC CAM-F1 CONTACT ANGLE METER 18984 Tateyama SD90V III Dry Pump 19000 Tateyama 901 Oil rotary vacuum pump 111758 Taylor-Whar25 LD Dewar for liquid Nitrogen 1 Facilities excellent 01.06.2002 immediately

10096 TECA-PRINTICS-2300 Automatic Pad Printer with M 110097 TECHNICAL MICRO-g Vibration Isolation Table, 30" 1

10098 TECHNICAL MICRO-g Vibration Isolation Table, 30" 18566 Technics 220 Technics Micro Stripper 14949 TECHNOS TREX610 TXRF tool for measurement of 112093 TED PELLA GOLD SPUTTER 16871 TEGAL 905 PLASMA ETCHER 3 125 MM6872 TEGAL 9XXE PLASMA ETCHER 3 125 MM6226 Tegal 400 strip/asher/descum 1 Tegal model 400 strip/asher/descum. 300 Watt, 13.56 Mhz. Tegal internal RF supply. One gas input. Holds up to 50 4" wafers. System is operational.6227 Tegal 965 Barrel Asher 2 excellent immediately6228 Tegal 903e Oxide Etcher 4 3" to 6" wafe excellent 01.06.1998 immediately7584 Tegal 803 Plasma In line 1 01.04.20057586 Tegal 901e Nitride Etcher 1 6", operational 6", operational7587 Tegal 903e Oxide Etcher 1 6", operational 6", operational7588 Tegal T1612 Metal Etcher 1 5", as-is 5", as-is7589 Tegal 901e RIE Plasma Etcher 1 4", operation refurbished 1 month7590 Tegal 901e RIE Plasma Etcher 1 4", operational 4", operational7591 Tegal 901e RIE Plasma Etcher 1 4", operational 4", operational7630 Tegal 903e etcher 1 150mm good immediately8423 Tegal 915 barrel asher/etcher 2 6", 150mm excellent immediately10099 TEGAL 411 Plasma Barrel Stripper 110100 TEGAL 1511 Plasma Etcher, Parts Only 110101 TEGAL 903e Plasma Metal Etch System 110410 Tegal 1611 Oxide Etch System 2 12510411 Tegal 800 series P PolySilicon Etch System 1 12510605 TEGAL PLASMOD PLASMA ASHER 19761 Tek Temp MM-2000/10 DUAL CHANNEL WATER CH111495 Teknek SMCM Contact Cleaner 1 01.01.19987592 Tektronic 7844 Dual Beam Osciloscope 1

2131 TEKTRONIX AM503B CURRENT PROBE AMPLIFI 1 TEST good 01.01.2003 immediately3080 Tektronix 1751A PAL SCOPE 1 TEST good immediately7593 Tektronix T935A Oscilloscope 110102 TEKTRONIX 434 Oscilloscope 110103 TEKTRONIX 576 Curve Tracer with Standard T110104 TEKTRONIX 577 Curve Tracer with 177 Test F110105 TEKTRONIX 7704 Oscilloscope 1

2530 TEL ACT 12 Coater/Developer Track D 1 300 mm excellent 01.01.2001 immediately8144 TEL ACT 12 CLEAN TRACK DUV COATE 1 300mm excellent 01.06.2002 01.06.2000 immediately

2172 TEL Mark II DEVELOPER 1 150 good 01.11.1998 01.10.1990 immediately2174 TEL Mark II DEVELOPER 1 150 mm good 01.02.1999 01.08.1991 immediately

2176 TEL MB2-730 HT CVD 1 200 excellent 01.01.2003 01.04.1996 immediately2181 TEL TE 5480 Nitride Plasma Reactive Ion 1 150 mm excellent 01.11.1998 01.12.1992 immediately9081 TEL VDF-615 P DIFFUSION FURNACE 1 150 mm good 01.04.1999 immediately11048 TEL Alpha-8SE THERMAL PROCESSING SY 1 200MM2682 TEL ACT 12 Coater/Developer Track D 1 300 mm excellent 01.01.2001 immediately8123 TEL ACT 12 CLEAN TRACK DUV COATE 1 300mm excellent 01.06.2002 01.06.2000 immediately9196 TEL UNITY 88D Etcher 1 01.04.19979193 TEL Beta-801C CVD 1 01.08.1993 WAFER SIZE 89147 TEL a-8SE LPCVD: Vertical CVD System1 good 01.06.20018212 TEL Alpha 851 C Vertical furnace, pyro proce 1 200 mm as new 01.10.1997 immediately7215 TEL Unity-EP TiN CVD 1 8? 01.07.20007140 TEL VCF615S Dry-Oxide 1 6 Inch7141 TEL VCF615S Dry-Oxide 1 6 Inch7139 TEL VCF615S Wet-Oxide 1 6 Inch7138 TEL VCF615S Wet-Oxide 1 6 Inch7116 TEL VCF-615S D-Poly 1 6 Inch7115 TEL VCF-615S Pyro-Oxide 1 6 Inch7114 TEL VCF-615S Furnace Poly 1 6 Inch7113 TEL VCF-615S Furnace Poly 1 6 Inch7112 TEL VCF-615S Furnace LPCVD Nitride 1 6 Inch7111 TEL VCF-615S Furnace Anneal( Pre N+, ILD 1 6 Inch4952 TEL 1220 TEL 1220 PROBER 1 200 PROBER HAS BEEN USED FOR SPARE PARTS8745 TEL ACT 8 DUV Scan track 1 200mm excellent 01.06.2002 01.06.2001 immediately Dual Block W/BARC Module. 2 AD. 4 Coat 2-BARC, 2-Resist. Shinwa Temp and Humidity Controller. 3 Develope. 5 HHP Bake. 7 LHP Bake. 5 PCH Bake. 10 HCP Cooling. 1 WEE. 2 TCP If Cooling. 3 Resist 2 Arc Resist Lines. 2 Per Chamber E2 Developer Nozzle. 10 rds .05um Impact Resist Filter. .05um 40 Stack Mykrolis Develop Filter. .05um 40 Stack Mykrolis DIW Filter. .05um 40 Stack Mykrolis Thinner Filter. Exposure Tool is on the Right.9555 TEL UNITY III ETCHER 19550 TEL 48 MICRO B BURN IN BOARD 5

9495 TEL P8 Prober 2 200 mm good 01.07.2006 01.04.1997 immediately9347 TEL DRM-chamberChamber with ceramic ESC, 09448 TEL UNITY SP CVD System, 2 CH Ta2O5 19445 TEL 3M80-00068 ROBOT, UNITY 28119 TEL ALPHA 8 (S CONVERSION FURNACE SiN 1 200 mm new unused immediately9106 TEL P8 Prober 2 Loaded with options7947 TEL ALPHA-81-Z vertical furnace 1 200 mm TS4000,pyro ATM process,2 tubes,tube 1 pyro ATM process,tube 2 gases N2,H2,O2,DCE,Atmospheric process,100 wafer load size,VMU Heater type.7948 TEL ALPHA-81-Z vertical furnace 1 200 mm 01.05.1994 TS4000,pyro process,1 tube ,tube 1 pyro process, gases N2,H2,O2,DCE,Atmospheric process,100 wafer load size,VMU Heater type.7949 TEL ALPHA-81-Z vertical furnace 1 200 mm TS4000,pyro process,2 tubes,tube 1 pyro process,tube 2 gases N2,H2,O2,DCE,Atmospheric process,100 wafer load size,VMU Heater type.

7950 TEL ALPHA-81-Z vertical furnace 1 200 mm TS4000,H2 sinter process,2 tubes, gases N2,H2,Atmospheric process,100 wafer load size,VMM Heater type.7951 TEL ALPHA-81-Z vertical furnace 1 200 mm TS4000,ATM pyro process,2 tubes,tube 1 pyro process,tube 2 gases N2,H2,O2,DCE,Atmospheric process,100 wafer load size,VMU Heater type.

7952 TEL ALPHA-81-Z vertical furnace 1 200 mm TS4000,BPSG CVD process,2 tubes,tube 1 BPSG process source furnace,tube 2 gases N2,CVD system,100 wafer load size,VMM Heater type.7953 TEL ALPHA-81-Z vertical furnace 1 200 mm TS4000,TEOS CVD process,2 tubes, gases N2,O2,TEOS,CVD system,100 wafer load size,VMM Heater type.7954 TEL ALPHA-81-Z vertical furnace 1 200 mm TS4000,SiN CVD process,2 tubes,tube 1 SiN process,tube 2 gases N2,H2,O2,DCS,NH3,100 wafer load size,VMM Heater type.7955 TEL ALPHA-81-Z vertical furnace 1 200 mm TS4000,TiSi CVD process,source furnace,2 tubes, gases N2,H2,100 wafer load size,VOS Heater type.7984 TEL Track DUV248 1 300 mm9580 TEL ACT 12 Coater Developer Track 1 300mm9648 Tel Burn-in Sockets 1000 80 Pin uBGA 9650 TEL P8 Prober 2 01.04.1997 Prober with hot chuck 9734 TEL Alpha 8SE Vertical furnace with WAVES 1 200mm excellent 01.12.2001 2 weeks10107 TEL SCCM Oxide Etch Chamber for 8500110181 TEL ACT 8 SOD Cluster Tool Tracks (Resist 1 200 01.05.200310412 TEL 19S Automated Wafer Prober 1 15010413 TEL 580 series PolySilicon Etch System 3 15010415 TEL ACT 8 Coate Cluster Tool Tracks 2 20010416 TEL MARK-8 SO Cluster Tool 1 200 01.08.199810419 TEL Unity EP Multi-Process CVD 1 20010420 TEL Unity IIE 88 Oxide Etch System 3 20010421 TEL Unity SP MOCVD 1 200 01.11.200110559 TEL Alpha 801 Poly Dep 110563 TEL Act 8 Resist coat and develop 110809 TEL DUV Act 8 ® Clean track Act 8 for DUV p 1 200mm excellent 01.03.2000 immediately11060 TEL ACT 8 DUV Coater / Developer Tra 1 200 mm excellent 01.06.2001 immediately11076 TEL Alpha 8-SE-ESmall Footprint Oxidation Fu 1 200 mm Wafeexcellent 01.06.2005 01.10.1999 immediately

11490 TEL P8 Wafer Prober 4011726 TEL ACT 8 TRACK, SOG 111751 Tel TEL P12XL Prober 1 as new 01.06.200111868 TEL MB2-830 CVD TOOL 1 excellent 01.02.1995 immediately11893 TEL ALPHA 8 Oxidation TLC 111897 TEL ACT-8 Coat/Develop 111901 TEL ALPHA 8 20 NIT 111904 TEL ALPHA 8 20 NIT 211910 TEL MARK-8 82 Coat 111925 TEL ALPHA 8S TEOS DEP (N2LL) 111928 TEL MARK-8 16/17 Coat/Develop 1

11930 TEL ALPHA 8 ANNEAL / REFLOW 111931 TEL ALPHA8SE THICK NIT DEP (W) 1

11932 TEL ALPHA 858 THIN NIT DEP (W) 211934 TEL ALPHA 8 STI NIT DEP 111935 TEL MARK-8 82 Coat/Develop 112108 TEL UNITY EP CVD SYSTEM: 2CH Ti/TiN, 1 111895 Tel Alpha 8SE N2LL Cont Anneal 111898 TEL ALPHA 8SE N2LL SELECTIVE OX 111902 Tel Alpha 8SE N2LL Cont Anneal 111241 TEL TOKYO ALPHA 8S ZAVERTICAL FURNACE, GATE 1 200 MM excellent 01.06.2005 01.01.1998 1 month10543 TEL Tokyo ElACT8 coat and develop 2 200mm excellent10545 TEL Tokyo ElP8XL prober 3 8" 200mm excellent 01.05.2000 immediately11061 TEL Tokyo ElACT 8 DUV Coater / Developer Tra 1 200 mm excellent 01.06.1998 immediately11528 TEL Tokyo ElMark 7 PHOTORESIST COATER AN 1 200 mm good 01.06.2004 01.01.1994 immediately11877 TEL Tokyo ElMARK 8 PHOTORESIST COATER AN 1 200 MM good 01.06.2006 01.07.1997 immediately11878 TEL Tokyo ElALPHA 801 VERTICAL FURNACE 1 200 MM good 01.06.2006 01.06.1994 immediately11882 TEL Tokyo ElACT 8 SOD TRACK 1 200 MM excellent 01.12.2003 01.10.2001 immediately11906 TEL Tokyo ElALPHA 8 VERTICAL FURNACE OXIDA3 200 MM good

10108 TELEDYNE TAC PR-53 Wafer Prober 110109 TEMESCAL FC-1800 E-Beam Evaporator, with CV-1 excellent immediately Guaranteed to perform to OEM specifications10110 TEMESCAL FCE-4000 E-Beam Evaporator, with 3' X 1 excellent immediately11477 Temptronic TPO3010B Temperature Forcing Unit 18689 Tencor FT700 Tencor FT700 Prometrix 18682 Tencor 234150 Tencor 6400 Surfscan 1 125-200 MM8653 Tencor SM300 Tencor SpectraMap SM300 1

8656 Tencor 148679 Tencor P-2 Long Scan Profil 18437 Tencor 246 Tencor Surfscan Revisit Stat18440 Tencor ALPHASTEP Tencor Alpha-Step 100 Surfa15659 Tencor P1 Long Scan Profileometer 1 good immediately Tencor P1 Long Scan Profileometer s/n 38901475660 Tencor Film Thickness Measurement1 Tencor Alpha Step 200 Film Thickness Measurement tool s/n 1093-20939638 Tencor FT600 Prometrix 1 good Provides automated In-Line measurement and mapping of product wafers for process monitoring and control. Measures single or multiple layers of oxide, nitride, photoresist, polysilicon and other optically transparent films10111 TENCOR Alpha-Step 2 Profilometer 1 excellent immediately10112 TENCOR M-Gage 300 Resistiv ity Monitor 110113 TENCOR Surfscan 40 Unpatterned Wafer Surface In110114 TENCOR Surfscan 45 Unpatterned Wafer Surface I 1 01.05.198711077 TENCOR AlphaStep 2 Profilometer 2

12053 Tencor / Pro FT600 #1 Film thickness gauge w/ loa 112054 Tencor / Pro FT700 #2 Film thickness gauge w/ loa 1

8206 TEPLA PLASMA 300 1 UP TO 200 excellent 01.05.2002 immediately Wafer Size All s izes up to 200 mm Throughput Up to 150 wafers/hour, depending on type of process Batch Size Up to 75 wafers depending on size Wafer Loading Manual wafer loading, outside of plasma chamber Plasma Chamber Quartz, compatible with fluorinated chemistries Diameter: 245 mm(9.6?) Depth: 380mm(15?) Plasma Generation Microwave source(2.45 GHz), maximum power 1,000 W variable Process Gas 2 channels standard, 2 optional, Broods MFC with electromagnetic vale 1/4? Swagelok, stainless steel gas inlet v ia diffuser ring in front door Vacuum System Vacuum gauge: Capacitance manometer, MKS Baratron, 0.001-10 mbar Vacuum pump: Recommended?65m3/h, optional Control Microprocessor controlled with numerical front keys for system operation, cursor keys and function keys, illuminated LC display, centronics printer interface Software Menu-driven system software, manual and automatic operation, process recipe memory for up to 100 recipes with 1-5 steps each, software includes system checks, warnings, automatic leak rate check, safety interlocks Temperature Control Infrared thermometer End Point Detector Optical EPD Performance Data Uptime: >95% Software Menu-driven system software, manual and automatic operation, process recipe memory for up to 100 recipes with 1-5 steps each, software includes system checks, warnings, automatic leak rate check, safety interlocks Temperature Control Infrared thermometer End Point Detector Optical EPD Performance Data Uptime: >95% MTBF: >500 h MTTR: <2 h Partic le Count Partic le Count:<50 adders(0.12?2on 150mm wafer) Supplies Electric ity: 230V, 50/60 Hz, 16A Process gas: 13 bar (15-30 psi) Compressed air: 4-5 bar (60-90 psi) Standards CE-marking.Used for 2 inch GaN and 4 inch saw filter chips, still installed, in c leanroom, in mothballed state.11753 TePla TePla Quarz Plasma clean system 1 as new 01.05.20019086 TERADYNE J971 IC TEST SYSTEM 2 TEST good 01.02.1996 immediately

8146 Teradyne AOI AUTOMATED OPTICAL INSP 1 excellent immediately2208 TERADYNE J971SP Test System 1 TEST good 01.01.2002 01.01.1998 immediately

2729 TERADYNE A 585 M0 Test System 3 TEST excellent 01.01.1999 immediately2810 TERADYNE MEGATEST GETEST SYSTEM (without test h 1 TEST excellent 01.03.2003 01.01.1995 immediately9125 Teradyne J997 IC TEST SYSTEM 1 TEST excellent immediately6280 TERADYNE J971 VLSI T TERADYNE J971 VLSI TEST 1 2007750 TERADYNE M1T401 TESTER 1 01.05.19997911 teradyne j-971 tester 1 01.01.2003 232pin7912 teradyne j-971sp60 tester 1 6 01.04.19987913 TERADYNE J-971SP60 TESTER 1 6 01.04.19987914 TERADYNE J-971SP60 TESTER 1 6 01.10.19987915 TERADYNE J-971SP60 TESTER 1 6 01.10.19987916 teradyne j-973 tester 1 320 pin

9692 Teradyne J-971SP TESTER 1 01.06.199810208 TERADYNE J994 DRAM TESTER, MEMORY 1 200 MM excellent immediately Teradyne DRAM Test System 10862 TERADYNE J973ST-256 X2STR 8MEG,256MSPO, MTO610864 TERADYNE J973ST-256 X2STR 8MEG,256MSPO, MT 310880 TERADYNE J973 Willamette HVM Structural tes210881 TERADYNE J973 LVM 111348 TERADYNE J-994 TESTER, MEMORY 211353 TERADYNE J994 TESTER 211386 Teradyne A588 Mixed Signal Tester 311387 Teradyne J973 Tester 2 01.06.199911484 Teradyne J994W DRAM Test System 211485 Teradyne J996 DRAM Test System 1 01.08.199911529 Teradyne J997 Tester 111541 TERADYNE J971 IC Tester 1 01.11.199611744 TERADYNE J997 TESTER 111847 Teradyne A-520C Automatic Test System 1 01.09.199711848 Teradyne A-540 Tester 1 fair 01.07.199212109 Teradyne Tiger ATE 1 excellent9242 TERADYNE P806 MEMORY TESTER 1 01.07.19972809 Terra Univer3751-00 Dessicator storage box 2 Facilities good 01.12.2003 01.05.1995 immediately

11078 TERRA UNIV1111-988 Desiccator Box 211581 TESEC 8918HT Test systems 611582 TESEC 9718HT Test systems 2

11835 Tesec 8101-TT TRANSISTOR TESTER 1 ASSEMBLY good 01.06.2002 01.06.1985 immediately with monitor, keyboard, A-station, model 7811-MZB, printer and table 11836 Tesec 8101-TT TRANSISTOR TESTER 1 ASSEMBLY good 01.06.2002 01.06.1985 immediately with monitor, keyboard, A-station, model 7811-MZB, printer and table

11837 Tesec 8101-TT TRANSISTOR TESTER 1 ASSEMBLY 01.06.2002 01.06.1985 with monitor, keyboard, A-station, model 7811-MZB, printer and table 10853 TEXAS INST AT4060 LEAD INSPECTION SYSTEM 1 SCANNER, VISUAL/MECHANICAL10854 TEXAS INST PP05105 AT LEAD INSPECTION SYSTEM 1 SCANNER, VISUAL/MECHANICAL10619 THECHE DHC-2 CONTROLLER (Water Bath 18645 Therma Wav300 Therma- Wave Therma- Pro 12213 THERMAWATHERMA-PRIMPLANT DOSE UNIFORMI 1 150 MM excellent immediately7738 Thermawav TP420 Implant dose monitoring tool 1 200mm good 01.01.1994 immediately11397 THERMAWA2600B Analytical 111530 Thermawav 2600 Thin Film measurment 1

11772 THERMAWA2600B Optiprobe 511881 Thermawav 3501 1 good immediately

12055 Thermawav TP320 Dopant profiler metrology too18681 Thermco 4300 Thermco 4300 Diffusion Fur 15689 Thermco VTR 7000 Vertical Furnace 0 Thermo VTR 7000 Vertical Furnace11760 THERMO EL ECO 8 Thin Films 111478 Thermo Sava DNA 110 Centrifuge 18188 THERMONICT2420 TEMPERATURE FORCER 1 FACILITIES good immediately9748 Thermonics T-2420-IC3 PRECISION TEMP. FORCIN 110879 THERMONICS Thermonics Controller 49760 Thermotron 41Wx27Dx31Thermal Chamber 18620 Thermtec 624CSI ThermTec 624CSI Furnace 18662 TM Vac ? TM Vac Vacuum Anneal Ove 110115 TM VACUUM SS126D412 Vacuum Furnace, 600C, 17"18458 Tmpi Co 04-710831- Tmpi Degas 5" Table 110453 TODO SEISATODO2K LOADER/UNLOADER 128090 TOHO MEGASONICSC1 MEGASONIC HOOD 1 200 mm excellent 01.09.2005 01.01.1997 immediately9704 TOK TR39000 Coater 1 01.06.19988904 TOKKI VEA-450 HIGH VACUUM EVAPORAT 18905 TOKKI HIGH VACUUM EVAPORAT 16134 Tokki High Vacuum Evaporator 1 excellent8780 Tokoyo ShinkCU-4ST Evaporator 1 01.06.20006127 Tokuda CFS-8EP-55 Sputter 1 excellent12004 Tokuda EVS-16 Rotary Pump 15935 Tokuda Vac PRF-1400 Oil Rotary Vacuum 3

9244 TOKYO ELE. UMA1002HCUV OVEN 1 01.08.20009456 Tokyo Electr 20S Wafer Prober 1 01.08.1977 WAFER SIZE 6 INCH

9256 TOKYO ELECTE8500PE ETCHER 1 01.07.19939250 TOKYO ELECTE8500 PE ETCHER 1 01.12.1993

9253 TOKYO ELECTE8500 PE ETCHER 1 01.12.19939255 TOKYO ELECTE 8500PE ETCHER 1 01.10.19949245 TOKYO ELECUMA-1002- UV BAKE OVEN 1 01.12.200011423 Tokyo Microtec Acid Etch Machine 17735 Tokyo Ohka OPM-A1200 Plasma Etcher 1 01.05.19869453 Tokyo SeimitA-PM-90A-N Wafer Prober 1 01.05.2002 WAFER SIZE 8 INCH11021 Tokyo Semit APM-90AL Prober 1 01.05.1997

11022 Tokyo Semit APM-90A Wafer Prober 5 01.01.199611388 Tokyo Semit A-PM-90A Probe 1 01.06.1996

5865 Tokyo Shink CU-4ST Evaporator 1 01.06.198911093 Toshin TP80-2 1

10116 TOWA CC-S Injection Molding Press, 2ea 111434 Toyo Wire Saw Mounting System 1 200mm11436 Toyo Wire Saw Demount System 1 200mm12057 Toyoko Kagaku Cup Cleaner 1 8 inch 01.01.199610968 TPS 1406 18460 Trazar Corpo27-058399- Trazar Corporation AMU10G18464 Trazar CorpoAMU2-1 Trazar Corporation AMU2-1 50 good immediately AMU2-1 IMP Cooling Match 8542 Trazar Corpo04-101574- Trazar RF Switch, Sequel-S, R110843 TRESKY T-3102 DIE BONDER, SEMIAUTOMA 12890 Trikon Emerald PVD SiO2 and Ti deposition 2 200 mm good 01.03.1999 immediately2789 Trikon Delta 201 PECVD SiO2 deposition 1 150 mm good 01.05.2003 01.03.1997 immediately8501 Trikon ND5200 ET PlasmaFab ND5200 PECV29254 TRIKON TECP-8000R METAL ETCHER 1 01.12.200010117 TRION Minilock Single Wafer RIE Etcher wit 19213 TSK A-PM-90A Prober 1 01.01.1997 wafer s ize 89130 tsk APM90A wafer prober 1 good immediately5254 TSK MHF 300S MANIPULATOR FROM PRO 1 150 mm good 01.07.2003 01.01.1998 immediately5734 TSK APM 90A Automatic wafer prober 5 200 mm excellent immediately9107 TSK APM88 Prober 3 Wafer prober9098 TSK UF300 Prober 2 NICKEL HOT CHUCK AND OCR9099 TSK UF200 Prober 6 Loaded with options9100 TSK UF200S Prober 2 With 300L manipulator9101 TSK UF200FL Prober 1 Loaded with options

9102 TSK UF200AL Prober 1 refurbished9103 TSK UF190A Prober 3 Wafer prober

9104 TSK APM90A Prober 3 Loaded with options9105 TSK APM90A Prober 13 G2 UPGRADE11232 TSK UF 200 AL prober 1 good immediately10118 TSK APM-90A Automatic 200mm Wafer Pro 110360 TSK UF300 PROBER 1 excellent 01.06.2001 immediately10392 TSK UF 200 Prober 5 good immediately10574 TSK APM 90A Prober 210613 TSK APM90A probers 5 good immediately10795 TSK 90A Prober 1 excellent 01.06.1997

11443 TSK Surfcom 51400A 111849 TSK UF-200 Prober 2 150 mm poor 01.03.2000 63227 - Vintage is October 2001

11850 TSK UF-200AL Prober 3 150 mm poor 01.09.1999 63228 - 2/20029083 TSK Accrete APM 90AN Wafer Prober 1 200 mm good 01.05.2005 immediately9082 TSK Accrete APM 90A Wafer Prober 1 200 mm good 01.12.1994 immediately8200 TTC 6000A ANALYZER 3 TEST good immediately9639 Twin City Int TC400 Betascope System 1 fair3416 Tylan FC2992VJ Mass flow controller - qty 40 400 Facilities 01.06.20046014 U lvac VULH-25 L Tyoe Valve 1 excellent Stocked in Japan10471 uBGA 80 Pin Burn-in Sockets 100010855 UBM UBM 148 UBM METROLOGY 19372 UCI U-20P Cryo Pump 19832 UCI U6H Cryo pump 110119 ULTRACISI 880 200mm Semi-Automatic Wafe110120 ULTRACISION 200mm Automatic Wafer Scr 111441 Ultracis ion 4211700001Optical Character Readers 211442 Ultracis ion Optical Character Sorters 210426 Ultrapointe CRS-1010 Mask Inspection System 1 01.01.199710708 Ultrapointe LIS-1000 Wafer inspection system 18611 UltraPointe 1000 UltraPointe 1000 Laser Imag 18728 Ultratech 1700 i-line stepper 1 150 mm 01.06.19949222 Ultratech 2244i Stepper 1 01.09.19959221 Ultratech 2244i Stepper 1 01.02.19957239 Ultratech 2244i Stepper For 6" Jeida wafers 1 150mm, 6" excellent immediately9542 Ultratech 1000 Steppers 4 6" 150mm good 01.06.1995 immediately

9585 ULTRATECHMLA Implant 18133 Ultratech MLA Implant 1 good immediately9469 Ultratech 6700 Saturn IIDSW wafer stepper 3 125 to 200 excellent immediately7620 Ultratech 2244i WAFER STEPPER 1 150 mm excellent 01.04.1995 immediately7621 Ultratech Saturn WAFER STEPPER 1 150 mm excellent 01.08.1997 immediately7869 Ultratech 2244i stepper 0 6 01.09.19989738 Ultratech WF 1000 STEPPER 4 150 MM excellent immediately7989 Ultratech Titan II G-line stepper 1 150 mm excellent immediately9641 Ultratech Titan II G Line Stepper 1 5" good 01.09.1998 immediately11120 Ultratech 602 Photomask & Wafer Cleaner 1 good 01.06.2004 01.06.1998 immediately12036 Ultratech Ultratech 1000 Widefield 1:1 512047 Ultratech UT1000 #06 Widefield 1:1 stepper 112048 Ultratech UT1000 #08 UT1000-08 DEMO 212049 Ultratech 1000 1000 Widefield 1:1 stepper 2 excellent 01.08.2006 immediately7610 Ultron UH412 29053 Ultron Syste VSI Model U Tape Delaminator 17821 ULVAC PKS-070 OIL ROTARY VACUUM PUM 1 NOT REFURBISHED8952 ULVAC EC-403 OIL ROTAARY VACUUM PU 18781 Ulvac E/B evaporatEvaporator 1 01.07.19968986 ULVAC U6H Cryo Pump 18985 ULVAC U12H CRYO PUMP 18964 ULVAC 2KW RF POWER SUPPLY 38965 ULVAC PDR-090CHDRY PUMP 58966 ULVAC YM-100B PUMPING SYSTEM SET 28967 ULVAC YM-100C PUMPING SYSTEM SET 18958 ULVAC PBM-012CM MECHANICAL BOOSTER PU18959 ULVAC PMB-012C MECHANICAL BOOSTER PU18961 ULVAC SH-55OM-C SPUTTER 18962 ULVAC EGL-35M EB EVAPORATION SOURCE 1

8968 ULVAC C10ES CRYO COMPRESSER 38969 ULVAC C30MVH CRYO COMPRESSER 18972 ULVAC PDR-012 MECHANICAL BOOSTER PU18860 ULVAC VULP-25F VACUUM VALVE 138895 ULVAC U-20P CRYO PUMP 1 excellent8868 ULVAC 10" gate val GATE VALVE 18865 ULVAC VUP-UIS VACUUM VALVE 8 01.06.1998

8866 ULVAC VUP-U1SL VACUUM VALVE 2 01.06.19998947 ULVAC RFS-50C RF POWER SUPPLY 19539 ULVAC EA-4583 Evaporator 29536 ULVAC BC2153 Etcher 1 01.05.19927817 ULVAC PDR-090CHDRY PUMP 1 HAS BEEN OVERHAULED7818 ULVAC UTM-150 TURBO MOLECULOR PUMP1 NOT REFURBISHED/INCLUDE CONTROLER AND CABLES.7819 ULVAC D-95OD OIL ROTARY VACUUM PUM 1 NO OPERATIONAL CHECK7820 ULVAC U6H CRYO PUMP 1 HAS BEEN OVERHAULED5860 ULVAC UNA2000 Asher 1 01.06.19925861 Ulvac UNA2000 Asher 1 01.06.19925866 ULVAC EBX-10D Evaporator 1 01.06.19825867 Ulvac E/B EvaporatEvaporator 1 01.06.19855870 Ulvac EBX-6D Evaporator 1 01.06.19895893 Ulvac MLX-3000 Sputter 1 01.06.19945906 Ulvac GI-D6 Ionization Gauge 15907 Ulvac GI-M Ionization Gauge 95911 Ulvac GP1SRY Pirani Gauge 45913 ULVAC WIB-15 Sensing Head 15917 Ulvac WIB-G7 Sensing Head 15920 Ulvac CRTM-6000 Crystal Oscillation Type Dep15921 ULVAC CRTM-6000 Crystal Oscillation TRype De15926 Ulvac DCG-05C DC power supply 1 5Kw5928 Ulvac A2KH-25 DC P/S arc preventor 7 For DCS04025930 Ulvac MBX-30AC Matching Box 1 For RFS5931 Ulvac RFS-30C High Density Ozonizer 1 3kw 13.56MHz5933 Ulvac D-330K Oil Rotary Systems 35932 Ulvac D-330 Oil Rotary Vacuum Pump 1

5934 Ulvac D-650 Oil Rotary Vacuum 35940 Ulvac DA-60S Dry Pump 1

5942 Ulvac UTM-3000 Turbo Pump 15943 Ulvac UTM-3000 Turbo Pump 15954 Ulvac ON-BOARD8Cryo Pump 15956 Ulvac Torr-8 Cryo Pump 85957 Ulvac Torr-8F Cryo Pump 15958 Ulvac U10P Cryo Pump 15965 Ulvac U6H Cryo Pump 15968 Ulvac C30 Cryo Pump Compresser 15972 Ulvac C-30V Cryo Pump Generator 1

1 crate, < 1,000 lbs1 crate, < 1,000 lbs

Need to put on a skid1 crate, < 1,000 lbsNeed to put on a skid400 pound,s top heavyNeed to put on a skid

Cables -1232471 Rem ote Panel

o CONFIGURED TO ALLOW ADDITION OF ROTARY TABLE OR PNUMATIC ACCESSORIES.o WITH AUTOMAX(4) Organic Chemical Unit : 2 unit(5) Including : Chemical Cabinet, Ozone Generator, Hepa UnitNB : This tool is confi gured wi thout Ozon-Module, Mix-Cabinet and third Chemical cabi net.

Laser Markerwafer size 12

Good working Condit ion Not Installed, Not Crated

EB evaporation source 1uni t / film thickness monitor XTC 2 units / 800&#8451; temp.

3 cathodes / Au P t Ti / 4 inch Max.6 /

3inch / s ingle wafer processing system / film thickness monitor CRTM-7000

NO FEEDERSNO FEEDERS

NO FEEDERSCE Marked

not installed - not crated 10' l ong and tough to pick up10' l ong and tough to pick upSystems output: 750 litres/24 hours�

to 3.5 �

IC Tester, 2 units-SSI

Other Features S&K Vapor Dryer Accessories Come with a S&K Dryer

chemical tech process.

process occurs while the product carriers containing the quartz crucibl es are moved into and then rotated on turntables in the process stat ions at each of the integral modulesfluid in the plenum.

facili ty.

Di mension: 112"L x 46"D x 95"H

Lens diameter 18 cmWarehoused in Avezzano (AQ) , Italy.Lens diameter 34 cmWarehoused in Avezzano (AQ) , Italy.

(2) Rinse Stations (2) Microplanarizers Nova Ready CMP System (Oxide)

ILD (InterLayer Dielect ric) CMP System (Oxide/Poly Sili con/STI)Used i n a Copper environment If you call the manufacturer to purchase this exact same oven it costs wel l over $200,000.

The Spin Rinser Dryer is sui table for a carrier size up to 8" (8" wafer only in low-prof ile carriers).

+ FOB: Georgetown, TX (Buyer Pays all Crating, Export ing and Transportation Charges) + Guarantee: Meets or Exceeds OEM Specificati ons Trilennium 3300 Series Post CMP Clean Model 3301 Single Process Cleaner Equipment stored in clean environment

CONDITION COMMENTS Current ly warehoused. Operat ional when deinstalled

ILD (InterLayer Dielect ric) CMP System (Oxide/Poly Sili con/STI)6EC Poli sher

System is not lead-free (kit available from Streckfuss)

Chiller temp. +5~+40? uniformi ty ±3% R-R ±5% WIW Wall angle(for hard mask) >85° Wafer cooling HE Gas Inlet Lines Up to 8 gas line System cont rol PC RF Generator upgrade 13.56MHZ (ICP source=1000w lower electrode=600w) Productiv ity: 10pcs/15mins 960PCS/24hrs 24000pcs/25 days Selectiv ity(for Ni): 30~15, new price 550k usd, shut down in fab, set up for GaN blue chipscan be used for Chlorine gas.# Heated Chamber and Vacuum Val ve# System Operat ion and Maintenance Manual230V 50HZ 850W

Very low usage. Less than 1000 wafers. All current hardware and sof tware upgrades.

Cryo-compressor

: 4 SAW Filter�

Upgrade lower f ront cover panel to mi rror polish stainless *Replace front sliding access door with hinged plexiglass doorsUpgrade lower f ront cover panel to mi rror polish stainless *Replace front sliding access door with hinged plexiglass doorsCE Marked

- Operati ons Manuals and Documentation

Ambient/Hot

uses a stepper motor and foot pedalcontrol for easeof tape advancement can be easily interfaced to automated pick and place equipmenDe-Tape capability to S ingle Reject Tray MonitorHas tube vibrator for detape of tubed devices.

Printer: HP DeskJet 670Cframe size (max.) 800mm x 800mm (profil e 40mm x 40mm)

Ethernet integrated 10 Bast T connection

serial number538-003-J8.Located at Avezzano, near Rome, I taly

Operat ionalSeveral l ate model systems in stock. Systems include ACG-10B RFG's.

40 % with source inspect ion10 % prior to shipment

SiN etch

2 phase 50Hz.Speed range: 7.5m/min - 33.5m/min Power supply: 220V/50Hz

Comes with Power Cordand Manual in PDF on CD

4 DevelopersPHP Hotplate (rapid temperature change possible)�o HCH wafer wafer wedging detect ion4- dev 1 spray QTY 2 UC230 HPQTY 2 UC320 IND * DCS: 1000 sccm8. Chil ler SMC Model INR-341-61A Triple ChillerVoltage= 200 3 phase 50/60 Hz 25A 350 kg dimensions 72 cm x 92 cm x 175 cm (height ).

100 Wafer Batch Size, Process: FEOL Oxide/Anneal, Capable of Ultra Thin Oxides (12- 16 Angstrom), Nitrous & Nitric capabilities, Pyrogenic Chamber, Controller Module: WAVES (Sun), Heater: FTP (Fast Thermal Processor wi4 DevelopersPHP Hotplate (rapid temperature change possible)�o HCH wafer wafer wedging detect ionRIE

(8) HEC PYRO Cont roller (provi ded with Pyrogenic specif ication) - The HEC PYROcontroller controls the external torch uni t temperature and monitors the combustion flame.

SPACING ROWS 10 AT 0.825 SPCOLS 15 AT 1.200 SP

Were due to be de-facilit ized 31-July 2006

* Other -Gas Box Frame

System options: Inventory lis t availabl eStatus: Stored i n c limate controlled room.

AC Power Box (Qty. 1) *TEL STD US Safety for S2-93A (Qty. 1)

580LC PA Etch H122603

No SMIF interface Manual Casset te Loading Dual DRM Chambers/SCCM Process Kit Upgrade TEL STD GEM

2 - Thermal Transition Plate (TCP)ADVANCE CASCADING SOFTWARE OPTION 1PARALLEL PROCESSING SOFTWARE OPTION 1 * External Pyrogenic Torch * Ebara AA10V1-H Dry Vacuum Pump

Accessories Incl . Barcode Reader Siemens LK 412 SACC - Semi Auto Card Changer Air : 0,45 - 0,7Mpa / 27l /min Vacuum : -0,05MPa or less Temp.range: hot chuck Software Version: RZZ 03-R014.04D Prober can be used for 200 and 300m m The P rober was connected to an Advantest T5593 test system Prober is deinstalled (by TEL - deinstallation protocol attached) but not crated, therefore an opti cal inspection would be still possible

MAINT. OPERATION UNIT 50 X 55 X 100 ?TS-4000Z REMOTE BOX ?No missing parts Confirmed Operational prior to deinstallation� Avai lable for inspection�PARALLEL PROCESSING SOFTWARE OPTION 1PROCESS LOG SOFTWARE OPTION 1chemical cabinet 1700 x 700 x 1600 (h)-TWO CHEMICSAL CABINETS-T AND H CONTROLLER

footprint of mai n body: l ength 2020wi dth 1700 + 1130

Buyer is responsible for Packing / crating

400 Angstrom Hori zontal Resolut ionMeets or Exceeds O.E.M. Specif ications

Automat ic Cal ibrationCondit ion Very Good

1 skid, < 1,000 lbs1 skid, < 1,000 lbs

I/O channels standard system has 64 channels.Channels can be added in crements of 32 up to a maximum of 512. F3AI added the configs, each i s different,Also asking price. Assy Elect MF 10PS, GII I p/n 121492Fully funct ionalSupported by service contract

VMO 1Meg VMO on all channelsEnhanced waveview Yes

upgrade 4 to 8 meg on 128 PIN

Local Power Conf igurat ion Ki t 1 PMU per Site

Accessories Teradyne ManipulatorHandler Interface YES

Desi ccator Box, 12"x11"x12" - 56145

Located in USAORIGINAL COST 535K USDUsers Manual printed on plain paper�GEM COMPLIANT SECS HARDWARE AND SOFTWARE for communicat ions between the TP-420 and host computer.

in usast ill installed aug 20061 skid, < 1,000 lbs

Buyer is responsible for packing / crat ing costs

IEEE-488 Bus, RS-232C/10, 220V , Control Microprocessor W/3¼ Disc drive T2433/ T2420V

-Material FRPPExcellent condition=never used i n production line. Subst rate s ize: 355.6mm x 406.4mm (600mm x 750mm max). An independent washing line, UV cure line, and hot plate equipment are built in to the equipment. Operations, mai ntenance manual and all necessary accessories are included.

Completely enclosed for safety.

Configuration Data OCR Camera YES

Complet ly automated including xray for proper sl ice orientation. Automated demount from wire saw beam.

380/400 VAC, 3 phase, 50 Hz PUMPS Edwards BOC E2M40 and Edwards Model A38210000Aprox. dimensi ons 2m x 0.8m x 2m (h)

220V 50/60Hz 1.5KVAserial number F01509ANWarrenty: 90 day board replacementAvailable immediately

� ERS Air cool -40 to 200 degrees C for UF200AL. Includes 2 year parts warranty.

Has been powered up and calibrated.Is located in the USA.

Q: Is the prober equipped with a Low Temp option?A: NONo OCR

REcent ly removed f rom fab.All were worki ng at that time.

UFC Flange

of Bar Codes.of Bar Codes.

CATEGORY Metrology .Currently warehoused. Operational when deinstall ed

No mvs

+ 6 Round: 90 wafers per hour�+ Field Change Time: 10 secondsRetic le libraryFull docs, schemat ics, manuals, acceptance specs, install servi ce available.Retic le libraryFull docs, schemat ics, manuals, acceptance specs, install servi ce available.

INSPECTION AVAILABLE.INSTALL ETC. AVAILABLE.19. Exposurematch +/ -5mJ20. Part iclecheck <10 Manuals incl udedLocated at SDI Texas, Austin TX area.

3000 lb tool , 1 skid3000 lb tool , 1 skid<3000 lb tool, 1 skidCondit ion Removed f rom fab mid August 2006

Page 6: [XLS]snf.stanford.edu/piperma ··· ment.xls - Stanford ...snf.stanford.edu/pipermail/specmat/attachments/20121223/... · Web viewlifting frame with remote control, 18 different

5975 Ulvac VLH_US40K Vacuum Valve 35979 Ulvac VUH-U1-1/2 Vacuum Valve 15980 Ulvac UVH-US40KfVacuum Valve 15984 Ulvac VULP-25F Vacuum Pump 55988 Ulvac VUP-U1-1/2 Vacuum Valve 66010 Ulvac VLP-U1S L Type Valve 1 excellent Stocked in Japan6011 Ulvac VLH-US40K L TYPE VALVE 1 excellent STOCKED IN JAPAN6012 Ulvac VLH-1 L Type Valve 1 excellent Stocked in Japan6013 Ulvac LP-U1SW L Tyoe Valve 1 excellent Stocked in Japan6015 Ulvac VULP-25 L Type Valve 1 excellent Stocked in Japan6016 Ulvac VLP-40 L Type Valve 1 excellent Stocked in Japan6017 Ulvac VLP-U1SW L Type Valve 1 excellent Stocked in Japan

6018 Ulvac VLP-U1Sw L Type Valve 1 excellent Stocked in Japan6019 Ulvac VULH-25 L Type Valve 1 excellent Stocked in Japan

6036 Ulvac RF-50 Rf Power Supply 1 excellent6038 Ulvac HP-510C EB Power Supply 1 excellent

6039 Ulvac HPS-1000F EB Power Supply 1 excellent6041 ULVAC RFS 10C RF Power Supply 1 excellent6043 Ulvac GM-1000 Capacitance Manometer 1 excellent CCMT-100A+Cable(3m)6044 Ulvac GM-1000 Capacitance manometer 1 excellent CCMT -100A+Cable(4m)6045 Ulvac GM-1000 Capacitance Manometer 1 excellent CCMT-100A+cable(5m)6046 Ulvac GM-1000 Capacitance Manometer 1 excellent CCMT -100A+Cable(6m)6047 Ulvac GM-1000 Capacitance Manometer 1 excellent CCMT-100A+Cable (7m)

6048 Ulvac GM-1000 Capacitance Manometer 1 excellent CMMT-100A+Cable(7m)6049 Ulvac GM-1000 Capacitance Manometer 1 excellent CCMT-100A+Cable(9m)6050 Ulvac GM-1000 Capacitance Manometer 1 excellent CCMT-100A+cable(10m)6051 Ulvac GM-1000 Capacitance Manometer 1 excellent CCMT-100A+Cable(11m)6052 Ulvac GM-1000 Capcitance Manometer 1 excellent CCMT-100A+cable(12m)6053 Ulvac GM-1000 Capacitance Manometer 1 excellent CCMT-100A+Cable(13m)6054 Ulvac GM-1000 Capacitance Manometer 1 excellent CCMT-100A+Cable(14m)6057 Ulvac GP-1100 Pin-Point Pirani Vacuum Gau 1 excellent w/ sansing head6058 Ulvac GP-1100 Pin-Point Pirini Vacuum Gau 1 excellent w/ sensing head6059 Ulvac GP-1100 Pin Point Pirani Vacuum Gau 9 excellent w/ sensing heads6060 Ulvac EM301 Pirini Gauge 2 excellent6061 Ulvac GP-1100 PinPOint Pirini Vacuum Gaug 1 excellent6063 Ulvac CRTM-5000 Crystal Oscillation Type Dep1 excellent No Cable, connector6067 Ulvac D330DK Oil Rotary Vacuum Pump 18 excellent6068 Ulvac D-950 Oil Rotary Vacuum Pump 1 excellent w/ motor, modified to direct drive. done O/H6069 Ulvac D-960D Oil Rotaty Vacuum Pump 1 excellent w/ motor6071 Ulvac D-950 Oil Rotary Vacuum Pump 1 excellent w/ motor, modified to direct drive. Done O/H6072 Ulvac EC-403 Oil Rotary Vacuum Pump 4 excellent Motor 400v type6077 Ulvac 2020A Oil Rotary Vacuum Pump 1 excellent6080 Ulvac D-650 Oil Rotary Vacuum Pump 2 excellent6084 Ulvac VD401, PMB Exhaust 1 excellent6088 Ulvac PMB-001C Mechanical Booster Pump 1 excellent can be modified to CM type6089 Ulvac PMB-001C Mechanical Boosterr Pump 1 excellent In the process of O/H6091 Ulvac ULK-06A Diffusion Pump 2 excellent Needs O/H6092 Ulvac ULK-14 Diffusion Pump 1 excellent Cooling Pipe needs to be repaired6093 Ulvac ULK-14 Diffusion Pump 1 excellent6096 Ulvac ONBOAD-8 Cryo Pump 1 excellent Needs O/H6097 Ulvac U10PU-S Cryo Pump 1 excellent Needs O/H6099 Ulvac C2WU303 Cryo Pump Compresser 4 excellent No Panel

6100 Ulvac C30V Cryo Pump Compresser 1 excellent6105 Ulvac UTM2300FWTurbo Molecular Pump 2 excellent w/ controller, cable6108 Ulvac PTI-500M-01Turbo Molecular Pump Contr1 excellent6111 Ulvac CPD-6118 Loadlock type P-CVD 1 excellent6112 Ulvac CPD-2000 Loadlock type plasma CVD 1 excellent6113 Ulvac CIH-3030 Loadlock type plasma CVD 1 excellent6115 Ulvac CPD-1114 P-CVD 1 excellent6118 Ulvac RMD-200M Etcher 1 Condition is poor6120 Ulvac CSE-1110 Dry Etcher 1 excellent6122 Ulvac SMH-2304 Sputter 1 excellent6123 Ulvac SBH-2306R Sputter 1 excellent6124 Ulvac MLH-6318 Sputter 1 excellent no RF/DC Power Supp;y6130 Ulvac SH-350 Sputter 1 excellent only chamber and mounting frame8932 ULVAC G1-D6 IONIZATION VACUUM GAU 1 excellent8933 ULVAC EC-803 OIL ROTARY VACUUM PUM 1 excellent8934 ULVAC TM-2 OIL MISTTRAP 1 excellent8936 ULVAC E2M40 OIL ROTARY VACUUM PUM 1 excellent6135 Ulvac EBS-10A High Vacuum Evaporator 1 excellent6136 Ulvac EBX-10D High Vacuum Evaporator 1 excellent decontaminated chamber, renewed control system6137 Ulvac EBX-8C High Vacuum Evaporator 1 excellent6138 Ulvac EBX-8C High Vacuum Evaporator 1 excellent EB Gun/No EGL-35M, EB Power Supply6139 Ulvac EBV-6DA High Vacuum Cleaner 1 excellent6142 Ulvac Ultra High Vacuum Evaporat1 excellent6143 Ulvac Secondary Electron Discha 1 excellent6144 Ulvac ISZ-7700 Medium Current Implanter 1 excellent6145 Ulvac IM-200M Implanter 1 excellent8854 ULVAC VSHC-1 VACUUM VALVE 1 01.06.19958913 ULVAC CSE-1000 ETCHER 1 excellent8918 ULVAC CPD-6722 LOADLOCK TYPEP-CVD 1 excellent8920 ULVAC SBH-2306R SPUTTER 18921 ULVAC IDZ-8000 IMPLANTER 1 excellent8922 ULVAC IDZ-8000 IMPLANTER 1 excellent8845 ULVAC C2W CRYO PUMP COMPRESSOR28847 ULVAC C2W-U CRYO PUMP COMPRESSOR28848 ULVAC CSW303 CRYO PUMP COMPRESSOR18849 ULVAC 12" L type v VACUUM VALVE 1

8850 ULVAC UVLP-25 VACUUM VALVE 18852 ULVAC VLP-U1S VACUUM VALVE 28835 ULVAC ON-BOARD8CRYO PUMP 1 01.06.19898838 ULVAC TORR-8F CRYO PUMP 2 01.06.19808840 ULVAC U-20PU CRYO PUMP 1 01.06.19898822 ULVAC AMC-5AR AUTOMATED CONROLLER 1 01.07.19898825 ULVAC RBH-10 REGENE BAND HEATER 1 01.06.19898827 ULVAC PMB-003 MECHANICAL BOOSTER PU1 01.06.19898810 ULVAC GI-1000 IONIZATION GAUGE 1 excellent8811 ULVAC GITL3 IONIZATION GAUGE 1 4 INCH excellent 01.03.19978812 ULVAC GITL3RY IONIZATION GAUGE 1 3,4,5,INCH excellent 01.09.19918814 ULVAC GP-2A PIRANI GAUGE 1 4 INCH 01.11.19918815 ULVAC WIB-G7 SENSING HEAD 1 01.06.19968816 ULVAC DLMS55 HE LEAK DETECTOR 1 01.06.19908818 ULVAC DCG-O5C DC POWER SUPPLY 1 01.06.19898819 ULVAC DCSO402B DC POWER SUPPLY 1 01.06.19898801 ULVAC V6-50LLC LP-CVD 1 excellent8804 ULVAC SH-550 CU SPUTTER 1 excellent8809 ULVAC SBH-4215R SPUTTER 1 excellent9031 ULVAC D-330DK Oil rotary vacuum pump 19034 ULVAC D-650 Oil rotary vacuum pump 19035 ULVAC PMB-006C Mechanical Booster pump 19036 ULVAC U10PU Cryo Pumpe 19022 ULVAC U-10PU Cryo pump 19009 ULVAC RFS-30C RF Power Supply 19011 ULVAC UTM-150 Turbo Moleculor Pump 1 01.06.19979012 ULVAC U6H Cryo Pump 1

9013 ULVAC CDP-6118 P-CVD 18841 ULVAC U12HSP CRYO PUMP 2 01.06.20008842 ULVAC U16PL CRYO PUMP 1 01.06.20008862 ULVAC VULP-40KF VACUUM VALVE 28863 ULVAC VUP-U1 VACUUM VALVE 18858 ULVAC VULH-40 VACUUM VALVE 18853 ULVAC VLP-U3S VACUM VALVE 1 01.06.2001

8759 ULVAC DLMS-33 He Leak Detector 38774 Ulvac SV-4540 Sputter 1 01.06.19878778 Ulvac SIH-4545 Sputter 1 6 incl excellent 01.06.19958779 Ulvac EBH-6 Evaporator 1 6 incl excellent 01.10.19969391 ULVAC BC3480 Etcher 19390 ULVAC SMO-12V2R Sputter 19378 ULVAC D-330DK Oil rotary vacuum pump 4 RS03-2680/2682/2688-26917515 Ulvac PMB-012CM Mechanical Booster Pump 57521 Ulvac EGL-35M EB Evaporation source 17522 Ulvac SIH-450 Sputter 1 Pumping system & RF Power supply not included7525 Ulvac PMB-006CM Mechanical Booster Pump 17528 Ulvac DCS-402B DC Power Supply 1 10Kw7529 Ulvac SH-550M-C Sputter 1 Including 1 unit of 8" external cathode RF7532 Ulvac SMH-2306RESputter 17533 Ulvac C30 Cryo Pump Compressor 17535 Ulvac PMB-024CM Mechanical Booster Pump 97537 ULVAC CPD-6118 Loadlock typr P-CVD 17538 Ulvac CSE-1000 Etcher 17539 Ulvac SH-350 Sputter 17541 ULVAC IDZ-8000 IMPLANTER 17543 Ulvac HPS-1000 EB Power Supply 18901 ULVAC SMH-2304 SPUTTER 1 excellent8902 ULVAC SBH-2306R SPUTTER 1 excellent8903 ULVAC SMR-2306R SPUTTER 18896 ULVAC UTM-1500 TURBO MOLECULAR PUMP (co1 excellent8898 ULVAC V8-100LC 1 excellent8899 ULVAC CSE-2120 ETCHER 1 excellent8890 ULVAC DCS-1001 DC Power Supply 19004 ULVAC PMB-012CM Mechanical Booster pump 1

9005 ULVAC ULK-60A Diffusion Pump 49007 ULVAC U-8H &#65315;&#65362;&#65369;17801 ULVAC PMB-003CM MECHANICAL BOOSTER PU17812 ULVAC RFS-30C RF POWER SUPPLY 17813 ULVAC GI-TL3RY IONIZATION VACUUM GAU 1 ONLY MAIN UNIT IS AVAILABLE7814 ULVAC TMP-1500C TURBO MOLECULOR PUMP1 INCLUDE CONTROLLER AND CABLES BTWN TMP AND CONTROLLER.7816 ULVAC PMB-003CM MECHANICAL BOOSTER PU1 NOT REFURBISHED7823 ULVAC SH-350 SPUTTER 19703 ULVAC Heliot 302 He Leak Detector 1 01.06.20019715 ULVAC U-20P Cryo Pump 19728 ULVAC IPB-450-VHSImplanter 19834 ULVAC UTM-150 Turbo moleculor pump 19835 ULVAC D-950DK Oil rotary vacuum pump 19836 ULVAC SBH-5218 Sputtering system 110522 Ulvac MEPS-6025 Dry Etcher 1 6 inch 01.01.1996 For mask production10646 ULVAC 12 inch L-ty Vacuum Valve 110660 ULVAC RFS-02C/RFRF Power supply 2 500W10662 ULVAC 2KW RF Power Supply 110664 ULVAC DCS0402B DC Power Supply 110665 ULVAC 200W RF Power Supply 110666 ULVAC HP1610F EB controller 110670 ULVAC MBX-05EA5 Matching Box 110671 ULVAC EGL-206M E/B Gun 110674 ULVAC GI-D6 Vacuum gauge 110682 ULVAC U16PL Cryo pump 110683 ULVAC Torr-8 Cryo pump 110684 ULVAC MBS-3 MBS Thermometer 110686 ULVAC U8HSP Cryo pump 110687 ULVAC PMB-012CM Mechanical Booster pump 110692 ULVAC PMB-006CM Mechanical Booster pump 110697 ULVAC EA4583 Evaporator 1 01.06.199010799 ULVAC CDP-6118 P-CVD 110802 ULVAC TMP-1500C Turbo Molecular Pump 110883 ULVAC MCP-1123F Magnetron power supply 210886 ULVAC GP-1SRY-S Pirani Gauge 210887 ULVAC C-30V Cryo compresser 1 good

10890 ULVAC PKS-030 +P Pumping set 1 good10891 ULVAC U16P Cryo pump 110990 ULVAC D-330DK Oil rotary Vacuum pump 111095 ULVAC SIH-150 Inline type sputter 111100 ULVAC UP-150 Ionimplanter 1 4 01.06.200311108 ULVAC NLD-6000 Plasma Etcher 111409 ULVAC MH96-1038 Etch 111609 ULVAC SH-350 Sputter 111610 ULVAC ED7050-D1 Evaporator 1 01.05.199411613 ULVAC EC-803 Oil rotary Vacuum pump 611614 ULVAC D-650K Oil rotary Vacuum pump 411615 ULVAC EC-803 Oil rotary Vacuum pump 411616 ULVAC PKS-016 Oil rotary Vacuum pump 211618 ULVAC D-650DK Oil rotary Vacuum pump 111619 ULVAC D-330DK Oil rotary Vacuum pump 311620 ULVAC D-330D Oil rotary Vacuum pump 111621 ULVAC VD-301 Oil rotary Vacuum pump 111622 ULVAC EC-803 Oil rotary Vacuum pump 211623 ULVAC EC-603 Oil rotary Vacuum pump 111624 ULVAC PMB-006C Mechanical Booster pump 111625 ULVAC U10PU Cryo pump 111627 ULVAC UTM-150 Turbo Molecular pump 111630 ULVAC HPS-1000F EB Power Supply 111631 ULVAC RFS-50C RF Power supply 1 NEW11632 ULVAC RFS-05C RF Power supply 111633 ULVAC RFS-02CA RF Power supply 411640 ULVAC EGL-35M EB evaporation source 111649 ULVAC DCS0402B DC Power Supply 411650 ULVAC PMB-012CM Mechanical Booster pump 111653 ULVAC D-330K Rotary Pump 111654 ULVAC EX550-C10 Evaporator 1 01.06.199211963 ULVAC U22H Cryo pump 111964 ULVAC PMB-001C/P Mechanical Booster pump 211965 ULVAC EC803 Oil rotary Vacuum pump 2

11966 ULVAC ULK10A Diffusion pump 411967 ULVAC T10-RS10 Cryo trap 1

11968 ULVAC PKS-030 Oil rotary Vacuum pump 111969 ULVAC PMB-006CM Mechanical Booster pump 111970 ULVAC VS1501 Oil rotary Vacuum pump 111972 ULVAC PMB-003C Mechanical Booster pump 211973 ULVAC RFS-2712K RF Power supply 111975 ULVAC DVS-631 Scroll Dry Pump 111976 ULVAC U10PU+C2WCryo Pump 112000 ULVAC PKS-030 Rotary Pump 112001 ULVAC PMB-006A Mechanical Booster pump 112002 ULVAC D-950K Rotary Pump 212003 ULVAC PMB-001CM Mechanical Booster pump 15961 Ulvac Cryo U-12H Cryo Pump 15964 Ulvac Cryo U-20PU Cryp Pump 28839 ULVAC CRY U-10PU CRYO PUMP 1 01.06.19978843 ULVAC CRY U-20PU CRYO PUMP 19317 Ulvac Inc. SME-400 Cluster type FPD Sputtering 1 FPD excellent immediately8925 ULVAC Kiko DA-120 DIAPHRAGM VACUUM PUM 16087 Ulvac Kiko MBS-010 Machanical Booster Pump 1 excellent8775 Ulvac kiko GVD-100A rotary pump 111105 ULVAC Kiko DA-121D Diaphragm pump 1 as new12005 ULVAC Kiko DA-60D Diaphragm pump 312008 ULVAC Kiko DVS631 Scroll Dry Pump 49126 UNAXIS 10001346 ION BEAM DEPOSITION SYS 1 excellent 01.06.2000 immediately8427 Unaxis Balzers CLCSputtering System Clusterlin 2 8 Inch 01.06.1989 See attached configuration8203 UNAXIS BAK-640 E Beam Gun 1 2, 4,6, 8 inch excellent 01.06.2002 immediately11548 Unaxis ZH620 Al-Fill 1 01.06.200011559 Unaxis 10001346 IBDS#4 1 01.05.2000

9857 UNAXIS Balz BAK-1052 e Beam Evaporator 27056 Unit Design MDS200 Automatic Soldering Machine1 SMT new unused immediately10124 UNITRON SZB Stereo Microscope with 20X 16573 Universal GSM2 feeders 100 SMT excellent immediately10430 Universal GSM1 Placement System 1410431 Universal HSP4796 12XFeeders 1211131 Universal Radial 5 Radial Inserter 1 01.03.1995 CE Marked

11491 Universal GDM In-Line Adhesive Dispenser 1 01.01.20002779 Universal pl Custom Wet Bench 1 150-200 mm excellent 01.12.1998 immediately Wet Bench with empty position for Yield Up Marangoni Dryer.. Wet bench; high dope cleaning / etching (exp, BOE 20:1, BOE 7:1, HF 1% ); 8" compatible; linear robot; small footprint.

10928 Universal Pl CUSTOM WET BENCH WITH ROBOT 1 150 mm 01.12.1998 Wet Bench ,ce marked, with empty position for Yield Up Marangoni Dryer.S/N 4817/X; high dope cleaning / etching (P-etch, N-etch, BOE 20:1, HF 1%); 8" compatible; linear robot; small footprint.10929 Universal Pl CUSTOM WET BENCH WITH ROBOT 1 150 mm 01.12.1998 Wet Bench , CE marked, with empty position for Yield Up Marangoni Dryer.S/N 4817/X. Wet bench; high dope cleaning / etching (exp, BOE 20:1, BOE 7:1, HF 1% ); 8" compatible; linear robot; small footprint.

11673 Universal PlaHF Sink Parts c lean sink 1 REFERENCE CD02 2370 UPS NE12 UP-SI METAL STRIP WITH SMIF 1 200 MM excellent 01.05.1999 immediately SMIF PODS FITTED, STILL INSTALLED10432 UPS UPS Temperature Cycle System 1 2007870 Ushio SE-571H-lk stepper 1 8 01.01.19977922 Ushio UMA-1002- TESTER 1 01.01.1996 UV RADIATION SYSTEM7923 Ushio UMA-2004 UV CURE 1 WEE11088 Ushio UMA-802HCUV Cure 1 4" 01.05.199611871 USHIO PE-250U4MK 2 200 MM excellent 01.06.1999 immediately7840 VANGUARD VN6000 1 26.08.20027841 VANGUARD VN6000 1 30.09.200210125 VANGUARD VAi 6000 Automatic Ball Placement Sy 110126 VANGUARD Vanguard VABGA Solder Ball Placement Li 16827 VARIAN VACION 55 ION PUMP 2 N.A. good 01.01.2000 01.01.1998 immediately2254 VARIAN 3125H PVD 3 Gun 1 good immediately 30 inch dia. Chamber, 3 DS-Guns psu 980-2084, 3 planetaries for 3 inch wafers, CTI Cryo on-board 10 pump, CTI Model 8510 compressor, MKS PPTR series residual gas analyser, Inficon film thickness monitor2262 VARIAN VACION 55 ION PUMP 2 N.A. good 01.01.2000 01.01.1998 immediately6815 Varian Genus 1510 High energy Implanter 1 8" 01.05.19986359 Varian 3290 Sputtering System 1 6", 150mm 01.06.1989 immediately6808 Varian 3180 1 4", 100mm good 01.06.2003 immediately8078 VARIAN SpectrAA AS ATOMIC ABORBTION SPE 1 SCIENTIFIC good 01.07.2005 01.09.1990 immediately9788 Varian EHP 500 IMPLANTER 1 excellent 01.09.2001 immediately9859 Varian V 550 TURBO PUMP WITH CONTRO1 PUMP excellent 01.09.2000 immediately10938 Varian E1000HP High Current Implanter 8 � 2 01.10.199810939 Varian E1000HP High Current Implanter 8" 1 01.01.200010127 VARIAN 936-70 Helium Leak Detector 110540 Varian E500 1 8" 200mm excellent 01.06.2002

11410 VARIAN 3190 SPUTTER / PVD 111411 VARIAN E1000/E1000Implant 211588 Varian 3125 Vacuum evaporator 211735 Varian E1000 High Current Ion Implantor 1 good 01.05.199311854 Varian EHP 500 Implanter 1 200 mm refurbished 01.12.1996 immediately11929 Varian E220 MID CURRENT IMPLANT 111998 Varian TMP TV1001 Turbo Molecular pump 112037 Varian 3280 #7 2 dep 1 RF etch station Meta 112038 Varian 3280 2 dep 1 RF etch station Meta 1 good

8655 Varian Instr T4719414-0 Varian DCM Servo Controlle 28450 Varian Instr 00-666256- Varian RF Matching Controll 1

8459 Varian Instr 9699074 Varian Turbo-V 1000HT Mac18490 Varian Instr SD-2500 Varian SD-2500 18491 Varian Instr 04-720457- Varian PNL, MKS200 FU Gas18549 Varian Instr 04-709945- Varian Transfer Arm 18573 Varian Instr 9699098 Varian Turbo-V550 Ice Mac 18577 Varian Instr R00-664224Varian Source, Conmag, MS 58584 Varian Instr 00-670788- Varian Vacuum System Contr18606 Varian Instr 00-674018- Varian Cassette Motor Contro18613 Varian Instr R04-719418Varian Transfer Server Contr88618 Varian Instr 04-719230- Varian Interlock Chassis 18638 Varian Instr Novellus pa Varian DCM Servo Controlle 59117 VARIAN ® 3180 ® METAL DEPOSITION 2 100 mm excellent immediately8442 Various Tec PS-305-1C6 Various Technologies Inc. K 13247 VAT 02110-BA44 vaccum isolation valve 1 FACILITIES 01.01.2001 VAS3248 VAT 02110-BA44 vaccum isolation valve 1 FACILITIES 01.01.2001 VAS3251 VAT 64046-PE48 8" vacuum gate valve 1 FACILITIES excellent 01.01.2001 VAS8870 VAT VAT6 GATE VALVE 35993 VAT VAT Gate Valve 16020 VAT F-60233-60 Gate Valve KF-40 1 excellent7796 VAT 02007-CA44Gate Valve 0 good 01.05.19958462 VAT, Inc. 02112-AA24 VAT Rectangular Gate Val 38469 VAT, Inc. 60-045675- VAT Rectangular Gate Valve 110128 VECTOR/EC ES-50/8 Scrubber 1

2264 VEECO SXM CD AFM CHAMBER 1 200 MM good 01.05.1997 immediately8164 VEECO DEKTAK 1 good immediately8730 Veeco SXM Atomic force microscopy 16565 Veeco Supra Syste An 8 10" Gun sputtering Sys 110129 VEECO Dektak I Profilometer, 2ea Available 110130 VEECO Dektak II Profilometer 110131 VEECO Dektak II Profilometer, Controller Only,110445 VEECO V-320SL PROFILER 1 20010937 Veeco Monitor & Ke Monitor & Key board for Vee 111390 Veeco DEKTAK-SXMAtomic Force Microscope 1 01.05.199811710 Veeco LL250 Ion Source Milling System 1 250 MM Load Lock (10")

9769 Vermont 101200200 WIRE GAUGE SET 110959 VERSATEST V1004 1 FAB

11399 VERSATEST V1004 Sort 111404 VERSATEST V1002 Sort 18187 VERSATEST TEST SYSTE TEST SYSTEM 1 TEST good immediately8752 Verteq Superclean SRD 1 6" refurbished4986 Verteq 1800-6AR SRD 1 A192-80M Warehoused4987 Verteq 1600-50B SRD 1 A182-60MB Warehoused4988 Verteq 1600-50B SRD 1 A192-81M Warehoused4989 Verteq 1600-50B SRD 1 A192-81M Warehoused4990 Verteq 1800-50 SRD 1 Warehoused4991 Verteq SRDD2-6 SRD 1 A182-60MB Warehoused5879 Verteq Classic Dryer 1 01.06.19898791 VERTEQ CLASSIC DRYER 1 6 incl excellent 01.07.199810132 VERTEQ STQD-600-5Megasonic Cleaner with Su 110133 VERTEQ Super Clean Spin Rinse Dryer, for 100mm110134 VERTEQ VcS-PPC-SA Semi-Automatic Wet Process110436 Verteq 1600 SRD Spin Rinse / Dryer 11 150 Dual Stack Verteq 1600

10599 VERTEQ SUPER CLEARINSER/DRYER 1 01.12.19938476 View EngineeVE612 View Engineering VE612 Vo 18666 VIKING 1045 Viking Semiconductor 1045 D1 Viking Semiconductor 1045 Die Sort, Pick and Place Machine. It automatically transfers die from sawn wafer/arrays or waffle packs into waffle packs. The 1045 sorts the die by using machine vis ion to reject inked, broken and partial die. Semiconductor Equipment 10135 VISION ENG Dynascope Projection Micrsoscope 1 excellent immediately 90 day parts warranty11057 Volpi 14909 FIBER OPTIC MICROSCOPE 19209 VSKEE G1500(IX150Ion Implanter 1 01.10.19889606 VTD 512 VTD 512 CHANNEL SWITCH1

11557 Walker B-MN200/30 HFRO#1 1 01.06.20002278 WANDEL ANPF4 BIT ERROR MEASURING SE 1 TEST good 01.01.2003 immediately8169 Watkins Joh WJ 999R AP CVD TEOS 1 200 MM good 01.06.1997 immediately6883 WATKINS J 999 ATMOSPHERIC PRESSURE 1 125 MM good 01.01.2001 01.01.1990 immediately8722 Watkins Joh WJ1000 Teos 18750 WATKINS J 1500 APCVD Tool 1 200mm as new 01.10.2001 01.12.2000 immediately7213 Watkins Joh WJ-1000H APCVD SiO2 1 8? 01.01.19997212 Watkins Joh WJ-1000H APCVD SiO2 1 8? 01.12.199810520 WATKINS J WJ 999 4 APCVD TOOL 1 200 MM good 01.07.1990 immediately11229 Watkins Joh 1000T AP CVD TEOS 1 good 01.08.199611115 Watkins Jo 1000 APCVD system 3 200 mm immediately

11116 Watkins Jo 1500 APCVD system 3 200 mm immediately6543 Watkins-Jo WJ 1000 APCVD TOOL WITH HYDRID1 200 MM excellent 01.06.2004 01.06.2000 immediately

6542 Watkins-Jo WJ 1000 TE APCVD TOOL WITH TEOS O 3 200 MM excellent 01.06.2004 01.06.2000 immediately10438 Watkins-Jo WJ1000 APCVD (Chemical Vapor Dep1 200 01.08.199710726 Watkins-Jo 1000 APCVD 2 150 mm

10439 Wavetek 3060/28 SONET/SDH Test 3 01.01.20002290 Wed WED LoaderLOADER 1 150 MM good immediately

9052 WED 6401 micro loader 18570 WED 6622 WED UV Sort Inspection Sys 18495 Wentworth 0-023-0021 Wentworth Labs Probe Stati 19133 Wessington Liquid Nitrogen Vessel 1 In good working Condition10137 WEST-BOND7316A Eutectic Die Bonder 17723 Westbond 7700E-79 Ball bonder 1 excellent immediately11101 Westbond 7200CR Epoxy bonder 1 01.06.200311980 Westbond 7400A-26.32Die Bonder 16916 Westwind 1073/3 4" Air Bearing Spindle for Di 1 4"3256 White Knight AP100 Pump 1 PUMP excellent 01.01.2001 immediately For Spectrum3258 White Knight RBAP100-1 Reconditionning kit with che 1 excellent 01.01.2001 immediately For Spectrum

10138 WILD M410 Makrozoom Microscope w/Coa110139 WILD M420 Makrozoom Microscope w/Trin15810 Will and Ha Custom Vasoline Dispenser for seali 1 facilities excellent 01.06.2004 01.06.1992 immediately5662 William Mckay Engineerin Automated Die strength Test 1 William Mckay Engineering Limited Midst Automated Die strength Tester11401 WJ 999R Thin Films 111197 WJ Wakins 1500T/TF APCVD system 2 200 mm new unused 01.06.2000 immediately6323 WYCO N/A INSPECTION STATION 19645 XEROX 8944-11-22 Plotter 1 01.05.1994 8900 Series II 10827 Yamada Wafermold Manual Mold Press 1 good Conventional molding of wafer level package (200 & 300mm) Capable of molding narrow pitch long wire by it's free flow molding features CE-conformity retrofited 11435 Yamaguchi SMG-ON-68 Cutoff Saw 111132 Yamaha YV Series Feeders 111123 Yamaha KM8-000 (YMYV100II Pick and Place 1 excellent 01.07.200111124 Yamaha KM8-000 (YMYamaha YV100II with ATS Tr 2 excellent 01.03.200311125 Yamaha KV7-000 (YMYV88X with Dual ATS Tray F 1 good 01.06.199911126 Yamaha Feeders Feeders 111127 Yamaha KH8 YM84SIIYM84SII Chip Shooter 1 01.10.199411128 Yamaha KK7-000 (YMYM84SIII Versatile Placer 1 01.06.199711129 Yamaha YM84VII Yamaha YM84VII with YTF Tr 111133 Yamaha YM6021S YM84 Controller 111134 Yamaha YM6000 Yamaha YM6000 Pick and Pl 1

11135 YAMAHA YM6000 Series Feeders 211681 Yamato DT62 Clean room oven 25924 Yamato Scie DS64 Oven 1

9189 Yamato ScientYY-110 Cleaning 1 01.04.199110521 Yield Engine 450 PB 84 POLYMIDE BAKE OVEN 1 200 MM good immediately

10584 YIELD ENGI YES-310 HM Image Reversal System 1 200 mm11454 Yieldup Inter OMEGA 2000Vapor Dryer 1 200 mm good 01.06.19972641 Yokogawa LT-810 Test Handler 1 TEST excellent immediately10440 Yokogawa A AL9041 DRAM Test System 3 2008693 York 371-02526- York Model 400 Centrifugal C12295 ZEBRA 160S LABEL PRINTER 2 ASSEMBLY 01.01.1999 immediately11014 Zeiss LSM 310 Laser Scanning Microscope1 01.03.200012076 Zeiss 47-30-45-99Microscope 312091 ZEISS LASER CON MICROSCOPE 1

8426 Zellweger AnaCM4 Gas Monitor 4 The CM4 gas monitor provides monitoring of toxic gases at four locations, up to 300 feet away. Points are monitored continuously. Leaks are detected within seconds. CM4 is a reliable and continuous solution to your toxic and corrosive gas monitoring needs, featuring well proven and minimal maintenance. CM4 guards semiconductor, pharmaceutical and chemical plants around the world. It complies with all UHC/IFC definitions of "continuous monitoring". CM4 offers calibrations for more than 25 toxic gases including ammonia, oxidizers, hydrides, mineral acids, hydrogen cyanide, hydrogen sulfide, PFCs, phosgene, and nitrogen trifluoride via pyrolysis to hyrdrogen fluorides. The CM4 monitoring system is gas specific - your facility won't be shut down by false alarms caused by cross-contaminants. If a major gas event occurs, CM4 will report the alarm, advance a fresh sensor, and continue monitoring. There is no interrupted surveillance.11750 Zevac SSM9 Soldering bath 1

Include TMX-1

Vintage 1980stwo avail able for spare parts.

Has been refurbished standard hearth type

No cables( for power supply) and need O/H(to fix solenoid valve. )

UFC FlangeIncluding motor

MBS MeterMBS Meter

Cryo pumpLoad-lock type.Including main unit , controller, cable for controller.

Doesn't need O/H.

Doesn't need O/H.

6) For gas system, each sput ter chamber is equipped wi th MFC of one Ar gas system.

Quantity 1New value: 2663109 EUR

original price 350k euro,suitable for 2",4", 6" and 8" in dif ferent Dome, used on 4 inch saw filters and 2 inc GaN blue chips, in cleanroom, 1.Chamber Size :Dia. 640x740(W)x900(H)mm 2.Trounghput :4? Substrate => 44 wafers/Single Dome 6? Subst rate => 20 wafers/Single Dome 8?Subst rate => 6 wafers/Single Dome 3.Base Pressure :2X10-7mbar (depending on pump configuration) 4.Evaporat ion Source :ESQ0.electron beam 5. Vacuum System :CTI Cryopump & roots pum p & rotary pump

casette modul defect

It i s brand new. Literally has never been plugged in.Please submit an offer on this piece of equipment if interested a

New and Used Feeders AvailableSee at tachment for details and Prices.Audible alarm 12X4 - 7" Reel Embossed Multiple feeders are available at this price per feeder

CE Mark

One pump includes the connector HV cable.this part retai ls new for about 2500 usd

DOCUMENTATIONoperati onal when removed f rom fab.

Operat ional when deinstalled.Dimensions 116 cm x 76 cm x 84 cm and 70 cm x 60 cm x 60 cm.SW rev. SPECTRAA100200V1.3BLD2003(Win98).Parts sold include Main body, GTA 96 Graphite Tube Atomizer , qty 2 burners,flame screen,spray chamber. Includes SPECTRAA 300-400 OPERATIONAL MANUAL,SPECTRA300-400 SERVICE MANUAL,GTA 96 OPERATIONAL MANUALcasset te to cassette, through the wall installation.st ill installed.Includes manualUsed i n CD-SEM (non-corrosive process) N+S/D IMP,P+S/D,P-ADD N+S/D IMP,P+S/D,P-ADD

Press. Drop. 1.5 in H2O or 373.36 PaWeight :23,350 lbs or 10,591.4kg

Top heavy 5,000 lbsCondit ion Was running when pulled, nice shape

Pneumatically operatedSMC Solenoid valve VF3243

Original cost $750,000The system can be separated into two 4 gun systems.

Set Size 200 mm

120 VAC , 15Amp ,50/60Hz

Power Supply not includedwafer size 8 High Current

Tool status:Down

O2,DIN2,N2,D2N2,SiH4,PH3,B2H6-TEOS bubbl er cabinet with N2 MFCsSIH4 - PH3 - B2H6

see attached files for specs.

Bubbler Proc N2CRS Proc N2

Undoped TEOS process.Currently warehoused. Operational when deinstall ed. Measuring Adaptor Set SDH Option

Ball bonder for interconnection of semiconductor, hybrid, or microwave devices using gold wi re .0007 to .002" di ameter, includes heated clamp type workholder, K1200D digital temperature cont roller, and B&L 4 mi croscope.

-Compressor wi th cleanroom f ilter-Trolley dims. 84 x 178 x 164 cm

system & start up kits, 2000vintage, NEW, never used.

Used for initi al cropping of sil icon ingots af ter the growing process 5 x 44mm 1 x 56mm

1 of ATS Tray Feeder (Rear) Status - Current ly i n Active Production 1 of Dual W-ATS Tray Feeder (Rear) Status - Current ly i n Active Production 1 x 56mm PCB Thickness: 0.8 ~ 4.0 mm Status - Current ly i n Active ProductionStatus - Current ly i n Active Production. PCB Size (L x W) - Mi nimum : 50 x 30 mm PCB Thickness : 0.8 ~ 4.0 mm Triple pick / place operation. Status - Current ly i n Active ProductionRun Time - Unknown - No Hour Meter. Status - Decommissioned100 x 8mm 4mm Pitch 20 x 12mm CONDITION COMMENTS Current ly warehoused. Operat ional when deinstalled. All parts present.

Elect ric type. Temp: 160 Degrees CCE Marked YES

Host hand shake:DSR/DTRProtocol:None

Power Requirements 115 V 1 Phase

E V A C Ein- und Auslötgerät SSM 9 Technische Daten: Max. Leiterplat ten-Abmessung: 455 x 600 mm Arbeitspri nzip: Lotwelle mit Selekt iv-Lotdüse Aufheizzeit: ca. 30 min Zyklusdauer: 0 - 60 s Lottemperatur: 50° C - 310° C El. Anschlusswert : 2500 W Anschlussspannung: 208 - 240 V, 50/60 Hz Abmessung (LxBxH): 660 x 420 x 445 mm Lot inhalt: ca. 16 kg Gewicht ohne Lot: 50 kg

Page 7: [XLS]snf.stanford.edu/piperma ··· ment.xls - Stanford ...snf.stanford.edu/pipermail/specmat/attachments/20121223/... · Web viewlifting frame with remote control, 18 different
Page 8: [XLS]snf.stanford.edu/piperma ··· ment.xls - Stanford ...snf.stanford.edu/pipermail/specmat/attachments/20121223/... · Web viewlifting frame with remote control, 18 different
Page 9: [XLS]snf.stanford.edu/piperma ··· ment.xls - Stanford ...snf.stanford.edu/pipermail/specmat/attachments/20121223/... · Web viewlifting frame with remote control, 18 different
Page 10: [XLS]snf.stanford.edu/piperma ··· ment.xls - Stanford ...snf.stanford.edu/pipermail/specmat/attachments/20121223/... · Web viewlifting frame with remote control, 18 different
Page 11: [XLS]snf.stanford.edu/piperma ··· ment.xls - Stanford ...snf.stanford.edu/pipermail/specmat/attachments/20121223/... · Web viewlifting frame with remote control, 18 different
Page 12: [XLS]snf.stanford.edu/piperma ··· ment.xls - Stanford ...snf.stanford.edu/pipermail/specmat/attachments/20121223/... · Web viewlifting frame with remote control, 18 different
Page 13: [XLS]snf.stanford.edu/piperma ··· ment.xls - Stanford ...snf.stanford.edu/pipermail/specmat/attachments/20121223/... · Web viewlifting frame with remote control, 18 different
Page 14: [XLS]snf.stanford.edu/piperma ··· ment.xls - Stanford ...snf.stanford.edu/pipermail/specmat/attachments/20121223/... · Web viewlifting frame with remote control, 18 different