Technical Program Photomask Japan - SPIEspie.org/Documents/ConferencesExhibitions/Photomask... ·...

16
Technical Program Materials of and for Photomasks Fabrication Process Steps and Equipments for Photomasks (process and equipments for developing, etching, cleaning, and so on) Photomask Writing Tools and Technologies Metrology Tools and Technologies Inspection Tools and Technologies Repairing Tools and Technologies Mask Data Preparations EDA for Photomask Photomasks with RET: PSM, Masks with OPC Photomask related Lithography Technologies NGL Masks: EUV, E-Beam, Imprint, etc. Mask Cost and Mask Development Strategy Conferences: 16–18 April 2008 Annex Hall, Pacifico Yokohama Yokohama, Japan NETWORK WITH PEERS — HEAR THE LATEST RESEARCH Photomask Japan Photomask Japan Symposium on Photomask and NGL Mask Technology XV Sponsored by:

Transcript of Technical Program Photomask Japan - SPIEspie.org/Documents/ConferencesExhibitions/Photomask... ·...

Page 1: Technical Program Photomask Japan - SPIEspie.org/Documents/ConferencesExhibitions/Photomask... · 15.20 to 16.00 Session 4: MDP 16.00 to 18.30 Poster Session/Display 5a: NGL 5b: Writing

1spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

Technical Program

Materials of and for Photomasks

Fabrication Process Steps and Equipments for Photomasks (process and equipments for developing, etching, cleaning, and so on)

Photomask Writing Tools and Technologies

Metrology Tools and Technologies

Inspection Tools and Technologies

Repairing Tools and Technologies

Mask Data Preparations

EDA for Photomask

Photomasks with RET: PSM, Masks with OPC

Photomask related Lithography Technologies

NGL Masks: EUV, E-Beam, Imprint, etc.

Mask Cost and Mask Development Strategy

Conferences: 16–18 April 2008Annex Hall, Pacifi co YokohamaYokohama, Japan

NETWORK WITH PEERS — HEAR THE LATEST RESEARCH

Photomask Japan Photomask Japan Symposium on Photomask and NGL Mask Technology XV

Sponsored by:

Page 2: Technical Program Photomask Japan - SPIEspie.org/Documents/ConferencesExhibitions/Photomask... · 15.20 to 16.00 Session 4: MDP 16.00 to 18.30 Poster Session/Display 5a: NGL 5b: Writing

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 2

Condensed Schedule

Wednesday 16 April09.00 to 09.10 Opening Remarks09.10 to 10.50 Session 1: Material for Photomask10.50 to 11.10 Refreshment Break11.10 to 12.30 Session 2: Photomask Process Technology12.30 to 13.30 Lunch Break13.30 to 15.20 Session 3: Writing Technology15.20 to 16.00 Session 4: MDP16.00 to 18.30 Poster Session/Display 5a: NGL 5b: Writing Technology 5c: Process Technology 5d: Progressive Defect (Haze) 5e: Inspection 5f: Metrology for Photomask 5g: MDP 5h: EDA and DFM 5i: RET and OPC 5j: Lithography Technologies 5k: Cost and Strategy on Photomask18.30 to 21.00 Banquet

Thursday 17 AprilRoom A 09.00 to 10.30 Session 6A: NGL I 10.30 to 10.50 Refreshment Break 10.50 to 11.50 Session 7A: NGL II 11.50 to 13.00 Lunch Break13.00 to 14.50 Session 8: OPC and Lithography Technologies I14.50 to 15.10 Refreshment Break15.10 to 17.20 Session 9: OPC and Lithography Technologies II18.00 to 20.00 Panel Discussion: Mask Complexities, Cost and TAT in 32 nm - System LSI Generation: Confl ict or Concurrent?

Friday 18 April09.00 to 10.40 Session 10: Progressive Defect (Haze)10.40 to 11.00 Refreshment Break11.00 to 12.00 Session 11: Repairing Technology12.00 to 13.00 Lunch Break13.00 to 15.30 Session 12: Inspection Technology15.30 to 15.50 Refreshment Break15.50 to 17.30 Session 13: Metrology for Photomask17.30 to 17.50 Session 14: Cost and Strategy on Photomask17.50 to 18.00 Closing Remarks

Room B09.10 to 10.30 Session 6B: EDA and DFM for Photomask I10.30 to 10.50 Refreshment Break10.50 to 11.50 Session 7B: EDA and DFM for Photomask II

This program is based on commitments received up to the time of publication and is subject to change without notice.

Photomask Japan

For information

www.spie.org/jpm

ContentsConf. 7028 - Photomask and Next Generation

Lithography Mask Technology XV . . 3

General Information . . . . . . . . . . . . . . . . . . . . . . . . . 8

Hotel Accommodations Information . . . . . . . . . . . . 9

Hotel Application . . . . . . . . . . . . . . . . . . . . . . . . . . 11

Registration Information . . . . . . . . . . . . . . . . . . . . . 12

Access Maps . . . . . . . . . . . . . . . . . . . . . . . . . . .14-15

Page 3: Technical Program Photomask Japan - SPIEspie.org/Documents/ConferencesExhibitions/Photomask... · 15.20 to 16.00 Session 4: MDP 16.00 to 18.30 Poster Session/Display 5a: NGL 5b: Writing

3spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

Conference 7028Wednesday-Friday 16-18 April 2008 • Proceedings of SPIE Vol. 7028

Photomask and Next Generation Lithography Mask Technology XVSymposium Chair: Toshiyuki Horiuchi, Tokyo Denki Univ. (Japan)

Advisory Committee Chair: Yasuo Tarui, Tokyo Univ. of Agriculture and Technology (Japan)Advisory Committee: Masanori Komuro, New Energy and Industrial Technology Development Organization (Japan); Masatoshi Migitaka, Toyota Technological Institute (Japan); Hiroaki Morimoto, Toppan Printing Co., Ltd. (Japan); Norio Saitou, Nippon Institute of Technology (Japan); Yoshio Tanaka, Luminescent Technologies, Inc. (Japan)

Organizing Committee Chair: Toshiyuki Horiuchi, Tokyo Denki Univ. (Japan)Organizing Committee Vice-Chair: Masato Shibuya, Tokyo Polytechnic Univ. (Japan)Organizing Committee: Parkson W. Chen, Taiwan Mask Corp. (Taiwan); Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan); Masashi Iwatsuki, JEOL Ltd.(Japan); Hiroichi Kawahira, Sony Corp. (Japan); Masaomi Kameyama, Nikon Corp. (Japan); Hirokazu Miyoshi, Toppan Printing Co., Ltd. (Japan); Ichiro Mori, Semiconductor Leading Edge Technologies, Inc. (Japan); Osamu Nagarekawa, HOYA Corp. (Japan); Yasushi Ohkubo, HOYA Corp. (Japan); Kenichiro Okuda, SEMI Japan (Japan); Mark Ma, Photronics (USA); Patricia Marmillion, SEMATECH, Inc. (USA); Akiyoshi Suzuki, Canon Inc. (Japan); Yoshiki Suzuki, KLA-Tencor Japan Ltd. (Japan); Tadahiro Takigawa, Brion Technologies KK (Japan); Uwe F. W. Behringer, UBC Microelectronics (Germany); Hidehiro Watanabe, Toshiba Corp. (Japan); J. Tracy Weed, Synopsys, Inc. (USA); Masaki Yamabe, Association of Super-Advanced Electronics Technologies (Japan); Anto Yasaka, SII NanoTechnology Inc. (Japan); Nobuyuki Yoshioka, Renesas Technology Corp. (Japan)

Steering Committee Chair: Yasushi Ohkubo, HOYA Corp. (Japan)Steering Committee Vice-Chairs: Morihisa Hoga, Dai Nippon Printing Co., Ltd. (Japan); Hiroyuki Shigemura, Semiconductor Leading Edge Technologies, Inc. (Japan)Steering Committee: Takayuki Abe, NuFlare Technology Inc. (Japan); Hideaki Hamada, NuFlare Technology Inc. (Japan); Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan); Kunihiro Hosono, Renesas Technology Corp. (Japan); Naoyuki Ishiwata, Fujitsu Ltd. (Japan); Hiroichi Kawahira, Sony Corp. (Japan); Toshio Konishi, Toppan Printing Co., Ltd. (Japan); Takeshi Nakajima, KLA-Tencor Japan Ltd. (Japan); Masatoshi Oda, NTT-AT Nanofabrication Corp. (Japan); Kiyoshi Ogawa, Lasertec Corp. (Japan); Masao Otaki, Toppan Printing Co., Ltd. (Japan); Toshiyuki Takahashi, JEOL Ltd. (Japan); Hiroyoshi Tanabe, Intel Corp. (Japan); Tsuneo Terasawa, Semiconductor Leading Edge Technologies, Inc. (Japan); Hidehiro Watanabe, Toshiba Corp. (Japan); Kazuo Yokoyama, Cadence Design Systems, Inc. (Japan)

Program Committee Chair: Hidehiro Watanabe, Toshiba Corp. (Japan)Program Committee Vice-Chairs: Brian J. Grenon, Grenon Consulting, Inc. (USA); Kunihiro Hosono, Renesas Technology Corp. (Japan); Toshio Konishi, Toppan Printing Co., Ltd. (Japan)Program Committee: Akihiko Ando, NEC Electronics Corp. (Japan); Han-ku Cho, Sumsung Electronics Co.,Ltd. (Korea); Curt Jackson, Toppan Photomasks, Inc. (USA); Jeff N. Farnsworth, Intel Corp. (USA); Thomas B. Faure, IBM Corp. (USA); Takamitsu Furukawa, Oki Electric Industry Co., Ltd. (Japan); Shigeru Hirukawa, Nikon Corp. (Japan); John Lin, Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan); Kokoro Kato, SII NanoTechnology Inc. (Japan); Kong Son, KLA-Tencor Corp. (USA); Hiroshi Mohri, Dai Nippon Printing Co., Ltd. (Japan); Yoshikazu Nagamura, Renesas Technology Corp. (Japan); Yoshinori Nagaoka, KLA-Tencor Japan Ltd. (Japan); Yasutoshi Nakagawa, JEOL Ltd. (Japan); Nobuyuki Nishiguchi, Semiconductor Technology Academic Research Ctr. (Japan); Hidetoshi Ohnuma, Sony Corp. (Japan); Tomoyuki Okada, Fujitsu Ltd. (Japan); Hisatake Sano, Dai Nippon Printing Co., Ltd. (Japan); Frank Schellenberg, Mentor Graphics Corp. (USA); Yoshiyuki Sekine, Canon Inc. (Japan); Yasunari Sohda, Hitachi, Ltd. (Japan); Osamu Suga, Semiconductor Leading Edge Technologies, Inc. (Japan); Yoichi Usui, HOYA Corp.(Japan); Hisashi Watanabe, Matsushita Electric Industrial Co., Ltd. (Japan)

Wednesday 16 AprilOpening Remarks

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wed. 09.00 to 09.10

SESSION 1: Material for PhotomaskSession Chairs: Hidehiro Watanabe, Toshiba Corp. (Japan);

Uwe F. W. Behringer, UBC Microelectronics (Germany)

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wed. 09.10 to 10.50

09.10: To Be Determined (Invited Paper), Kiyotaka Imai, NEC Electronics Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-01]

09.40: To Be Determined (Invited Paper), Toshio Konishi, Toppan Printing Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-02]

10.10: The ultimate chrome absorber in photomask making, Masahiro Hashimoto, Hiroyuki Iwashita, Atsushi Kominato, Hiroaki Shishido, Masao Ushida, HOYA Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-03]

10.30: Photomask technology for 32nm node and beyond, Tsugumi Nagano, Mochihiro Shimizu, Hideyoshi Takamizawa, Dai Nippon Printing Co., Ltd. (Japan); Masahiro Hashimoto, Hiroyuki Iwashita, Toshiyuki Suzuki, Morio Hosoya, Yasushi Ohkubo, Masao Ushida, HOYA Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . [7028-04]

Refreshment Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10.50 to 11.10

SESSION 2: Photomask Process TechnologySession Chairs: Toshio Konishi, Toppan Printing Co., Ltd. (Japan);

Thomas B. Faure, IBM Corp. (USA)

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wed. 11.10 to 12.30

11.10: Endpoint control in next-generation mask etcher, Michael Grimbergen, Keven Yu, Madhavi Chandrachood, Ibrahim M. Ibrahim, Amitabh Sabharwal, Ajay Kumar, Applied Materials, Inc. (USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-05]

11.30: Phase-shift mask etch process development utilizing broadband optical scatterometry, Jason Plumhoff, Oerlikon USA Inc. (USA); Alexander S. Gray, n&k Technology, Inc. and Univ. of California/Davis (USA) . . . . . . . . . . . . . . . . . [7028-06]

11.50: Advanced damage-free cleaning technology for 45/32nm photomask technology nodes, Roman Gouk, Fred Li, Jason Jeon, James S. Papanu, Banqiu Wu, Applied Materials, Inc. (USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-07]

12.10: Application of two-fl uid nozzles for advanced photomask cleaning process, Kenji Masui, Tetsuo Takemoto, Kyo Otsubo, Mari Sakai, Tomotaka Higaki, Hidehiro Watanabe, Toshiba Corp. (Japan); Tsutomu Kikuchi, Yoshiaki Kurokawa, Shibaura Mechatronics Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-08]

Lunch Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.30 to 13.30

Page 4: Technical Program Photomask Japan - SPIEspie.org/Documents/ConferencesExhibitions/Photomask... · 15.20 to 16.00 Session 4: MDP 16.00 to 18.30 Poster Session/Display 5a: NGL 5b: Writing

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 4

SESSION 3: Writing TechnologySession Chairs: Yasunari Sohda, Hitachi, Ltd. (Japan);

Yasutoshi Nakagawa, JEOL Ltd. (Japan)Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wed. 13.30 to 15.20

13.30: 2007 EMLC Best Paper: Controlling linewidth roughness in step and fl ash imprint lithography (Invited Paper, Presentation Only), Douglas J. Resnick, Molecular Imprints, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-09]

14.00: Multicolumn cell (MCC) e-beam exposure system for mask writing, Hiroshi Yasuda, Akio Yamada, Masaki Yamabe, Association of Super-Advanced Electronics Technologies (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-10]

14.20: Modeling of charging effect and its correction by EB-mask writer EBM-6000, Noriaki Nakayamada, Seiji Wake, Takashi Kamikubo, Hitoshi Sunaoshi, Shuichi Tamamushi, NuFlare Technology, Inc. (Japan) . . . . . . . . . . . . . . . . . . . . . . [7028-11]

14.40: Mask image position correction for double-patterning lithography, Masato Saito, Masamitsu Itoh, Osamu Ikenaga, Kazutaka Ishigo, Toshiba Corp. (Japan) [7028-12]

15.00: Distributed processing (DP) based e-beam lithography simulation with long range correction algorithm in e-beam machine, Won-Tai Ki, Ji-Hyeon Choi, Sang-Gyun Woo, Han-Ku Cho, SAMSUNG Electronics Co., Ltd. (South Korea) . .[7028-13]

SESSION 4: MDP

Session Chair: Kokoro Kato, SII NanoTechnology Inc. (Japan)

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wed. 15.20 to 16.00

15.20: Case study: the impact of VSB fracturing, Brian W. Dillon, Photronics, Inc. (USA); Tim Norris, MP Mask Technology Ctr. (USA) . . . . . . . . . . . . . . . . . . [7028-14]

15.40: Model-based shortrange mask proximity correction, Guangqing Chen, Jim N. Wiley, Anthony D. Vacca, Brion Technologies, Inc. (USA); Terunobu Kurosawa, Tatsuo Nishibe, Tadanori Takigawa, Brion Technologies KK (Japan) . . . . . [7028-15]

Posters-WednesdayRoom: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wed. 16.00 to 18.30

Poster Session 5a: NGLEUV mask process development status for full-fi eld EUV exposure tool, Tsukasa Abe, Takashi Adachi, Hideo Akizuki, Yasutaka Morikawa, Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-61]

Effects of mask absorber thickness on printability in EUV lithography with high-resolution resist, Takashi Kamo, Hajime Aoyama, Toshihiko Tanaka, Osamu Suga, MIRAI-Semiconductor Leading Edge Technologies, Inc. (Japan) . . . . . . . . [7028-62]

Impact of mask blank and mirror surface roughness on actinic EUVL mask blank inspection signal, Takeshi Yamane, Tsuneo Terasawa, Toshihiko Tanaka, Osamu Suga, MIRAI-Semiconductor Leading Edge Technologies, Inc. (Japan); Toshihisa Tomie, National Institute of Advanced Industrial Science and Technology (Japan) . . [7028-63]

Evaluation of defect repair of EUVL mask pattern using FIB-GAE method, Tsuyoshi Amano, Yasushi Nishiyama, Hiroyuki Shigemura, Tsuneo Terasawa, Osamu Suga, MIRAI-Semiconductor Leading Edge Technologies, Inc. (Japan); Ryoji Hagiwara, Anto Yasaka, SII NanoTechnology Inc. (Japan) . . . . . . . . . . . . . [7028-64]

The source of carbon contamination for EUV Mask production, Yongdae Kim, Junsik Lee, Yongkyoo Choi, Oscar Han, Hynix Semiconductor Inc. (South Korea) . . [7028-129]

Optimization of measuring conditions for templates of UV nano imprint lithography, Kouji Yoshida, Kouichirou Kojima, Makoto Abe, Shiho Sasaki, Masaaki Kurihara, Yasutaka Morikawa, Hiroshi Mohri, Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-65]

UV-NIL mask making and imprint evaluation, Akiko Fujii, Yuko Sakai, Jun Mizuochi, Takaaki Hiraka, Satoshi Yusa, Koki Kuriyama, Masashi Sakaki, Shiho Sasaki, Yasutaka Morikawa, Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan) . . . . . . . . [7028-66]

Poster Session 5b: Writing TechnologyImage placement error of photomask due to pattern loading effect: analysis and correction technique for sub-45-nm node, Jin Choi, Sanghee Lee, Dongseok Nam, Byung-Gook Kim, Sang-Gyun Woo, Han-Ku Cho, SAMSUNG Electronics Co., Ltd. (South Korea) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-67]

Improvement of position accuracy in mask-writing electron-beam lithography, Kazuya Goto, Noriyuki Kobayashi, JEOL Ltd. (Japan); Tadashi Komagata, JEOL USA Inc. (USA); Yasutoshi Nakagawa, JEOL Ltd. (Japan) . . . . . . . . . . . . . . . . . [7028-68]

Evaluation of TF11 attenuated-PSM mask blanks with DUV laser patterning, Kezhao Xing, Charles Björnborg, Henrik S. Karlsson, Adisa Paulson, Peter Beiming, Jukka Vedenpää, Jonathan Walford, Micronic Laser Systems AB (Sweden) [7028-69]

A new fogging effect correction considering the chrome dry etchloading error and positional CD error, Y. Cho, D. Kim, G. H. Hwang, I. B. Hur, D. Lee, C. Shin, M. Choi, S. Choi, PKL Co., Ltd. (South Korea) . . . . . . . . . . . . . . . . . . . . . . . . [7028-131]

Poster Session 5c: Process TechnologyEtch challenges of phase-shift masks for 45nm node and beyond, Toi-Yue B. Leung, Keven Yu, Michael Grimbergen, Madhavi Chandrachood, Ibrahim M. Ibrahim, Amitabh Sabharwal, Banqiu Wu, Ajay Kumar, Applied Materials, Inc. (USA) [7028-70]

Plasma characterization of chrome chamber, Madhavi Chandrachood, Michael Grimbergen, Keven Yu, Toi-Yue B. Leung, Ibrahim M. Ibrahim, Banqiu Wu, Sheeba J. Panayil, Amitabh Sabharwal, Ajay Kumar, Applied Materials, Inc. (USA) . . [7028-71]

In-situ chamber clean for chromium etch application, Zhigang Mao, Xiaoyi Chen, David Knick, Michael Grimbergen, Madhavi Chandrahood, Ibrahim M. Ibrahim, Ajay Kumar, Applied Materials, Inc. (USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-72]

Mask pattern design and pattern collapse issues in 45nm photomask production, Guen Ho Hwang, Manish Patil, Sun-Kyu Seo, Ik Boum Hur, DongHyun Kim, Dong-Heok Lee, Cheol Shin, PKL Co., Ltd. (South Korea); Sung-Mo Jung, Yong-Hyun Lee, Kyungpook National Univ. (South Korea); Sang-Soo Choi, Moon-Hwan Choi, PKL Co., Ltd. (South Korea) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-73]

A modifi ed dynamical model of drying process of polymer blend solution coated on a fl at substrate, Hiroyuki Kagami, Nagoya College (Japan) . . . [7028-74]

A N2/CDA reticle purging approach with enhanced effi ciency, C. Ku, National Taipei Univ. of Technology (Taiwan); W. Tseng, REXCHip Electronics Corp. (Taiwan); P. Lee, Gudeng Precision Industrial Co., Ltd. (Taiwan); S. Hu, National Taipei Univ. of Technology (Taiwan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-75]

New approach to prevent reticle ESD damage and haze contamination on reticles, W. Tseng, RexChip Electronics Corp. (Taiwan); P. Lee, Gudeng Precision Industrial Co., Ltd. (Taiwan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-76]

Very high sensitivity mask transmittance mapping and measurements based on non-imaging optics with Galileo™, G. Ben-Zvi, V. Dmitriev, E. Graitzer, E. Zait, O. Sharoni, S. Labovitz, Pixer Technology Ltd. (Israel); A. Cohen, KLA-Tencor Corp. (USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-07]

Poster Session 5d: Progressive Defect (Haze)Novel model of haze-generation mechanism on photomask, Haruko Akutsu, Shinji Yamaguchi, Kyo Otsubo, Makiko Tamaoki, Ayako Shimazaki, Reiko Yoshimura, Fumihiko Aiga, Tsukasa Tada, Toshiba Corp. (Japan) . . . . . . . [7028-78]

Quality assurance and haze prevention in using laser accelerated test beds, Y. D. Chan, Joe Gordon, Larry E. Frisa, Colleen P. Weins, Christian M. Chovino, John Keagy, Steve Mahoney, Toppan Photomasks, Inc.; Kyoko Kuroki, Toppan Technical Research Institute (Japan); Takahiro Matsuura, Atsushi Hayashi, Toppan Printing Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-79]

Explosive haze formation under low-energy exposure, Jun Sik Lee, Yongdae Kim, Yongkyoo Choi, Oscar Han, Hynix Semiconductor Inc. (South Korea) . . . . [7028-80]

Photomask surface modifi cation to prevent near-pellicle haze generation, Manish J. Patil, Jong-Min Kim, Ik Boum Hur, PKL Co., Ltd. (South Korea); Sung-Mo Jung, Yong-Hyun Lee, Kyungpook National Univ. (South Korea); Sang-Soo Choi, PKL Co., Ltd. (South Korea) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-81]

Progressive mask defects detection and monitoring strategy in wafer fabs addressing printable defects, Alex Lu, Cathy Liu, Eric G.Guo, YongHong Zhao, J.T. Gao, Semiconductor Manufacturing International Corp. (China); Dongsheng Fan, Lisa Yun, Steven Liu, Raj Badoni, Heaven Lu, John D. Miller, Eric H. Lu, KLA-Tencor Corp. (USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-82]

A study of high peroxynitrite generated in purge head outlet for charger with continuous supply of superior purifi cation CDA system for 193 nm ArF reticle haze prevention, F. Chu, S. Chiou, RexChip Electronics Corp. (Taiwan) . . [7028-83]

Haze acceleration system for photo mask application by using high repetition ArF excimer laser, D. Kim, S. Eom, K. Lee, W. Cho, S. Chung, Kornic Systems Corp. (South Korea) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-84]

A practical solution to the critical problem of 193 nm reticle haze, David L. Halbmaier, Yasushi Ohyashiki, Oleg P. Kishkovich, Entegris, Inc. . . . . . . . [7028-130]

Validation of ArF PSM quality using AIMS simulation method inrepeated cleaning, Dong-Seok Lee, Hyun-Ju Jung, Jung-Kwan Lee, Woo-Gun Jeong, Dong-Heok Lee, Cheol Shin, Sang-Soo Choi, Moon-Hwan Choi, PKL Co., Ltd. (South Korea) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-44]

Poster Session 5e: InspectionA study of database mask inspection method with domestic sensitivity variable function, Hideo Tsuchiya, Masakazu Tokita, Takehiko Nomura, Association of Super-Advanced Electronics Technologies (Japan) . . . . . . . . . . . . . . . . . . [7028-85]

Thin-line desense capability for database mode inspections with KLA-Tencor TeraScanHR, Arosha W. Goonesekera, Isaac Lee, Aditya Dayal, KLA-Tencor Corp. (USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-86]

Improvement of image quality and inspection speed on LM7500 reticle inspection system, Hideyuki Moribe, Takeshi Bashomatsu, Kenichi Matsumura, NEC Corp. (Japan); Akira Uehara, Hiroyuki Takahashi, NEC Control System, Ltd. (Japan) [7028-87]

Results of new CD detection capability on advanced reticles, Heiko Schmalfuss, Arosha W. Goonesekera, Isaac Lee, Chun Guan, KLA-Tencor Corp. (USA) [7028-88]

Conference 7028 (continued)

Page 5: Technical Program Photomask Japan - SPIEspie.org/Documents/ConferencesExhibitions/Photomask... · 15.20 to 16.00 Session 4: MDP 16.00 to 18.30 Poster Session/Display 5a: NGL 5b: Writing

5spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

Comparison of mask contamination inspection capabilities among defect inspection equipments and inspection modes on memory and logic masks in wafer fabs, Cathy Liu, Crystal Wang, Eric G. C.Guo, Dongsheng Fan, Steven Liu, Lisa Yun, Raj Badoni, Eric H. Lu, KLA-Tencor Corp. (USA) . . . . . . . . . . . . [7028-89]

Use of STARlight2+ 72nm pixel for qualifi cation of memory masks in wafer fab, Andreas Jahnke, Florian Uhlig, Qimonda Dresden GmbH & Co. OHG (Germany); Norbert J. Schmidt, Jinggang Zhu, Jeff C. Lin, KLA-Tencor Corp. (USA) . . [7028-90]

Pixel migration on TerascanHR systems for wafer fab reticle inspections with STARlight2+, Shih M. Yen, United Microelectronics Corp. (Taiwan); Swapnajit Chakravarty, Jeff C. Lin, Joe Huang, Den Wang, KLA-Tencor Corp. (USA) [7028-91]

Inspectability of double exposure masks for 45nm and beyond using STARlight2+, Yung-Feng Cheng, Chain-Ting Huang, Shih-Ming Kuo, Chun-Hsien Huang, Chuen-Huei Yang, United Microelectronics Corp. (Taiwan); Joe Huang, Swapnajit Chakravarty, Jeff C. Lin, KLA-Tencor Corp. (USA) . . . . . . . . . . . [7028-92]

Qualifi cation of aerial image 193nm inspection tool for all masks and all process steps, Daniel L. Rost, Raunak Mann, Ryan Gardner, MP Mask Technology Ctr., LLC (USA); Dana Bernstein, Dax Olvera, Simon Kurin, Christophe Couderc, Applied Materials (Israel) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-93]

The feature and inspectability of aerial inspection compared with conventional inspection, Shinji M. Kunitani, Atsushi Kobayashi, Tomohito Hirose, Toppan Printing Co., Ltd. (Japan); Yair Eran, Mark Wagner, Tingsheng Lin, Applied Materials (Israel) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-94]

Poster Session 5f: Metrology for PhotomaskIncreasing the predictability of AIMS™ measurements by coupling to resist simulations, Balint Meliorisz, Fraunhofer-Institut für Integrierte System und Bauelem (Germany) and Friedrich-Alexander-Univ. Erlangen-Nürnberg (Germany); Andreas Erdmann, Thomas Schnattinger, Fraunhofer-Institut für Integrierte System und Bauelem (Germany); Ulrich Ströβner, Thomas Scherübl, Carl Zeiss SMS GmbH (Germany); Peter De Bisschop, IMEC (Belgium) . . . . . . . . . . . . . . . . . . . . . . [7028-95]

Surface energy control techniques for photomask fabrication and their characterizations with scanning probe microscopy, Masaaki Kurihara, Sho Hatakeyama, Kouji Yoshida, Makoto Abe, Daisuke Totsukawa, Yasutaka Morikawa, Hiroshi Mohri, Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan); Hiroyuki Ohtani, Masamichi Fujihira, Tokyo Institute of Technology (Japan) . . . . . . . . . . . . . [7028-96]

Hotspot management in which mask fabrication errors are considered, Mitsuyo Kariya, Eiji Yamanaka, Kenji Yoshida, Kenji Konomi, Masaki Satake, Satoshi Tanaka, Toshiba Semiconductor Co. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-97]

The verifi cation of printability about marginal defects and the detectability at the inspection tool in sub 50nm node, Hyemi Lee, Goo-Min Jeong, Kangjoon Seo, Sang-Chul Kim, Oscar Han, Hynix Semiconductor Inc. (South Korea) . . . . [7028-98]

Wafer level CD metrology on photomasks using AIMS™, Thomas Scheruebl, Ulrich Stroessner, Holger Seitz, Robert Birkner, Rigo Richter, Carl Zeiss SMS GmbH (Germany) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-99]

IntenCD™: mask critical dimension variation mapping, Michael Ben-Yishai, Oren Boiman, Shmoolik Mangan, Lior Shoval, Applied Materials (Israel) . . . . . . [7028-100]

Registration measurement capability of VISTEC LMS IPRO4 with focus on small features, Christian Enkrich, Gunter Antesberger, Oliver Löffl er, Advanced Mask Technology Ctr. GmbH & Co. KG (Germany) . . . . . . . . . . . . . . . . . . . . . . . [7028-101]

Phame®: phase measurements on 45nm node phase shift features, Ute Buttgereit, Robert Birkner, Dirk Seidel, Sascha Perlitz, Carl Zeiss SMS GmbH (Germany) [7028-102]

Infl uence of mask surface processing on CD-SEM imaging, Marc Hauptmann, Advanced Mask Technology Ctr. GmbH & Co. KG (Germany); Lukas M. Eng, Technische Univ. Dresden (Germany); Jan Richter, Advanced Mask Technology Ctr. GmbH & Co. KG (Germany) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-103]

Finite element simulation of light propagation in non-periodic mask patterns, Lin W. Zschiedrich, Sven Burger, Jan Pomplun, Frank Schmidt, Zuse Institute Berlin (Germany) and JCMwave GmbH (Germany) . . . . . . . . . . . . . . . . . . . . . . . [7028-104]

Photomask registration and overlay metrology system for the 45-nm node and beyond, G. Klose, Carl Zeiss SMT AG (Germany); D. Beyer, Carl Zeiss SMS GmbH (Germany); M. Arnz, Carl Zeiss SMT AG (Germany); N. Kerwien, Carl Ziess SMT AG (Germany); N. Rosenkranz, Carl Zeiss SMS GmbH (Germany) . . . . . . . . . [7028-105]

Poster Session 5g: MDPAdvanced mask data processing for 32nm and beyond, John T. Nogatch, Daniel Hung, Raghava V. Kondepudy, Johnny Yeap, Synopsys, Inc. (USA) . . . . [7028-106]

Comparison of verifi cation methods for OASIS fi les: hierarchical or fl at?, Kokoro Kato, Yoshiyuki Taniguchi, Masakazu Endo, Kuninori Nishizawa, Tadao Inoue, Ryoji Hagiwara, Anto Yasaka, SII NanoTechnology Inc. (Japan) . . . . . . . . . . . . [7028-108]

Poster Session 5h: EDA and DFMUtilization of design intent information for mask manufacturing, Kokoro Kato, Masakazu Endo, Tadao Inoue, Masaki Yamabe, Association of Super-Advanced Electronics Technologies (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-109]

An extraction of repeating patterns from OPCed layout data, Fujimoto Yoshihiro, Masahiro Shoji, Kokoro Kato, Tadao Inoue, Masaki Yamabe, Association of Super-Advanced Electronics Technologies (Japan) . . . . . . . . . . . . . . . . . . . . . . . [7028-110]

Verifi cation of mask manufacturing load estimation (MiLE), Yoshikazu Nagamura, Yoshiharu Shika, Satoshi Aoyama, Renesas Technology Corp. (Japan); Syougo Narukawa, Yasutaka Morikawa, Hiroshi Mohri, Dai Nippon Printing Co., Ltd. (Japan); Tomoko Hatada, Masahiro Kato, Hidemichi Kawase, Keirex Technology Inc. (Japan) . . . . . . . . [7028-111]

Evaluation of hotspot analysis fl ow using mask model, Satoshi Kawashima, Katsuya Hayano, Naoko Kuwahara, Syougo Narukawa, Yasutaka Morikawa, Hiroshi Mohri, Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan) . . . . . . . . . . [7028-112]

Comparison of triple-patterning decomposition algorithms using aperiodic tiling patterns, Christopher M. Cork, Jean-Christophe Madre, Synopsys, Inc. (France); Levi D. Barnes, Synopsys, Inc. (USA) . . . . . . . . . . . . . . . . . . . . . [7028-113]

Electrical metrics for lithographic line-end tapering, Puneet Gupta, Univ. of California/Los Angeles (USA); Kwangok Jeong, Andrew B. Kahng, Chul-Hong Park, Univ. of California/San Diego (USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-114]

Poster Session 5i: RET and OPCModeling mask pellicle effects for OPC/RET, Hua Song, Qiaolin Zhang, James P. Shiely, Kevin Lucas, Synopsys, Inc. (USA) . . . . . . . . . . . . . . . . . . . . . . . . . [7028-115]

Approach to optimize intensity distribution for advanced OAI of low-k1 immersion lithography, Takanori Sutou, Takaharu Nagai, Yuichi Inazuki, Nobuhito Toyama, Yasutaka Morikawa, Hiroshi Mohri, Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-116]

Optimal mask design methodology and benchmarking for device power and performance evaluation, Ying Cui, Bob E. Gleason, Luminescent Technologies, Inc. (USA); Yoshio Tanaka, Luminescent Technologies, Inc. (Japan); Anthony Adamov, Luminescent Technologies, Inc. (USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-117]

A new approach to the DFM for the metal layers, No-Young Chung, Sang-Hee Bae, Byung-Sung Kim, Beum-Seok Seo, Sung-Ho Lee, Sung-Il Kim, Sun-Yong Lee, SAMSUNG Electronics Co., Ltd. (South Korea) . . . . . . . . . . . . . . . . . . . . . [7028-118]

Mask process effect aware OPC, Young-Chang Kim, Minjong Hong, SAMSUNG Electronics Co., Ltd. (South Korea); Sooyong Lee, Synopsys Korea Inc. (South Korea); Matthew M. St. John, Synopsys, Inc.; Junghoe Choi, Seung Hee Baek, Synopsys Korea Inc. (South Korea); Kirk Strowzeski, Synopsys, Inc.; Kyoil Koo, Munhoe Do, Synopsys Korea Inc. (South Korea); Robert M. Lugg, Daniel F. Beale, Synopsys, Inc. (USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-119]

Mask shot count reduction strategies in the OPC fl ow, J. C. Word, Mentor Graphics Corp. (USA); K. Mizuuchi, Mentor Graphics Japan Co., Ltd. (Japan); S. Fu, W. Brown, E. Sahouria, Mentor Graphics Corp. (USA) . . . . . . . . . . . . . . . [7028-120]

Poster Session 5j: Lithography TechnologiesApplication of super-diffraction-lithography (SDL) for advanced logic, Shuji Nakao, Shinroku Maejima, Renesas Technology Corp. (Japan); Yuko Mitarai, Renesas Semiconductor Engineering (Japan); Takuya Hagiwara, Sachiko Ogawa, Tetsuro Hanawa, Kazuyuki Suko, Renesas Technology Corp. (Japan) . . . [7028-121]

An IntenCD™ map of a reticle as a feed-forward input to DoseMapper, Michael Ben-Yishai, Applied Materials (Israel); Ilan Englard, Applied Materials BV (Netherlands); Lior Shoval, Shmoolik Mangan, Applied Materials (Israel); Jo M. Finders, ASML Netherlands B.V. (Netherlands); Robert Kazinczi, Cavendish Kinetics (Netherlands); Paul F. Luehrmann, Jr., Arno J. Bleeker, Ingrid Jannsen, Frank Duray, ASML Netherlands B.V. (Netherlands) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-122]

Tool-induced hotspot fi xing fl ow for high volume products, Hiromitsu Mashita, Toshiya Kotani, Fumiharu Nakajima, Hidefumi Mukai, Kazuya Sato, Kohji Hashimoto, Soichi Inoue, Toshiba Semiconductor Co. (Japan) . . . . . . . . . . . . . . . . . . [7028-123]

Massive parallel Maxwell solver for small mask object, high-resolution, large area problems in three dimensions, Kristel Kristle, EMBD (Belgium); John S. Petersen, Petersen Advanced Lithography, Inc. (USA); Hans De Raedt, Rijksuniv. Groningen (Netherlands) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-124]

Dependence of topography effects on pattern variation under hyper-NA lithography, Akiko Mimotogi, Masamitsu Itoh, Shoji Mimotogi, Kazuya Sato, Takashi Sato, Satoshi Tanaka, Soichi Inoue, Toshiba Semiconductor Co. (Japan) [7028-125]

Optimization of mask absorber stacks and illumination settings contact hole imaging, Andreas Erdmann, Tim Fühner, Peter Evanschitzky, Fraunhofer-Institut für Integrierte System und Bauelem (Germany) . . . . . . . . . . . . . . . . . . . . . . . [7028-126]

Impact of illumination source symmetrization in OPC, J. L. Sturtevant, L. Hong, S. Jayaram, Mentor Graphics Corp. (USA); S. P. Renwick, Nikon Precision Inc. (USA); M. McCallum, Nikon Precision Europe GmbH (United Kingdom) . . . . . . . [7028-127]

Poster Session 5k: Cost and Strategy on PhotomaskPredicting lithography costs: guidance for ≤ 32nm patterning solutions, Michael J. Lercel, Andrea F. Wüst, Gregory P. Hughes, SEMATECH, Inc. (USA); Andrew J. Hazelton, Nikon Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-128]

Conference 7028 (continued)

Page 6: Technical Program Photomask Japan - SPIEspie.org/Documents/ConferencesExhibitions/Photomask... · 15.20 to 16.00 Session 4: MDP 16.00 to 18.30 Poster Session/Display 5a: NGL 5b: Writing

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 6

Thursday 17 AprilSessions 6A and 6B run concurrently.

SESSION 6A: NGL ISession Chairs: Osamu Suga, Semiconductor Leading Edge

Technologies, Inc. (Japan); Michael J. Lercel, SEMATECH, Inc. (USA)

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thurs. 09.00 to 10.30

09.00: To Be Determined (Invited Paper), Ichiro Mori, Semiconductor Leading Edge Technologies, Inc. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-16]

09.30: Selete EUV reticle shipping and storage test results, Kazuya Ota, Mitsuaki Amemiya, Takao Taguchi, Osamu Suga, MIRAI-Semiconductor Leading Edge Technologies, Inc. (Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-17]

09.50: Damage analysis of EUV mask under Ga focused ion beam irradiation, Yasushi Nishiyama, Tsuyoshi Amano, Hiroyuki Shigemura, Tsuneo Terasawa, Osamu Suga, MIRAI-Semiconductor Leading Edge Technologies, Inc. (Japan); Tomokazu Kozakai, Syuichi Kikuchi, Kensuke Shiina, Anto Yasaka, Ryoji Hagiwara, SII NanoTechnology Inc. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-18]

10.10: Application of EB repair tool for EUV masks, Shingo Kanamitsu, Masamitsu Ito, Toshiba Corp. (Japan); Takashi Hirano, Toshiba Semiconductor Co. (Japan); Keiko Morishita, Toshiba Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-19]

Refreshment Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10.30 to 10.50

SESSION 6B: EDA and DFM for Photomask ISession Chairs: Frank M. Schellenberg, Mentor Graphics Corp. (USA); Nobuyuki Nishiguchi, Semiconductor Technology Academic Research

Ctr. (Japan)

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thurs. 09.10 to 10.30

09.10: Incorporating resist bias to enhance continuous process window model for OPC, Qiaolin Zhang, Jonathan L. Cobb, Jian-Liang Li, Kevin Lucas, Synopsys, Inc. (USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-20]

09.30: Predictive modeling of lithography induced linewidth variation, Andrew B. Kahng, Swamy V. Muddu, Univ. of California/San Diego (USA) . . . . . . . . . [7028-21]

09.50: OPC model enhancement using parameter sensitivity methodology, Brian Ward, Synopsys, Inc. (USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-22]

10.10: Yield centric layout optimization with precisely quantifying lithographic yield loss, Sachiko Kobayashi, Suigen Kyoh, Koichi Kinoshita, Yukihiro Urakawa, Eiji Morifuji, Toshiba Semiconductor Corp. (Japan); Satoshi Kuramoto, Takumi Technology KK (Japan); Soichi Inoue, Toshiba Semiconductor Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-23]

Refreshment Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10.30 to 10.50

Sessions 7A and 7B run concurrently.

SESSION 7A: NGL IISession Chair: Hisatake Sano, Dai Nippon Printing Co., Ltd. (Japan)

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thurs. 10.50 to 11.50

10.50: Metrology of EUV masks by EUV scatterometry and fi nite element analysis, Jan Pomplun, Sven Burger, Frank Schmidt, Zuse Institute Berlin (Germany) and JCMwave GmbH (Germany); Frank Scholze, Christian Laubis, Physikalisch-Technische Bundesanstalt (Germany); Uwe Dersch, Advanced Mask Technology Ctr. (Germany) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-24]

11.10: Development of EUV mask blanks with high fl atness, Tsutomu Shoki, Takeyuki Yamada, Shouji Shimojima, Yuuki Shiota, Mitsuharu Tsukahara, Kesahiro Koike, Osamu Nozawa, Toshiyuki Sakamoto, Morio Hosoya, HOYA Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-25]

11.30: 32nm imprint masks using variable shape beam pattern generators, Douglas J. Resnick, Ecron Thompson, Gerard M. Schmid, Molecular Imprints, Inc. (USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-26]

Lunch Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11.50 to 13.00

SESSION 7B: EDA and DFM for Photomask IISession Chairs: Frank M. Schellenberg, Mentor Graphics Corp.;

Nobuyuki Nishiguchi, Semiconductor Technology Academic Research Ctr. (Japan)

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thurs. 10.50 to 11.50

10.50: Describing litho-constrained layout by a high-resolution model fi lter, Min-Chun Tsai, Synopsys, Inc. (USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-27]

11.10: Source optimization and mask design to minimize MEEF in low K1 lithography, Guangming Xiao, Thomas Cecil, Linyong Pang, Bob E. Gleason, John McCarty, Luminescent Technologies, Inc. (USA) . . . . . . . . . . . . . . . . . . . . [7028-28]

11.30: Model based mask verifi cation on critical 45nm logic reticles, Franck Foussadier, Frank H. Sundermann, STMicroelectronics (France); Jim N. Wiley, Anthony D. Vacca, Brion Technologies, Inc. (USA); Katsuya Hayano, Syougo Narukawa, Satoshi Kawashima, Hiroshi Mohri, Naoya Hayashi, Hiroyuki Miyashita, Dai Nippon Printing Co., Ltd. (Japan); Yorick Trouiller, CEA/LETI (France); Frederic Robert, Florent Vautrin, Gurwan Kerrien, Jonathan Planchot, Catherine Martinelli, STMicroelectronics (France); Jean-Luc Di-Maria, CEA/LETI (France); Vincent Farys, B. Vandewalle, STMicroelectronics (France); L. Perraud, CEA/LETI (France); Jean-Christophe Le Denmat, A. Villaret, Christian Gardin, Emek Yesilada, STMicroelectronics (France) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-29]

Lunch Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11.50 to 13.00

Conference 7028 (continued)

SESSION 8: OPC and Lithography Techniques ISession Chairs: Hidetoshi Ohnuma, Sony Atsugi Technology Ctr. (Japan);

Robert J. Naber, Cadence Design Systems, Inc. (USA)

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thurs. 13.00 to 14.50

13.00: Optimization of MDP, mask writing, and mask inspection for mask manufacturing cost reduction (Invited Paper), Masaki Yamabe, Association of Super-Advanced Electronics Technologies (Japan) . . . . . . . . . . . . . . . . . . [7028-30]

13.30: Scanner fl eet management utilizing programmed hotspot patterns, Kenji Yoshida, Satoshi Tanaka, Masaki Satake, Takashi Obara, Kazuhiro Takahata, Eiji Yamanaka, Mitsuyo Kariya, Hiroyuki Morinaga, Shoji Mimotogi, Toshiba Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-31]

13.50: Separable models for computational lithography, Hua-Yu Liu, Jiong Jiang, Brion Technologies, Inc.; Eelco van Setten, Andre Engelen, Jeroen Meessen, ASML Netherlands B.V. (Netherlands); Qian Zhao, Brion Technologies, Inc.; Robert J. Socha, ASML Netherlands B.V. (Netherlands); Mu Feng, Wenjin Shao, Hua Cao, Brion Technologies, Inc.; Lieve Van Look, ASML Netherlands B.V. (Netherlands); Joost P. M.Bekaert, Geert Vandenberghe, IMEC (Belgium); Youping Zhang, Yu Cao, Brion Technologies, Inc.; Jo M. Finders, ASML Netherlands B.V.(Netherlands) . [7028-32]

14.10: AF fi xer: new incremental OPC method for optimizing assist feature, Sunggon Jung, Sang-Wook Kim, Sungsoo Suh, Young-Chang Kim, SukJoo Lee, Seong Woon Choi, Woo Sung Han, Joo-Tae Moon, SAMSUNG Electronics Co., Ltd. (South Korea); Levi D. Barnes, Xiaohai Li, Robert M. Lugg, Sooryung Lee, Kyoil Koo, Munhoe Do, Frank P. Amoroso, Benjamin Painter, Synopsys, Inc. (USA) . . [7028-33]

Page 7: Technical Program Photomask Japan - SPIEspie.org/Documents/ConferencesExhibitions/Photomask... · 15.20 to 16.00 Session 4: MDP 16.00 to 18.30 Poster Session/Display 5a: NGL 5b: Writing

7spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

14.30: Extension of the 2D-TCC technique to optimize mask layouts, Manabu Hakko, Kenji Yamazoe, Miyoko Kawashima, Yoshiyuki Sekine, Masakatsu Otah, Tokuyuki Honda, Canon Inc. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-34]

Refreshment Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.50 to 15.10

SESSION 9: OPC and Lithography Techniques IISession Chairs: Yoshiyuki Sekine, Canon Inc. (Japan); John Lin, Taiwan

Semiconductor Manufacturing Co. Ltd. (Taiwan)

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thurs. 15.10 to 17.00

15.10: The technology of lithography for 32nm node and beyond (Invited Paper), Mircea V. Dusa, ASML US, Inc. (USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-35]

15.40: Model-based SRAF insertion through pixel-based mask optimization at 32 nm and beyond, Kyohei Sakajiri, Alexander Tritchkov, Yuri Granik, Mentor Graphics Corp. (USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-36]

16.00: A study of mask specifi cation in spacer patterning process, Hidefumi Mukai, Yuuji Kobayashi, Shinji Yamaguchi, Kenji Kawano, Toshiba Semiconductor Co. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-132]

16.20: Rigorous vectorial modeling for polarized illumination and projection pupil in OPC, Qiaolin Zhang, Hua Song, Kevin Lucas, James P. Shiely, Synopsys, Inc. (USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-37]

16.40: Impact of patterning strategy on mask fabrication beyond 32nm node, Shoji Mimotogi, Tomotaka Higaki, Hideki Kanai, Satoshi Tanaka, Masaki Satake, Yosuke Kitamura, Katsuyoshi Kodera, Kazutaka Ishigo, Takuya Kono, Masafumi Asano, Soichi Inoue, Toshiba Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . [7028-38]

17.00: Mask transmission resonance in hyper-NA imaging, Vicky Philipsen, Peter De Bisschop, IMEC (Belgium); Kei Mesuda, IMEC (Belgium) and Dai Nippon Printing Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-39]

Panel Discussion: Mask Complexities, Cost and TAT in 32 nm - System LSI Generation: Confl ict or Concurrent?

Panel Moderators: Kokoro Kato, SII NanoTechnology Inc. (Japan); Kunihiro Hosono, Renesas Technology Corp. (Japan)

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Thurs. 18.00 to 20.00

Friday 18 AprilSESSION 10: Progressive Defect (Haze)

Session Chairs: Hisashi Watanabe, Matsushita Electric Industrial Co., Ltd. (Japan); Brian J. Grenon, Grenon Consulting, Inc. (USA)

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Fri. 09.00 to 10.40

09.00: ACLV degradation: root cause analysis and effective monitoring strategy, Anna Tchikoulaeva, AMD Saxony LLC & Co. KG (Germany); Markus Arend, Advanced Mask Technology Ctr. GmbH & Co. KG (Germany) . . . . . . . . . . [7028-40]

09.20: Printability impact of progressive defects: ammonium sulfate emulation study, Brian J. Grenon, Grenon Consulting, Inc. (USA); Tracy Huang, Aditya Dayal, Kaustuve Bhattacharyya, KLA-Tencor Corp. (USA) . . . . . . . . . . . . . . . . . . . [7028-41]

09.40: A novel method to prevent sulfate ion residue from growing into haze defects after normal sulfate cleaning processes, Jaehyuck Choi, Han-shin Lee, Jin-Sik Jung, Jongkeun Oh, Haeyoung Chung, Sang-Gyun Woo, Han-Ku Cho, SAMSUNG Electronics Co., Ltd. (South Korea) . . . . . . . . . . . . . . . . . . . . . . [7028-42]

10.00: Continuous monitoring of molecular contamination to prevent mask hazing, Daniel R. Rodier, Particle Measuring Systems, Inc. (USA); Hiroshi Kato, Particle Measuring Systems, Inc. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-43]

Refreshment Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10.40 to 11.00

SESSION 11: Repairing TechnologySession Chairs: Hiroshi Mohri, Dai Nippon Printing Co., Ltd. (Japan);

Curt A. Jackson, Toppan Photomasks, Inc. (USA)

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Fri. 11.00 to 12.00

11.00: Nanomachining processes for 45, 32 nm node mask repair and beyond, Tod E. Robinson, Andrew G. Dinsdale, Ronald R. Bozak, Michael D. Archuletta, RAVE LLC (USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-45]

11.20: Advanced photomask repair and repair validation procedure for transparent and opaque defects relevant for 45nm node and beyond, Christian Ehrlich, Carl Zeiss SMS GmbH (Germany) . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-46]

11.40: Mask CD control (CDC) using AIMS as the CD metrology data source, Guy Ben-Zvi, Eitan Zait, Vladimir Dmitriev, Steven Labovitz, Erez Graitzer, Pixer Technology Ltd. (Israel); Klaus Böhm, Robert Birkner, Thomas Scheruebl, Carl Zeiss SMS GmbH (Germany); Peter De Bisschop, IMEC (Belgium) . . . . . . . . . . . [7028-47]

Lunch Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.00 to 13.00

SESSION 12: Inspection TechnologySession Chairs: Yoshikazu Nagamura, Renesas Technology Corp.

(Japan); Jeff Farnsworth, Intel Corp. (USA)

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Fri. 13.00 to 15.30

13.00: Photomask 2007 Best Paper: Polarization-induced astigmatism caused by topographic masks (Invited Paper, Presentation Only), Johannes Ruoff, Carl Zeiss SMT AG (Germany); Sascha Perlitz, Carl Zeiss SMS GmbH (Germany); Christopher J. Progler, Photronics, Inc. (USA); Bernd Geh, ASML US, Inc. (USA) . . . . . . . [7028-48]

13.30: “What you see is what you print”: aerial imaging as an optimal discriminator between printing and non-printing photomask defects, Amir Sagiv, Shmoolik Mangan, Applied Materials (Israel) . . . . . . . . . . . . . . . . . . . . . . . . [7028-49]

13.50: Wafer plane inspection: probing defect printability in high resolution reticle inspection, Tracy Huang, Shaoyun Chen, Xiaochun Li, Zhian Guo, Manish D. Kulkarni, Ray R. Shi, Aditya Dayal, KLA-Tencor Corp. (USA) . . . . . . . . . . . [7028-50]

14.10: Relationship between defect signal and CD variation in aerial imaging mask inspection, Hyun Joo Baik, Jin-Hyung Park, Dong Hoon Chung, Sang-Gyun Woo, Han-Ku Cho, SAMSUNG Electronics Co., Ltd. (South Korea); Amir Sagiv, Shmoolik Mangan, Ziv Parisat, Eun Young Park, Yaniv Brami, Dana Bernstein, Applied Materials (Israel) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-51]

14.30: Wafer plane inspection (WPI) for reticle defects, Rajesh Nagpal, Firoz Ghadiali, Jun Kim, Intel Corp. (USA); Mark J. Wihl, Rui-fang R. Shi, Xiaochun Li, Tracy Huang, Dvori Stoler, KLA-Tencor Corp. (USA) . . . . . . . . . . . . . . . . . . [7028-52]

14.50: Resist pattern inspection function on LM7500 reticle inspection system, Hideyuki Moribe, Yoshikazu Kato, Kazuyoshi Nakamura, Takeshi Bashomatsu, NEC Corp. (Japan); Takahiro Igeta, NEC Control Systems, Ltd. (Japan); Takehiko Okada, Kazuhito Ogihara, DNP Fine Electronics Sagamihara Co. Ltd. (Japan) . . . . [7028-53]

15.10: Enhancing defect inspection performance via a fast integrateddie-to-die T and R and STARlight-2™ on memory masks, Andy Lan, Jane Hsu, Inotera Memories Inc. (Taiwan); Eric H. Lu, Swapnajit Chakravarty, Vincent Hsu, KLA-Tencor Corp. (USA); Ellison Y. Chen, KLA-Tencor Corp. (Taiwan); John D. Miller, KLA-Tencor Corp. (USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-54]

Refreshment Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15.30 to 15.50

SESSION 13: Metrology for PhotomaskSession Chairs: Han-Ku Cho, SAMSUNG Electronics Co., Ltd. (South

Korea); Yoshinori Nagaoka, KLA-Tencor Japan Ltd. (Japan)

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Fri. 15.50 to 17.30

15.50: IntenCD™: an application for CD uniformity mapping of photomask and process control at maskshops, Hee-Bom Kim, SAMSUNG Electronics Co., Ltd. (South Korea); Michael B. Yishai, Lior Shoval, Christophe Couderc, Applied Materials (Israel) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-55]

16.10: Controlling phase induced CD uniformity effects of PSM photomasks, Daniel L. Rost, Micron Technology, Inc.; Michael B. Yishai, Lior Shoval, Christophe Couderc, Applied Materials (Israel) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-56]

16.30: Mask CD compensation method using diffraction intensity for lithography equivalent metrology, Takaharu Nagai, Takanori Sutou, Yuichi Inazuki, Hiroyuki Hashimoto, Nobuhito Toyama, Yasutaka Morikawa, Hiroshi Mohri, Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . [7028-57]

16.50: Reliable measurement method for complicated OPC pattern, Tatsuya Aihara, Shinpei Kondo, Toppan Printing Co., Ltd. (Japan); Masaru Higuchi, Toppan Printing Co., Ltd. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-58]

17.10: The study to optimize CD uniformity by reliable area CD measurement on 45nm D/R of DRAM, Moonsik Kim, Yongkyoo Choi, Oscar Han, Hynix Semiconductor Inc. (South Korea) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-59]

SESSION 14: StrategyRoom: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Fri. 17.30 to 17.50

17.30: Mask and wafer cost of ownership (COO) from 65 to 22nm half-pitch nodes, Gregory P. Hughes, Lloyd C. Litt, Shyam Palaiyanur, SEMATECH, Inc. (USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7028-60]

Closing RemarksRoom: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Fri. 17.50 to 18.00

Conference 7028 (continued)

Page 8: Technical Program Photomask Japan - SPIEspie.org/Documents/ConferencesExhibitions/Photomask... · 15.20 to 16.00 Session 4: MDP 16.00 to 18.30 Poster Session/Display 5a: NGL 5b: Writing

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 8

Photomask Japan

InsuranceThe organizer cannot accept responsibility for accidents that might occur. Delegates are encouraged to purchase travel insurance before leaving your home country. Insurance plans typically cover accidental loss of belong-ings, medical costs in case of injury or illness, and other possible risks of international travel.

ClimateThe temperature in Yokohama during the period of the conference ranges between 12° and 20°.

Currency ExchangeOnly Japanese yen (¥) is acceptable at regular stores and restaurants. Certain foreign currencies and credit cards may be accepted at a limited number of hotels, restaurants and souvenir shops. You can buy yen at foreign ex-change banks and other authorized money exchangers on presentation of your passport.

Traveler’s Checks and Credit CardsTraveler’s checks are accepted only by leading banks and major hotels in principal cities, and the use of traveler’s checks in Japan is not as popular as in some other countries. VISA, MasterCard, Diners Club, and American Express are widely accepted at hotels, department stores, shops, restaurants and nightclubs.

TippingIn Japan, tips are not necessary anywhere, even at hotels and restaurants.

ElectricityElectric current is uniformly 100 volts, AC, throughout Japan, but with two different cycles: 50 in eastern Japan including Yokohama and Tokyo, and 60 in western Japan including Kyoto and Osaka. Leading hotels in major cities have two outlets of 100 and 220 volts but their sockets usually accept a two-leg plug only.

ShoppingShops and other sales outlets in Japan are generally open on Saturdays, Sundays and national holidays as well as weekdays from 10.00 to 20.00. Department stores, however, are closed during one weekday, differing by store, and certain specialty shops may not open on Sundays and national holidays.

General Information

For information

www.spie.org/jpm

Annex Hall, Pacifi co Yokohama1-1-1 Minato Mirai, Nishi-Ku, Yokohama 220-0012Phone:+81-45-221-2155

Registration and Information HoursRegistration fee will increase to ¥60,000 April 1, 2008 onwards. Pre-registration is highly recommended due to the expected large number of participants. Pre-registered participants will be able to avoid long lines by picking up registration materials on-site. On-site registrants are accepted following Registration Desk opening hours. Registration badges are required for admittance to the conference and the Technical Exhibition.

Registration DeskLocated at 2F, Annex Hall, Pacifi co Yokohama.Monday, April 15 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17.00 to 18.00Tuesday, April 16 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.00 to 18.30Wednesday, April 17 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.30 to 18.30Thursday, April 18 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.30 to 16.00

Speakers’ Registration DeskLocated side of the session room, Annex Hall F201+ F202.Tuesday, April 16 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.00 to 15.30Wednesday, April 17 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.30 to 16.00Thursday, April 18 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.30 to 17.00

Passport and VISATo visit Japan, you must have a valid passport. A visa is required for citizens of countries that do not have visa-exempt agreements with Japan. Please con-tact the nearest Japanese Embassy or Consulate for visa requirements.

Duty Free ImportPersonal effects and professional equipment can be brought into Japan duty free as long as their contents and quantities are deemed reasonable by the customs offi cer. You can also bring in 400 cigarettes, 500 grams of tobacco or 100 cigars; 3 bottles of alcoholic beverages; 2 ounces of perfume; and gifts and souvenirs whose total market price is less than 200,000 yen or its equivalent. There is no allowance for tobacco or alcoholic beverages for persons aged 19 years or younger. Strictly prohibited are fi rearms and other types of weapons, and narcotics.

Symposium on Photomask and NGL Mask Technology XVPhotomask Japan

Page 9: Technical Program Photomask Japan - SPIEspie.org/Documents/ConferencesExhibitions/Photomask... · 15.20 to 16.00 Session 4: MDP 16.00 to 18.30 Poster Session/Display 5a: NGL 5b: Writing

9spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

Hotel Accommodations

Page 10: Technical Program Photomask Japan - SPIEspie.org/Documents/ConferencesExhibitions/Photomask... · 15.20 to 16.00 Session 4: MDP 16.00 to 18.30 Poster Session/Display 5a: NGL 5b: Writing

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 10

Hotel Accommodations

Join your colleagues at Asia’s epicenter for semiconductor lithography. Asia plays an increasing role in R&D—see and hear presentations from leading researchers, developers, and innovators pushing the frontier of microlithog-raphy techniques. Emerging Lithographic Technology and Nanofabrication Optical Microlithography Advances in Resist Material and Processing Metrology, Inspection, and Process Control LCD Application

4–6 November 2008Sheraton Taipei Hotel, Taipei, Taiwan

www.spie.org/litho-asia

Sponsored by:

Cooperating Organization:

Abstract Due Date: 26 May 2008 Electronic abstract submission and additional information are available at

Page 11: Technical Program Photomask Japan - SPIEspie.org/Documents/ConferencesExhibitions/Photomask... · 15.20 to 16.00 Session 4: MDP 16.00 to 18.30 Poster Session/Display 5a: NGL 5b: Writing

11spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

Application for Hotel Accommodations

Page 12: Technical Program Photomask Japan - SPIEspie.org/Documents/ConferencesExhibitions/Photomask... · 15.20 to 16.00 Session 4: MDP 16.00 to 18.30 Poster Session/Display 5a: NGL 5b: Writing

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 12

Registration Information

Page 13: Technical Program Photomask Japan - SPIEspie.org/Documents/ConferencesExhibitions/Photomask... · 15.20 to 16.00 Session 4: MDP 16.00 to 18.30 Poster Session/Display 5a: NGL 5b: Writing

13spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

Registration Information

Page 14: Technical Program Photomask Japan - SPIEspie.org/Documents/ConferencesExhibitions/Photomask... · 15.20 to 16.00 Session 4: MDP 16.00 to 18.30 Poster Session/Display 5a: NGL 5b: Writing

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 14

Access Map

Page 15: Technical Program Photomask Japan - SPIEspie.org/Documents/ConferencesExhibitions/Photomask... · 15.20 to 16.00 Session 4: MDP 16.00 to 18.30 Poster Session/Display 5a: NGL 5b: Writing

15spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

Access Map

Page 16: Technical Program Photomask Japan - SPIEspie.org/Documents/ConferencesExhibitions/Photomask... · 15.20 to 16.00 Session 4: MDP 16.00 to 18.30 Poster Session/Display 5a: NGL 5b: Writing

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 16

Technical Program

Conferences: 16–18 April 2008Annex Hall, Pacifi co YokohamaYokohama, Japan

NETWORK WITH PEERS — HEAR THE LATEST RESEARCH

Photomask Japan Photomask Japan Symposium on Photomask and NGL Mask Technology XV

P.O. Box 10Bellingham, WA 98227-0010 USA

Non-Profi t Org.U.S. Postage

PaidSPIE

For information

www.spie.org/jpm