SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

107
ENSE 623/ENMA 659S Page 1 of 107 SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES Fall 1999 ENSE 623/ENPM 643 : Systems Engineering Design Project ENMA 659S : Technology and Systems for Microelectronics Materials Manufacturing

Transcript of SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

Page 1: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 1 of 107

SYSTEMS DESIGN FOR MICROELECTRONICS

MANUFACTURING PROCESSES

Fall 1999

ENSE 623/ENPM 643 : Systems Engineering Design Project

ENMA 659S : Technology and Systems for Microelectronics

Materials Manufacturing

Page 2: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 2 of 107

TABLE OF CONTENT

TABLE OF CONTENT ..............................................................................................................2 1.0 EXECUTIVE SUMMARY .........................................................................................4 2.0 PROJECT LIFECYCLE AND MILESTONES............................................................5

2.1 Introduction.................................................................................................................5 2.2 Project Timeline & Development Activities.................................................................5 2.3 Milestones .................................................................................................................10

3.0 CLASS SETUP AND EVALUATION......................................................................12 4.0 FEASIBILITY STUDY DETAIL..............................................................................15

4.1 REQUIREMENTS ....................................................................................................15 4 .1.3 Improving the Yield Ramp …………………………………………………………..16

4.2 PROCESSES.............................................................................................................27 4.2.1 Thermal Chemical Vapor Deposition........................................................................27 4.2.2 Plasma Enhanced CVD.............................................................................................36 4.2.3 Plasma Etching.........................................................................................................41 4.2.4 Chemical Mechanical Polishing................................................................................45 4.2.5 Conclusion ...............................................................................................................47

4.3 PROCESS MODELING AND EQUIPMENT MODELING......................................47 4.3.1 Introduction..............................................................................................................47 4.3.2 Schematic of the process for modeling......................................................................48 4.3.3 Relevant Modeling Parameters .................................................................................50 4.3.4 Output Parameters / Metrics of Performance.............................................................51 4.3.5 Parameters for Programmability ...............................................................................52 4.3.6 Description of the Model ..........................................................................................52 4.3.7 Equipment Modeling ................................................................................................59 4.3.8 Future Course ...........................................................................................................59

4.4 SENSITIVITY ANALYSIS FOR DESIGN SCALING AND TRADEOFF ...............59 4.4.1 Number of Nozzles and Segments (Rings) ................................................................60 4.4.2 Radius of Nozzles.....................................................................................................62 4.4.3 Radial Distance between Nozzles .............................................................................63 4.4.4 Height above Wafer..................................................................................................64 4.4.5 Parameter Relationships and Results.........................................................................66 4.4.6 Future direction for Sensitivity Analysis ...................................................................70

4.5 SENSING SYSTEMS AND INTEGRATION...........................................................70 4.5.1 Introduction..............................................................................................................70 4.5.2 In-situ thin film thickness measurement using ultrasonic waves. ...............................70 4.5.3 Process-state sensing ................................................................................................73 4.5.4 Sensing system conclusion (See. Table 2)................................................................76

4.6 OPERATION AND CONTROL................................................................................78 4.6.1 Introduction:.............................................................................................................78 4.6.2 Model Simplification:...............................................................................................78 4.6.3 Control Strategy: ......................................................................................................78 4.6.4 The controller: ..........................................................................................................79 4.6.5 Site-Site Interaction: .................................................................................................81

Page 3: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 3 of 107

4.7 DEVELOPMENT PATHWAY .................................................................................81 4.7.1 Design ......................................................................................................................82 4.7.2 System Development, Integration, and Verification ..................................................83 4.7.3 Full-scale Production................................................................................................86 4.7.4 Installation Transition, and Training .........................................................................87 4.7.5 Operations and Maintenance.....................................................................................87

4.8 ECONOMICS, COO MODELING, AND BUSINESS DEVELOPMENT.................87 4.8.1 Business Development and Economics .....................................................................87 Table 5.9.2-1 Benefits to Break Even ................................................................................95 4.8.2 COO Modeling.........................................................................................................96

APPENDIX......................................................................................................................104

Page 4: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 4 of 107

1.0 EXECUTIVE SUMMARY

Moore’s Law states that the speed of the microprocessor will double every 18 months, which has no scientific basis or deeper meaning, but has placed a huge demand upon chipmakers. Companies must develop advanced processes to produce faster chips and then build very costly fabrication plants to produce them. At the core of the ‘fabs’ are the building blocks of the manufacturing process, the reactors. Each reactor is designed to perform a specific process for designated duration and purpose. Evolution of the chips’ design requires the reactor undergo extensive testing with each process change, resulting in high costs and increased yield ramp times. This project targets a new concept for semiconductor-manufacturing processes that could substantially impact current state-of-the-art. Specifically, a programmable reactor with multiple nozzles will be used to improve uniformity and create intentional non-uniformity across semiconductor wafers with the ability for fault detection through in-situ sensors. This new technology will be beneficial in the following manner: Programmability will allow the de-coupling of process performance from the process uniformity. This permits a change in process parameters while maintaining a high uniformity of process, which is impossible in a traditional reactor. During yield ramp, a process is optimized by running a series of dummy wafers at different parameters. Programmability can create an intentionally non-uniform process, which will allow for a range of process parameters on one chip to save time and expense. The successful implementation of a programmable reactor will improve upon the current paradigm and with the inclusion of in-situ sensors, will increase yield and reduce equipment downtime by detection of equipment drift or malfunction. The final design recommendation for a programmable reactor includes a showerhead with multiple nozzles, where gas flow-rates into the reactor are controllable. A susceptor with coils will be used to maintain the substrate at the process steady state temperature, but will not be adjustable. This project used Thermal Chemical Vapor Deposition (CVD) as the target process although many other alternatives could be applied to this technology.

Page 5: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 5 of 107

2.0 PROJECT LIFECYCLE AND MILESTONES

2.1 Introduction

The purpose of this section is to detail the steps that need to be taken toward the completion of the project. For each work phase, information about duration and important milestones is provided. Also, this section documents the time line of the project, as well as the logical succession of the work phases. The final objective of this project is the development of a prototype for a programmable CVD reactor. In this context programmability means the ability to control the uniformity/non-uniformity of the depositions made using the reactor. This objective is reached by controlling the flow of gas that enters the reactor through several nozzles. The prototype resulting at the end of the development will contain all the functionality specified (programmable gas flow, in-situ sensors, control software), and it will be ready to undergo alpha testing.

2.2 Project Timeline & Development Activities

The major work phases involved in developing the reactor are presented in figure 2.1. Each phase is partially dependent on the results of the previous ones: Failure to successfully complete one phase will delay the next phase or even determine the end of the project. After the completion of each main work phase, the planning for the next phases needs to be reviewed and, if needed, updated.

Fig. 2.1. Project time line (high level) At this moment, the whole project is expected to be completed in two years. While this short development cycle will put great strain on the resources, meeting this deadline will give us a competitive advantage. According with press releases published by well-established equipment manufacturers, like Novellus and Varian, the appearance of programmable rectors is part of their product development strategy. Considering their typical development cycles, this type of products may be on the market in about four years. Assuming that their effort would result in product(s) of comparable quality with our own, we need to bring our product to market before

ID

1

2

3

5

6

7

8

9

P r o d u c t V i s i o n

Feas ib i l i t y Ana lys i s & Data Co l l ec t i on

S y s t e m R e q u i r e m e n t s

S y s t e m D e s i g n A l t e n a t i v e

S o f t w a r e E n g i n e e r i n g

H a r d w a r e E n g i n e e r i n g

S y s t e m I n t e g r a t i o n

E n d P r o j e c t : D e l i v e r m a n u f a c t u r a b l e p r o t o t y p e

Start Date End DateTask Name

1 0 / 1 / 9 9 1 0 / 1 4 / 9 9 1 0 d

1 0 / 1 5 / 9 9 1 1 / 1 8 / 9 9 2 5 d

1 1 / 1 7 / 9 9 1 2 / 1 4 / 9 9 2 0 d

1 1 / 1 7 / 9 9 1 2 / 1 4 / 9 9 2 0 d

1 /1 /00 7 / 1 3 / 0 1 4 0 0 d

1 /1 /00 7 / 1 3 / 0 1 4 0 0 d

5 / 1 3 / 0 1 1 2 / 2 7 / 0 1 1 4 0 d

1 2 / 2 8 / 0 1 1 2 / 2 8 / 0 1 0 d

4 P r o j e c t P l a n n i n g 1 1 / 1 7 / 9 9 1 2 / 1 4 / 9 9 2 0 d

Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4

1999 2000 2001Duration

Page 6: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 6 of 107

them, so that their competitive advantage of being well-know in the domain will loose its importance. Having a prototype ready for alpha testing in two years will allow us to go into production (after alpha and beta tests are completed) in three to three and a half years, about six months before competition. In order to reach this ambitious development deadline, important resources should be put into the development effort. Following is an estimate of the human resources required. For the purpose of initial planning, the teams are considered to have four members each. In the case of missed deadlines, team size may need to be adjusted. The development will proceed in parallel for the software and hardware engineering. Specifically, two teams will work in the software, one doing modeling and the other one developing control software. Hardware engineering requires three teams: one team for the development of the reactor and auxiliary systems and, later on, the development of the sensing system; the second team for the development of showerheads and the third team for support in prototype development and testing activities. Additionally, a management team is needed for supervising the activity of all teams and planning. The Integration phase will require that all the teams work together. In conclusion, this initial planning was done assuming that six teams will work in parallel, which accounts for a total of twenty-four people involved in product development and project planning. Additional support staff will be needed for support activities, like procurement of parts and row materials, secretarial support and technical writing. This number of employees may be considered unreasonable, from a business development point of view. Reductions in number of employees will result in a longer development period. Periodic reviews are required to control the evolution of work in each of the phases. The list of milestones that need to be met during the project is presented in table 2.1, while a description of these milestones could be found in section 2.3. The milestones correspond to the situation where no important problems pass unnoticed from one major phase to another, for example from single-nozzle prototype to the multiple-nozzles one. In a real-life project, having three separate timelines for the project covers the risk of discovering problems in late development. The first timeline is covers the situation of having all the milestones covered in time, without any unexpected delays (no slack time). The timeline contained in this document covers this "ideal" situation. The second timeline covers the possibility of having some delays in the most sensitive phases that are on the critical path, making it the most probable timeline. The worst case, delays in most phases, is covered by a third timeline. The goal is to keep out of this third scenario. The difference in completion time between any two adjacent timelines may differ by as much as one year. During the development of the project, missing deadlines means switching from one development timeline to a less favorable one or using more resources to get back on track. In this document (table 2.1) we specify the actions required when a milestone is missed, however alternative timelines or resource plans are not included. Developing them should be regarded as a priority, in the case that project will proceed. Phases 1 to 5 were completed as part of the requirements for ENSE 623/ENMA 659S class. More details about each of these phases could be found in section 5 of this document. Phases 3-5

Page 7: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 7 of 107

took place in a concurrent fashion. The first phase in the project is the crystallization of a product vision. The credit for the completion of this phase goes mainly to Dr. Rubloff, who came up with the idea of developing a programmable reactor that will alleviate the problem of non-uniformity. The feasibility analysis and data collection phase dealt mainly with understanding the characteristics of a CVD process and of the equipment used in this process. Several initial design ideas were presented, and the Applications team dealt with eliminating the unfeasible ones. Phase 3 was the responsibility of the Requirements team, which based on the information contained in the Semiconductors Road Map, constructed a set of minimum requirements for the final design. Phase 4 covers the planning activities for developing the prototype of the reactor and also initial planning for business development. These tasks were the responsibility of Development and Operations teams, respectively. During phase 5 several design alternatives were proposed and one final design was selected. This phase required the contribution of all teams and can be considered as being only partially completed, since input from the later development phases may contribute changes to the system design. Phase 6, Software Engineering, comprises the tasks related to the development of equipment/ process models and control software. At the beginning these two types of software could be considered as being independent, so they could be developed in a completely parallel manner. For each software category, the development starts with a reduced set of requirements, and only after these requirements are satisfied new requirements will be added (incremental design). While, up to a limit, the verification of models and of control algorithms could be done based only on theoretical principles, the validation of the models and control algorithms need to be done based on the results obtained from the test done with the prototypes. The development activities required for the development of the hardware components are specified in the Hardware Engineering phase. This phase contains both innovative and routine design. Since the development of the Reactor and Auxiliary Systems (wiring, gas feeds and exhausts, etc.) could be regarded as routine development, for these phases a cascade development is appropriate. However, after the construction of the single nozzle and multiple prototypes, there could be some feedback (design changes), due to problems in integrating the components with the new type of showerhead. The development of the showerhead and of the sensing system is clearly innovative design. Due to this fact, a spiral development cycle is the most appropriate, because allows the detection of problems in early phases, reducing in this way the development costs. In the case of the showerhead, the first step is the development of a prototype with only a few nozzles). The main purpose of this prototype is to determine the manufacturability of nozzles, but also it will allow for validating the equipment/process models and manufacturing cost models. The second step in the development of the showerhead will be to add more nozzles to the prototype, so that the interaction between nozzles could be researched and the design concept is validated. When (and if) this prototype is functional, the sensing system is added to the reactor. The development of the sensing system is done using the spiral approach too, because several types of sensors need to be tested and developed. In a first phase, the sensing system subassemblies will be tested separately, and only after a successful completion of these tests the sensors will be added to the multiple-nozzle prototype and tested in this context. The tests with

Page 8: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 8 of 107

this prototype are intended to eliminate any problems due to sensing and also to collect enough data for the validation of the control algorithms and process/equipment models.

Page 9: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 9 of 107

Fig. 2.2. Logical Succession of Development Tasks

Start Product Vision

IntegrateSoftware

Evaluate &Validate Syst.

Test PrototypeIntegrate SoftComponents

Integrate HardComponents

BuildShowerhead

Manuf/BuyComponents

Update Mult.Nozzle Design

Optimize Nb.of Nozzles

Add Sensorsto Prototype

SubassemblyTest & Integr.

Manuf/BuyComponents

Sensing Syst.Design

Test MultipleNozzle Protot.

Add Nozzles toPrototype

Manuf/BuyComponents

Mult. NozzleReq. Anal.

Redesign/AddFunctionality

SimulateUsing Models

Code & TestAlgorithms

Design ControlAlgorithms

Redesign/AddFunctionality

Simulate &ValidateResults

Code &TestModel

Model Desing

Control Req.Analysis

Modeling Req.Analysis

Planning

DesignAlternative(s)

SystemRequirements

Feasibility Anal. &Data Collection

Software Engineering

Test SingleNozzle Protot.

Manuf./BuyComponents

Aux. SystemsDesign

SubassemblyTest & Integr.

Manuf./BuyComponents

ReactorDesign

Aux. SystemsReq. Anal.

Reactor Req.Analysis

Build SingleNozzle Protot.

SubassemblyTest & Integr.

Manuf./BuyComponents

Nozzle Design

Nozzle Req.Analysis

Sensing Syst.Req. Anal.

MultipleNozzle Design

Test Mult. Nozzle w/Sensing Prototype

Alpha Testing

Systems Integration (Final Prototype)

Hardware Engineering

Page 10: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 10 of 107

The last phase, System Integration, ends with the successful completion of the final prototype. The first task of this phase is to determine the number of nozzles on the showerhead, based on the equipment/process models and cost models. Once this number of nozzles was determined, the design used for developing the multiple-nozzle prototype needs to be review and updated. At this point, special attention is needed when evaluating the manufacturability of the nozzles and auxiliary equipment. After completing the hardware, the software will need to be integrated with it. Since this is the first prototype for which hardware/software integration is attempted, several problems may occur. The spiral development is intended to take care of this type of problems. This final prototype, after validation, will represent the basis for the production activities. More details about the prototypes used during the development of the reactor as well as about the testing and validation of these prototypes are contained in section 5 of this document. Figure 2.2. presents a logical succession of design activities. In this figure, the activities in each vertical branch could take lace in parallel with the activities in the other branches. Temporal relationships are represented by direct continuous links, while dashed lines represent spiral development.

2.3 Milestones

Table 2.1. presents the list of milestones. The first column contains the probable starting date, considered from the beginning of the project, in weekdays (M-F). The name of each milestone and the activities taking place for each milestone are contained in columns two and three, respectively. The last two columns contain the action items that need to be undertaken when a milestone is met or missed. Each milestone marks the completion of a main development phase. For each of these main phases, there may be several iterations before reaching the milestone (second level milestones could be specified for each phase to mark the end of an iteration). Table 2.1. Milestones list

Time Point (from start)

Milestone Activities Action Items (positive outcome)

Action Items (negative outcome)

35 days Concept Review Assess • novelty • utility • feasibility

Formulate requirements Develop alternatives Plan development

Change product vision

55 days Requirements Review

Assess • completeness

System design Requirements modeling

Reformulate requirements

55 days System Design Review

Assess • completeness • conformity w/

requirements • correctness

Start detailed design Modify System Design

85 days Reactor Design Acceptance

Evaluate conformity w/ requirements

Use design when building the prototype

Redesign reactor

110 days Auxiliary Systems Acceptance

Evaluate conformity w/ requirements

Use design when building the prototype

Redesign auxiliary systems

140 days Process Models Review

Assess • correctness • completeness

Start integrating equipment-related characteristics into

Redesign process models

Page 11: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 11 of 107

models 170 days Control Algorithms

Review Assess • doability • correctness • efficiency

Start develop simulation workbench

Redesign control algorithms

180 days Single-nozzle Prototype

Completion

Evaluate and validate prototype

Develop multiple-nozzle prototype(by adding nozzles)

Redesign nozzle

220 days Single-Nozzle Models Acceptance

Assess • correctness • completeness

Start working on multiple-nozzles models

Rework the single-nozzle models

240 days Single-Nozzle Control Software

Acceptance

Assess • efficiency • correctness

Start working on multiple-nozzle case

Redesign the control algorithms

320 days Multiple-Nozzle Prototype

Completion

Evaluate and validate prototype

Validate process

Start working on adding the sensors to the prototype

Review applicability of multiple nozzle design Redesign showerhead

360 days Sensing System Design Review

Assess • cost/utility • efficiency • reliability

Integrate sensing system to the reactor (multiple nozzle prototype)

Redesign sensing system

380 days Multiple-Nozzle Models Acceptance

Assess • correctness • completeness

Start working on determining the optimum number of nozzles Integrate w/ control software

Refine/redesign models

400 days Multiple-Nozzle Control Software

Acceptance

Assess • efficiency • reliability • correctness

Start working on integration with hardware

Redesign/reprogram control software

470 days Multiple-Nozzle w/ Sensing Prototype

Completion

Evaluate and validate prototype

Start working on final prototype

Refine sensing system integration

480 days Integrated Software Acceptance

Assess • correctness • conformity w/ requirements

End software development

Redesign/reprogram problem components/interfaces

490 days Final Showerhead Design Review

Assess • conformity w/

requirements • completeness

Build prototype Redesign problem components

510 days Final Prototype Completion

Map reqs to components

End hardware development

Detect & fix problems. Redesign

520 days Final Prototype Test Readiness Review

Evaluate testing approach

Start testing on final prototype

Refine testing plan

550 days Validation Final Prototype

Evaluate and validate prototype

Prepare for production Identify problems and fix them through redesign

570 days Alpha Test Readiness Review

Evaluate and validate equipment

Start alpha tests Identify problems and fix them through redesign or improved documentation

Page 12: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 12 of 107

3.0 CLASS SETUP AND EVALUATION

The class objective was to combine Systems Engineering and Materials engineering graduate students in a comprehensive design project centered on the microelectronics manufacturing process. Additionally, the class was divided into groups that were a mix of systems and materials students, each being responsible for deliverables from each discipline. A mix of skills from a variety of disciplines was a realistic expectation of an actual work environment and allowed for cross-discipline learning as well.

Class structure consisted of lectures, working sessions and incremental progress reports by each group. Early lectures were by Dr. Rubloff detailed the microelectronics manufacturing process, while guest speakers presented complimentary lectures on the following topics:

1. Equipment and process modeling 2. Materials development 3. Project management 4. Intellectual Property 5. Technology entrepreneurship

The specific subject of the project was undefined in the beginning, but targeted a new concept for semiconductor manufacturing process that could substantially impact the current state-of-the-art. The subject was to be picked by Dr. Rubloff from a list of suggested topics generated during a class brainstorming session. It was suspected that Dr. Rubloff already had an idea in mind, but he chose the reduction of non-uniformity across wafers. Specifically, a programmable reactor with multiple nozzles will be used to create non-uniformity and uniformity across semiconductor wafers with the ability for fault detection through in-situ sensors. The groups were based on the design process and after a series of iterations, resulted in the following:

1. Modeling a. Equipment and process modeling for multi-segment reactors b. Design scaling and tradeoff analysis for manufacturing

2. Development a. Development pathway, testing and validation b. Project development, minutes, planning and final scope analysis

3. Applications a. Applications: materials and processes. b. Design alternatives and intellectual property law

4. Operations a. Operations and control b. Economics, cost of ownership modeling and business development

5. Requirements a. Sensing systems and integration b. Requirements and requirements modeling

All further organization and setup was determined by class and group consensus. During the first group meetings, the development group promulgated a framework to serve as a high level guidance for group work and interaction. The highlights are as follows:

Page 13: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 13 of 107

1. Team liaisons: One member acted as a conduit for communication between the groups

2. WebCT: Web-based groupware chosen (by default) as the clearinghouse and repository for information. It included a threaded bulletin board, useful for informal progress updates and communication. WebCT had limitations that will be addressed later.

3. Minutes: Groups were to post minutes on WebCT prior to each class. 4. Class Ground-rules: A rough format to control class discussion was promulgated. 5. Work breakdown structures (WBS): Each group submitted a WBS to detail tasks and

deliverables. The initial WBS was intended to be a high-level view of how each group saw its mission, and served as a checkpoint to ensure they were heading in the correct direction. The final goal was to convert the WBS into a more detailed PERT or GANT chart to guide progress.

The last month of the class consisted mainly of group work with intermittent class discussions, presentations and lectures.

Lesson Learned: A survey was promulgated to gather input from each group to compile a representative sample of lessons learned and feedback. 1. If all class and meeting time were combined, it would equate to a week in an actual working

environment or less if the discontinuities are considered. Our goals may have been too ambitious when considering the time constraints.

2. Initial communication between groups was very ineffective, causing early delays and had one of the largest detrimental effects on the project.

2. The problem statement and group deliverables required more definition in the early stages of the project. This could have been accomplished by class discussion or promulgated by Dr. Rubloff. The lack of direction was another big factor in the slow start, as many groups felt constrained until certain decisions were made. The concept of concurrent engineering should be reviewed in greater depth in the early stages, especially for the materials students who weren’t familiar with the concept. Both of these would have provided more initial direction for the groups and speeded up the process.

3. The slow start was a symptom of many problems but was a big factor. Deliverables need to be established as soon as possible so groups will feel pressure to make some early decisions.

4. WebCT was a weak point. The user interface was cumbersome and was unable to forward emails to external addresses. The threaded bulletin board and functionality of the data repository were fine. However, the biggest downfall was inconsistent use by groups.

5. The use of the WBS could have been more extensive. It was a good tool to inform the groups of what the other groups were doing, but it should have been used more as a milestone chart to check progress. Additionally, the WBS should have been revised as groups began to understand their mission.

6. Lack of a milestone list. This would have been helpful, but at the same time, it was not really feasible. There was not enough initial definition to truly define deadlines for specific deliverables, but something less specific could have been developed.

7. The over-riding positive was the opportunity for cross-functional learning and a realistic experience in systems engineering role. The lack of direction forced the group to narrow its focus instead of being led down a narrow, well-defined path. The bottom line is that the structure of this course was designed to sacrifice a level of complexity in the final product

Page 14: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 14 of 107

for a much greater opportunity to learn, and it was this aspect that made the project and course a success.

Page 15: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 15 of 107

4.0 FEASIBILITY STUDY DETAIL

This section presents the work completed to date during the Fall 1999 Semester for the ENSE 623/ENMA 659S course. This section discusses in detail the work that has been done in the course to date and provides suggested follow-up and future works.

4.1 REQUIREMENTS

4 .1.1 Programmability: The feature that sets our product apart from the others in the market is the ability to program deposition thickness variations. Since it is the programmability of the tool that distinguishes it from other products that are available, emphasis will be placed on the programmability requirements for variable deposition thickness. Where requirements are not explicitly specified, they are assumed to meet the status quo as laid out in the Semiconductor Industry Association (SIA) roadmap for the product release date of 2003. A summary of threshold design requirements is included at the end of the requirements section. There is a spectrum of potential customers for a programmable chemical vapor deposition (CVD) reactor. There are large manufacturers at one end and small research and development laboratories at the other. Semiconductor fabrication facilities such as Intel and Motorola present a larger market because of the multitude of facilities and fabs at each facility coupled with the immediate boost to profit that our tool could provide. The reactor will have a modular design, so it will be possible to configure tools that more directly apply to a small research environment. The primary customer for our equipment will be manufacturing. Therefore, the primary use of the thickness programmability will be to speed up yield ramp times in the preliminary stages of production. Thickness range must be at least two micrometers (2x10-6m) across the wafer. This will provide for enough variation in material thickness to explore yield results. After the yield has been ramped and full production is begun, uniformity across the wafer and from run to run is the primary performance metric. For production, the deposition system must be able to be optimized for a deposition uniformity variation of no more than 2%. In order to effect this programmability, immediate sensing of the deposition is required. This may be satisfied with the CVD tool being clustered with metrology tools for the first prototype or iteration. To significantly impact yield ramp times, in situ sensing must be implemented in the future of the product.

The process will be controlled concurrently with sensing. During initial development, run to run control will be sufficient. Real time control will be implemented with the introduction of in situ sensing.

Page 16: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 16 of 107

4 .1.2 Design Compatibility There are many compatibility concerns regarding any new tool in a semiconductor fabrication facility. Industry standard methods of conveying wafers into and out of the tool must be designed for. Effort must be taken to minimize the footprint. If the Programmable CVD tool exceeds the footprint size of current tools, strong economic evidence must be available to persuade the customer to choose our tool over the competitor’s. The processor must be compatible with MESC equipment interfacing hardware and software standards. This allows the programmable reactor to be mounted on standard configurations of cluster tools. All of the components of the tool must fit together mechanically and must be consistent with the modular design. The materials selected to build the tool must be compatible with the chemicals involved with the deposition and subsequent cleaning of the machine. The most corrosive gas used in the process is hydrofluoric acid (HF), a byproduct of the reaction. The materials selected must not be susceptible to attack by HF gas. The chamber will have to be pumped down to 1x10-

8 torr (760 torr = atmospheric pressure), and it must pass a hydrogen leak check down to 1x10-12 torr. The system must also be designed for safety of the operators and those that may be near it. The organo-metallic gasses that are used to introduce the deposition material can be very toxic to humans. Care must be taken to design proper gas handling techniques into the system. Although stainless steel is often the material of choice for thin film chambers, any material that fits the above criteria can be chosen. Once the material criteria have been met, the primary concern is minimizing cost. The costs of available materials fluctuate, so the specific material cannot be specified with absolute certainty.

4.1.1Improving the Yield Ramp

Factory costs have escalated for the semiconductor industry over the last few years at a rate of about 20% per year. However, the industry has been able to decrease cost per function by 25%-30% per year , by increasing wafer and decreasing feature size and utilizing best practices in the factory. The SIA roadmap states,

” Productivity analyses (conducted by SEMATECH) completed for 250 nm and 180 nm feature sizes and for 200 mm and 300 mm wafer diameters indicate that reductions in feature size and increases in wafer diameter are not sufficient to keep the industry on its historic 25–30% manufacturing cost learning curve.”

While the traditional method of improving manufacturing productivity has been integrating more functionality per wafer, there are many improvements that can be made in the yield-learning rate

Page 17: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 17 of 107

for tools, processes and integration in manufacturing. A programmable CVD reactor will provide a new solution to maintaining this trend by improving the yield learning rate. The reactor design must improve the yield ramp of the manufacturing process. This may be accomplished through programmability. The roadmap calls for devices in 2003 to have an initial yield of 80% and a yield ramp time of 1 year. The roadmap is asking for significant improvement for which no solution is known. The current (1999) devices are achieving an initial yield of 50% and a yield ramp of 3 years according to the SIA. Industry suggests that the numbers are closer to 40% initial yield, and a two-year yield ramp.

Figure 1

Figure 1 shows a comparison between an industry ramp time, as projected in the SIA roadmap for 2003 of 12 months, and a 10-month yield ramp time, which would be achieved through programmable tools. This graph is for a production generation of two years. In this situation, production has been increased 2.9 %. A model has been created to look at the effect of faster yield on the overall production of our device. This model is based on the variables of total production run time, initial yield, normal system ramp time and new system ramp time, and final yield. This model gives the designer a beginning look at the advantages ramp yield has. While some of the numbers may not be exactly what is represented in industry, they can be changed by the user to better represent it. The results of the model are still useful in that it clearly shows that accelerating yield learning has a significant and positive impact on manufacturing. The model is available for use on the web version of this paper and is titled,”yield.xls”.

0

20

40

60

80

100

0 4 8 12 16 20 24

Time of Production Run (months)One Generation

Per

cen

t Y

ield

of

To

ol

NormalProductionRampNew Production

99.9%

Page 18: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 18 of 107

The following chart shows one example of the percent improvement increased yield ramp would have on our total production run. This model assumes that initial yield is 25%. Further, as indicated by the SIA roadmap, a non-programmable machine will have a ramp time of two years. We assume that with programmability we can reach a yield ramp of 10 months, which would be a 58% improvement over the expected ramp time of the current system.

Page 19: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 19 of 107

Production Improvement Production Line Run Time (Years) Yield Ramp Reduction 2 3 4 5

10% 1.76% 1.11% 0.81% 0.64% (2 Months) 16.7% 2.94% 1.85% 1.35% 1.06%

20% 3.53% 2.22% 1.62% 1.28% 30% 5.29% 3.33% 2.43% 1.91% 40% 7.05% 4.44% 3.24% 2.55% 50% 8.82% 5.55% 4.05% 3.19%

This chart shows the advantages of a quicker yield ramp for a short production run. The ability to get the reactor up to full efficiency quickly becomes more important with the shortening of the production cycle. The analysis actually shows that with the ability to improve the yield ramp we no longer need to achieve the high final yield that is typical today. The advantages of faster yield are even greater than what is shown in this graph if the analysis is taken further. The production run times and useful life for various chips is decreasing. This rapid turnover of designs leads to even greater advantages for faster ramp times. This analysis also does not take into effect the economic considerations of faster yield ramps. The first chips of a new generation of processors are worth a lot more than chips that are sold later in the production run. So the ability to produce more chips earlier in a production run could reap huge benefits for manufacturers. Further refinement of this model is needed in the future to more accurately predict yield benefits. This model assumes that the yield ramp is linear, which it is not. Yield ramp is typified by small increases followed by plateaus while experiments are being run to improve yield. The programmable reactor would actually shorten the length of the plateaus. Also, the programmable reactor will not have an effect of yield for each step in processing. Steps such as cleaning, and photolithography will not make use of this machine. The yield improvement will only be seen on processing steps where the machine is in use. 4 .1.3 Modularity It is important that the reactor is designed in such a way that it can be inserted into current factories. More importantly it is necessary that the tool itself be designed with an object-oriented philosophy. This is to necessitate the design of a tool that is upgradeable is a simple manner, so as to give it a longer useful life. This will include the ability to upgrade the machine to accept both 200mm and 300mm wafers. Vital systems, such as the showerhead and control system, must be designed to facilitate upgrades and major process changes as technology advances. There must be the capability for various control modules to operate the reactor. This will allow the manufacturer to vary the amount of control given to the operator. This enables users of various skill level to control the machine based on their needs, such as maintenance, operation or calibration.

Page 20: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 20 of 107

4 .1.4 Group Relationships The following sections describe general requirements for each of the specific groups: Applications, Development, Modeling, Operations & Control, Sensing & Integration.

4.1.4.1 Applications

The applications group is primarily responsible for determining programmable reactor design alternatives. The designs must consider programmability to be the primary design goal. The reactor must therefore be programmable for both thickness and uniformity as described in section 5.1.1. Specifically the reactor must allow for an intentional thickness variation of two micrometers and optimized for a maximum thickness deviation of +/- 2%. The SIA roadmap projects a required wafer starts per month (WSM)) of 30K – 40K in 2003. This puts some throughput and reliability constraints on the performance of the tool. A small spreadsheet was created to explore these concerns. Figure 3 shows typical results, and the spreadsheet is available in the web version of this report, and is titled, “throughput.xls”. As can be seen from this figure, a process time of one minute per wafer allows only a 30% downtime for maintenance, repairs, training and any other operations. In-situ process monitoring and real time control will ensure the high yield and rapid throughput that is required for full-scale production in the future. The applications group must focus on improving the process yield ramp to exceed the Roadmap goals as mentioned in section 5.1.3.

4.1.4.2 Development

The development group is primarily responsible for project development and development pathway. The group should concentrate on evaluation of progress throughout the design process as well as direct progress of the individual groups. Development should also guide the high-level design and translate it into a plan for eventually manufacturing the programmable reactor and operating it. The group must concentrate on development of an effective life-cycle model for carrying the design from initial concept through to production. This should ideally be in a spiral and/or prototyping environment in which the design starts simple and adds complexity and additional capability as the design and process evolve. The development group should guide the eventual decisions for manufacturing and production such as whether to make or buy equipment. The development pathway should be defined to produce a final product at the target date, and a set of milestones or key targets to be met throughout the design and development process.

Page 21: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 21 of 107

Year 2003

Wafer Starts per Month = 30000

Throughput (based on 40k WSM) Minutes per Wafer 1 1.5 2 Wafers per hour 60 40 30 Productive hours per month 500.00 750.00 1000.00 Days per month 20.83 31.25 41.67 Allowable Down Time 30.56% -4.17% -38.89%

Figure 3

4.1.4.3 Modeling

Modeling must devise a model that can accept inputs from an operator to determine the amount of uniformity or intentional non-uniformity as well as the desired thickness and rate of deposition of the wafer. The model should allow the controls group to provide the operator with a graphical control interface to directly control and/or monitor the reaction. Note that the model may at first be designed primarily for run-to-run wafer sensing technology but must eventually be capable of immediate or real-time feedback of the CVD process status – this includes the amount of material deposited, thickness over the wafer, and the percent uniformity/non-uniformity over the wafer surface. The model must be capable of accepting in-process changes to the deposition process to ensure the programmable component of the reactor can be used to modify the current process to improve yield ramp or to gain the desired uniformity across the wafer. Figure 4 shows how the model might integrate into the overall programmable CVD reactor design. An operator supplies a desired uniformity profile, including desired thickness, to the process model(s). These models feed forward into the programmable reactor process control system for the wafer deposition process. Wafer measurements taken by the sensing system, whether run-to-run or real-time, provide feedback to the sensing systems which can be used to manually or automatically adjust the process by modifying adjustable factors, such as gas flow, back through the process model and into the machine and process controls.

Page 22: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 22 of 107

DesiredUniformity

Profile

SensingSystem(s)

ProcessAdjustment

Factors

ProcessModel(s)

Machine/ProcessControls

WaferMeasurements

Feedback from programmable CVD reactor for in-process adjustments Figure 4. Programmable CVD Reactor Model Integration

Other key challenges the modeling group should address include material uniformity and process distributions as noted in the Roadmap. Specifically the models should aim to reduce the statistical spread of the process-induced parameter distributions in order to control wafer-to-wafer and within-wafer variations (1997 Roadmap, pg. 61). The group should also strive for rapid development of new models in order to meet the demands of new and upgraded programmable reactors in a timely fashion. As the programmable reactor design evolves the models must not only become more accurate and reliable, but they must also be developed in minimal time to ensure that they can be successfully integrated into reactor operations and control. Finally, the models must ensure that the transition between processes – transitioning the wafer between equipment and processes – is taken into account so that both upstream and downstream effects are correctly modeled and taken into account for effective integration into the overall process.

4.1.4.4 Operations & Control

The Operations & Control group includes both operation of the programmable reactor in a factory environment and cost of ownership and business development issues. As stated earlier, any detail not specific to programmability must meet the status quo laid out by the SIA roadmap. The SIA roadmap states, “[the]ability to reduce the cost per function by an average 25-30% each year represents one of the unique features of the semiconductor industry.” The business

Page 23: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 23 of 107

development focus must be on intelligent analysis of the market and product in order maintain or exceed current profit growth. The operational environment and impact of the programmable reactor on current factory processes and design must be clearly understood prior to marketing the programmable reactor as a viable product to improve yield ramp and allow semiconductor manufacturers to continue to advance chip design and simultaneously cut costs to maintain high levels of profitability. The reactor design must maintain or lower the total cost of ownership of a manufacturer’s CVD processes to allow roadmap goals of cost per unit function to be met Another goal should be to try to improve the Overall Equipment Effectiveness (OEE), as defined in the 1997 Roadmap. OEE is a measure of improvements in “developing, purchasing, and operating” equipment – the OEE of the programmable reactor should be considered to be an important factor to potential customers. Operations & Control must also focus on operating and effective control for the programmable CVD reactor. This includes how data are collected and evaluated during operations. Initially this may be only wafer-to-wafer control but, as mentioned in section 1.1.5.1, eventually real-time control systems will be required to continuously improve operations and control of the programmable reactor. The operation and control systems must accept sensing system feedback to allow for real-time process adjustments during manufacturing. A capability should be provided for data analysis to identify trends in uniformity and thickness – these trends should be compared to the desired outputs to identify faults or problems to allow for quick correction of problems. Control algorithms, which interface with process models developed by the Modeling group, must be developed. The 1997 Roadmap identifies the required goals for ideal process control:

“Full factory integrated process control will build on elements developed for equipment information management, in situ metrology, closed-loop product control, and process/equipment models for model-based control that are fully integrated into an advanced process control framework. These models… will also provide the basis for a virtual factory and the ability to simulate process capability for implementation in the real factory.” (1997 Roadmap, page 122).

4.1.4.5 Sensing Systems & Integration

Sensing systems and integration must provide the mechanisms and detection capabilities to monitor deposition conditions in the programmable reactor. This allows feedback to the deposition control systems. The sensors must be precise and accurate to within 2% of the deposited thickness, and must have a measurement range of at least 2 micrometers. The sensors must be sensitive to the materials being deposited. The sensors must also be tolerant of existing topography on the wafer. The sensing systems should be integrated with existing hardware so as to minimize the impact of the additional complexity added by sensors. The sensors should be designed with reliability, maintainability, and availability as key design considerations. In addition to hardware integration, sensor system software integration should be considered a key design element, and should be designed such that software upgrades for the sensor systems can be easily accomplished.

Page 24: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 24 of 107

The sensing systems should eventually be in situ sensors designed to provide real-time feedback into the process models and/or operation and control systems for the reactor. As noted in the 1997 Roadmap, “In situ process control is a critical solution for the future factory as conventional metrology becomes less reliable, expensive, and can only identify process excursions after significant yield loss” (1997 Roadmap, page 122). In situ real-time sensor systems will eventually allow for precise control over the programmable reactor and will speed yield ramp. Initially the sensing systems must be used during yield ramp to achieve the desired yield ramp reductions noted previously as one of the programmable reactor’s chief benefits.

Page 25: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 25 of 107

Summary of Threshold Requirements Where requirements are not explicitly specified, they are assumed to meet the status quo as laid out in the Semiconductor Industry Association (SIA) roadmap for the product release date of 2003. The threshold requirements are drawn from the paper and are summarized below for convenience. Many of the quantities are not measurable or contractual requirements, but rather design goals and direction written to help shape the future design process. 1 Programmability 1.1 The reactor will have a modular design. 1.2 Thickness range must be at least two micrometers (2x10-6m) across the wafer. 1.3 The deposition system must be able to be optimized for a deposition uniformity variation of

no more than 2%. 1.4 In situ sensing must be implemented in the future of the product. 1.5 The process will be controlled concurrently with sensing. 1.6 Real time control will be implemented with the introduction of in situ sensing. 2 Design Compatibility 2.1 Industry standard methods of conveying wafers into and out of the tool must be designed

for. Effort must be taken to minimize the footprint. 2.2 The processor must be compatible with MESC equipment interfacing hardware and

software standards. 2.3 All of the components of the tool must fit together mechanically and must be consistent

with the modular design. 2.4 The materials selected to build the tool must be compatible with the chemicals involved

with the deposition and subsequent cleaning of the machine. 2.5 The materials selected must not be susceptible to attack by HF gas. 2.6 The chamber must pass a hydrogen leak check down to 1x10-12 torr. 2.7 The system must be designed for safety of the operators and those that may be near it. 3 Improving the Yield Ramp 3.1 The reactor design must improve the yield ramp of the manufacturing process. 3.1.1 This may be accomplished through programmability. 3.1.2 A model has been created to look at the effect of faster yield on the overall production of

our device and will be used for the design of the device. 4 Modularity 4.1 Vital systems, such as the shower head and control system, must be designed to facilitate

upgrades and major process changes as technology advances. 4.2 There must be the capability for various control modules to operate the reactor. 5 Group Relationships

The following sections describe general requirements for each of the specific groups: Applications, Development, Modeling, Operations & Control, Sensing & Integration. 5.1 Applications 5.1.1 The designs must consider programmability to be the primary design goal. 5.1.2 The reactor must be programmable for both thickness and uniformity as described in

report section 5.1.1.

Page 26: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 26 of 107

5.1.3 The reactor must allow for an intentional thickness variation of two micrometers and optimized for a maximum thickness deviation of +/- 2%.

5.1.4 The applications group must focus on improving the process yield ramp to exceed the Roadmap goals as mentioned in section 5.1.3.

5.2 Development 5.2.1 The group must concentrate on development of an effective life-cycle model for carrying

the design from initial concept through to production. 5.3 Modeling 5.3.1 Modeling must devise a model that can accept inputs from an operator to determine the

amount of uniformity or intentional non-uniformity as well as the desired thickness and rate of deposition of the wafer.

5.3.2 The model must be capable of accepting in-process changes to the deposition process 5.3.3 The models must ensure that the transition between processes – transitioning the wafer

between equipment and processes – is taken into account so that both upstream and downstream effects are correctly modeled and taken into account for effective integration into the overall process.

5.4 Operations & Control 5.4.1 The business development focus must be on intelligent analysis of the market and

product in order maintain or exceed current profit growth. 5.4.2 The operational environment and impact of the programmable reactor on current factory

processes and design must be clearly understood prior to marketing the programmable reactor as a viable product to improve yield ramp and allow semiconductor manufacturers to continue to advance chip design and simultaneously cut costs to maintain high levels of profitability.

5.4.3 The reactor design must maintain or lower the total cost of ownership of a manufacturer’s CVD processes to allow roadmap goals of cost per unit function to be met

5.4.4 Operations & Control must also focus on operating and effective control for the programmable CVD reactor.

5.4.5 The operation and control systems must accept sensing system feedback to allow for real-time process adjustments during manufacturing.

5.4.6 Control algorithms must be developed that interface with the process models developed by the Modeling group.

5.5 Sensing Systems & Integration 5.5.1 Sensing systems and integration must provide the mechanisms and detection capabilities

to monitor deposition conditions in the programmable reactor. 5.5.2 The sensors must be precise and accurate to within 2% of the deposited thickness, and

must have a measurement range of at least 2 micrometers. 5.5.3 The sensors must be sensitive to the materials being deposited. 5.5.4 The sensors must also be tolerant of existing topography on the wafer. 5.5.5 Initially the sensing systems must be used during yield ramp to achieve the desired yield

ramp reductions noted previously as one of the programmable reactor’s chief be

Page 27: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 27 of 107

4.2 PROCESSES

The objectives discussed in this section are two-fold. The first objective was a survey of semiconductor manufacturing processes to determine which parameters are critical to ensuring uniformity in those processes and which may potentially be spatially programmable. The second objective was to devise software programmable equipment designs affecting those parameters. Although the focus of the overall class project was on H2 flows in Tungsten Thermal CVD, design alternatives were attempted for several processes. In addition to Thermal CVD, these processes included Plasma Enhanced CVD, Plasma Etching, Lithography, Chemical Mechanical Processing and Electroplating. Only a limited number of parameters were identified as being potentially spatially programmable for Lithography and electroplating. Unfortunately, no design alternatives were devised during the course of this project for these two processes. The spatially programmable design alternatives, which were generated for the remaining processes, are discussed in the sections below.

The primary design alternative recommended as the focus for this project is the showerhead described in section 5.2.1, Thermal CVD. It should be noted that this showerhead could be used in other processes, such as Plasma Enhanced CVD. The other design ideas presented in this section may be considered for inclusion in later stages of the development pathway.

4.2.1Thermal Chemical Vapor Deposition

4.2.1.1 Introduction

Chemical Vapor Deposition (CVD) is defined as the formation of a non-volatile solid film on a substrate by the reaction of vapor phase chemicals (reactants) that contain the required constituents. The reactant gases are introduced into a reaction chamber and are decomposed and reacted at a heated surface to form a thin film. Thermal CVD is a process, which uses external heating for the propagation of the endothermic reaction for deposition in a forward direction.

4.2.1.2 Parameters

4.2.1.2.1 Important Parameters

The basic parameters for the Thermal CVD process are gas flow, chamber temperature, substrate temperature, chamber pressure and deposition time.

Page 28: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 28 of 107

4.2.1.2.2 Programmable Parameters

Spatially programmable parameters for Thermal CVD include gas flow, chamber temperature and substrate temperature. The mixture of the gases in a particular type of Thermal CVD can be adjusted via a showerhead. The temperature of both the environment and the substrate can be modified, respectively, through ambient heating sources and heated susceptors. And, the pressure can be adjusted by changing the flow of gases into the reaction chamber in combination with changing the exhaust rate of the gases.

4.2.1.3 Design Alternatives

4.2.1.3.1 Showerhead Designs

The distribution and composition of gases in Thermal CVD processes can be adjusted through the use of a programmable showerhead.

4.2.1.3.1.1 Purpose

Showerheads used in industry act as a separator between the mixing area of the gases and the reaction chamber where the substrate is placed on a susceptor directly opposite from the showerhead. These showerheads are fixed plates, typically made of quartz, with fixed openings. While the openings across the showerhead allow the gases to be distributed across the wafer at a fairly uniform rate, the composition of the gases across the wafer changes. For example, when the gas is deposited from above the center of the wafer and exhausted over the edge of the substrate, there is greater deposition in the middle of the wafer and less near the edges. This increasing difference across the surface of the substrate is due to the reactant gases being continually depleted as they transition from the center toward the outer portions of the substrate.

A patent by Moslehi et alia at Texas Instruments (Patent 5,453,124 dated September 26, 1995) proposes to inject gases via a showerhead which is composed of three concentric chambers with independently controlled flows. While Moslehi’s design does improve uniformity across the wafer as compared to traditional showerhead designs, it is not highly precise in targeting specific locations across the substrate. The concentric rings of Moslehi’s design would improve uniformity across the rings. However, it does not allow changes to the gas flows at exact x-y coordinate locations on the substrate.

4.2.1.3.1.2 Showerhead Design Proposals

4.2.1.3.1.2.1 Primary Showerhead Design

The main showerhead design recommended to counteract the effects described above is one which uses individually controlled nozzles for injecting the gases. Being individually controlled, this design allows for modifying the availability of the reactant gases at specific x-y locations on

Page 29: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 29 of 107

the substrate. In such a showerhead the gases would most preferably be mixed before being fed through the injection nozzles, though pre-mixing is only a recommendation. The flow rate on the injection nozzles would be monitored with the results fed back into a monitoring program. This software would also control the flow rate.

Page 30: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 30 of 107

4.2.1.3.1.2.2 Second Showerhead Design

A modification to the above design would adjust the distance between the nozzles and the surface of the substrate. Since the deposition could be greater at the middle of the substrate due to the gases having compounding effects on the outer portions of the substrate, careful control of the gas flows would be necessary. One suggested means of minimizing these effects would be to place the inner nozzle at a shorter distance from the surface while making the outer nozzles a greater distance. The vertical position of the injection nozzles could be either fixed or programmable. For either type of positioning, a “best case” design would need to be modeled and tested for various types of reactions before recommended distances could be determined. Using programmable positioning of the injection nozzles would allow modification of the vertical distances as would be most appropriate for any given reaction. This design alternative is shown in the following schematic.

Page 31: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 31 of 107

4.2.1.3.1.3 Discussion

A showerhead with individually controlled injection nozzles would improve spatial uniformity (or affect desired spatial non-uniformity) by allowing targeting of specific areas on the substrate where increased or decreased material are needed. While it is believed that adding the option of making the nozzle to be of adjustable distance would improve the control of the deposition even further, this feature must be tested to prove or disprove its impact. The basic design of distributed nozzles would allow either run-to-run or real-time testing of the amount of materials deposited. The results of such testing could be fed into the controlling software of the nozzles. That controlling software would then appropriately adjust the flows in individual nozzles. Both of these showerhead designs (distributed nozzles and nozzles of graduated distance) are scalable. Nozzles could be placed either closer together or farther apart, depending on the specific use of the showerhead. The nozzles could also be placed in different configurations. Such a showerhead could be used for wafers of different sizes. For example, if an overly large showerhead is used for a wafer, it would not be necessary to utilize all of the outer nozzles – a task easily achievable since each nozzle is individually controlled. Another option would be to manufacture the concentric rings of the showerhead so additional rings could be fit onto an existing showerhead when production of larger width wafers was implemented.

4.2.1.3.2 Susceptor Designs

4.2.1.3.2.1 Purpose

While industry has recognized that susceptors need to be heated to help maintain not just a reaction but a constant reaction temperature on the substrate, controlling the heat in a programmable and spatial manner across the substrate has not been initiated. Also, using programmable attributes of the susceptor design has not been initiated, although there have been some recent proposals to consider the construction of the susceptor with respect to affecting uniformity.

4.2.1.3.2.2 Susceptor Design Proposals

4.2.1.3.2.2.1 Heated Susceptor Design

Page 32: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 32 of 107

The design of this heated susceptor is similar to that of the showerhead with distributed nozzles. In the susceptor, however, heating elements and sensing devices are alternated across the susceptor. An optional addition to this design to place cooling elements across the susceptor as well. The purpose of this design is to more evenly heat all areas of the substrate, which typically has a distinctly different temperature in the middle than at the edges. The temperature detected by the sensing devices would be fed into a controlling program, which would then adjust specific heating (or cooling) elements appropriately. The temperature of the heating elements could be raised, maintained or lowered as needed. If the controlling software determined that the temperature was or would soon be too high and that lowered the temperature for one or more heating elements would not correct the temperature quickly enough, a cooling element could be activated. As with the showerhead, each element and device would be controlled and monitored independently of the others. A schematic of this design follows. A one-dimensional thermal analysis indicates the temperature gradient along the radius direction follows,

⋅−=23

1 2 rqcr

Ktdr

dT d

where, K = Thermal Conductivity of Substrate, t = Thickness of the Substrate, qd = Heat Dissipation per unit area, c= dqinput/dr, the gradient of the heat source (power input) along the radius direction. The basic idea is to establish positive temperature gradient radially to compensate the

non-uniformity due to gas flow. To get this, from the above equation we conclude that the heat source should be arranged in such a manner that the power level increases radially outwards.

Figure 3

SH

S

HSS

HHH

H

HH

S S

S S

H

S S

H

H

etc

H

SS

Heat Source Heat Sensor

Susceptor

Page 33: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 33 of 107

4.2.1.3.2.2.2 Design for Vented Susceptor

Patent 5,871,811 (dated February 16, 1999) by Wang et al proposes a susceptor with exhaust holes around the edge of the susceptor. The purpose of this patent is to allow the gases to exhaust more rapidly via these holes. A programmable modification of this design is to control the size of the opening for these holes, increasing or decreasing them as monitoring software indicates would best benefit the reaction. Independent control on the aperture of each vent hole would increase even further the x-y spatial benefits of this design even though specific coordinate locations on the substrate could not be addressed as effectively as with the showerhead and heated substrate designs. In addition, these holes could improve the exhaustion of materials whether the expended gases need to flow below the susceptor to be exhausted or if the diluent gases needed to flow up from under the susceptor to join with the expended gases at the surface of the substrate. Such a design is shown in the schematic below.

Figure 4

Substrat

SusceptVent

Susceptor

Substrate

Vent

Page 34: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 34 of 107

4.2.1.3.2.3 Discussion

The main advantage of using the proposed heated susceptor is that it would be possible to target spots on the substrate, which needed higher or lower temperatures during the processing. With embedded sensing elements fed to a controlling program, this design could be used for either real-time or run-to-run control of the uniformity of the substrate due to temperature effects. Not only could the heating and cooling elements be modified relative to the evaluation of the sensing elements, the flow of gases through the showerhead might be changed as a result of the findings of the temperature sensing. Also, the results of the evaluation of the exhaust gases could lead to a desired increase or decrease in the temperature of the substrate. So, the functions of the showerhead and the susceptor could be tied together via the controlling software. This heated susceptor design is scalable for a variety of wafer sizes. The recommended method for scaling such a susceptor to different sized wafers is to use an overly wide susceptor but activate only those elements, which are directly underneath the substrate. The other method for scaling would be to manufacture the susceptor such that concentric rings could be added to the outer rim. Adding rings in this manner, however, may have an adverse effect on the flatness of the susceptor surface.

While control of the gas flow is the goal in the initial phase of this project, incorporation of a heated, cooled and sensed susceptor may be included in a later portion of the development pathway.

While a vented susceptor, which utilized variable apertures, would improve uniformity across the wafer, this improvement could not be spatially targeted. So, while being a programmable design alternative, which would improve uniformity, this type of ventilated susceptor would not be expected to be as helpful to the overall project as would the heated susceptor.

4.2.1.3.3 Lamp Arrangement Designs

4.2.1.3.3.1 Purpose

The purpose of providing a design alternative for the light source arrangements for the CVD process is to make one of the very important design variables, the chamber temperature, a spatially variable parameter and, hence, to make the temperature of the wafer as uniform as possible. Prior art provides such a design in Patent 5,970,214 dated October 19, 1999 by Gat and Arnon.

4.2.1.3.3.2 Lamp Arrangement Design Proposal

This proposed lamp arrangement provide a plurality of controllable lamps which form overlapping heating zones on a wafer being heated. To achieve this there is a provision for tuning lamps to provide a high level of controllability. The temperature of the wafer is monitored using the pyrometers and thermocouples, and the power to the lamps is varied

Page 35: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 35 of 107

accordingly. Also, during the heating process either the wafer or the lamp arrangements are rotated. The following schematic shows the placement of the lamp arrangement within the reaction chamber. The second schematic shows a more detailed illustration of the lamp configuration itself.

Figure 5

Figure 6

Quartz

Power Ctrl. Rotation Ctrl

Inlet for Gases Showerhead

Exhaust Exhaust

Wafer

Light Source

Tuning Lamps (Variable Power)

Normal Lamps

Page 36: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 36 of 107

4.2.1.3.3.3 Discussion

This proposed design alternative has its own advantages and disadvantages. Though this kind of arrangement does provide spatial variation of the temperature along the wafer, it is restricted to the number of variable heating zones provided by various radial locations of the tuning lamps. Also, the technical feasibility of this arrangement is contingent upon the accurate temperature sensing devices. Generally the kind of devices used for sensing are the radiation sensing devices which include a plurality of optical fibers or light pipes in conjunction with corresponding light detectors.

4.2.1.4 Intellectual Property Law

With the exception of the heating lamp configuration, none of the programmable designs alternatives presented above for Thermal CVD have been patented. In addition, the algorithms, which would be required in the software to control the respective elements as a result of the findings of the sensors, have not been patented. These algorithms and the showerhead with injection nozzles would be the first patents to pursue since they are the most broadly applicable. The heated and sensed susceptor and the vented susceptor with variable apertures could be worth patenting as well. The use of these designs in other processes will be mentioned in the appropriate sections, below, and will be recapped in the conclusion of section

4.2.2 Plasma Enhanced CVD

4.2.2.1.1 Introduction

Plasma Enhanced CVD or PECVD is a CVD process using radio frequency (RF) power to generate glow discharge to transfer energy into the reactant gases [1]. As a result, deposition can be achieved at a relatively low temperature. This is very important for processes where the maximum temperature is limited. In addition to this, PECVD has features as, good adhesion, good step coverage. PECVD has been widely used in low-temperature insulators over metals and passivation layers. Among several existing types of equipment for PECVD, capacitive RF plasma reactors and inductively coupled plasma reactors are the dominant workhorses in the current semi-conductor industry.

4.2.2.2 Parameters

4.2.2.2.1 Important Parameters

Due to the similarity between PECVD and Low Pressure Chemical Vapor Deposition (LPCVD), those parameters that influence the deposition of LPCVD are also important to PECVD, among

Page 37: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 37 of 107

which are gas flow rate, deposition temperature, total pressure and partial pressure of gas components.

However, as introduced in the previous section, in addition to thermally heating, PECVD uses RF power to generate glow discharge to transfer energy to the reactant gases. Such parameters as RF power density, frequency and duty cycle have significant influence on the deposition in PECVD. Generally speaking, higher the RF power density, higher the deposition rate.

The frequency of the RF power determines the ion bombardment energy and ionization efficiency of the process. In fact, higher frequency results in low ion bombardment energy but higher ionization efficiency.

4.2.2.2.2 Programmable Parameters

Among these parameters, some of them are programmable while others may not be programmable with the current technology. For PECVD, the programmable parameters are flow rate, deposition temperature and RF power density. By controlling of these programmable parameters, uniformity/non-uniformity across the wafer can be achieved.

4.2.2.3 Design Alternatives

4.2.2.3.1 Programmable Powered Electrode

Background In a typical capacitive RF power plasma deposition reactor (Figure 7), plasma is generated applying RF power across the two electrodes, between which the gases are confined at a low pressure. The plasma body consists of two portions, the bulk and the sheath.

Figure 7

RF power,

13.56 MHz

Gas inlet

Pump

Wafer Heated wafer electrode

C blocking Showerhead

Counter-electrode

Plasma discharge

Page 38: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 38 of 107

As mentioned in the previous section, the RF power density is an important parameter affecting the deposition in PECVD. As the major purpose of this project is to achieve across wafer uniformity/non-uniformity, it is of great significance to control the RF power density across the wafer.

Proposed design of programmable powered electrode In order to achieve this programmability of RF power density, a new idea is generated of replacing the conventional single counter-electrode with an array of counter-electrodes. By separately controlling the power input to the individual electrode the power density across the wafer can be controlled as desired. Basically variable impedance divider can be used to control the voltage bias at the individual electrode. One example may be changeable inductor.

Figure 8

Discussion The major advantage of this design is the programmability of RF power input across the wafer. By using power modulator for each electrode, the power level and the resulting deposition rate can be spatially controlled. Another advantage of the current design is that it can easily be scaled up when the wafer size is increased, which is an appreciable trend in the roadmap of semiconductor industry. The lateral resolution of the RF power can be maintained by optimally increasing the number of counter electrodes. One concern about this design is the possible interaction between the adjacent powered electrodes due to the potential difference, which may

Amplitude modulator

RF power,

13.56 MHz

Gas inlet

Pump

Wafer Heated wafer electrode

Showerhead counter-electrode

C blocking

Plasma discharge

Page 39: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 39 of 107

eventually cause short circuit and no potential gradient at all. One solution may be use of insulator to isolate each electrode.

4.2.2.3.2 Flexible Showerhead

Background and Proposed design It is known that the gap between the upper electrode and the lower electrode is an important factor affecting the deposition. Based on the proposed programmable powered electrode design, flexible electrodes are incorporated in the reactor The distance between the each electrode and the wafer can be controlled by a human operator or programmed by a computer, thus enabling us to have spatial control over the plasma across the wafer. The flow rate of gases ejecting from each showerhead can be controlled, and also we can control the density of the ejected gas species. One more thing that can be controlled is the period of time of ejection of active gas species, thus enabling us to have control over the deposition rate.

Figure 9 Discussion The gap between the upper and the lower electrodes mainly influence the gas flow pattern across the wafer. The quantitative influence of this parameter can be obtained by numerical modeling. With this result, the desired gap for individual electrode can be set using external actuator. It is of interest that gap may also affect the plasma profile across the wafer. However, as is well known, the plasma density is mainly determined by sheath characteristic. No significant influence is expected in this case.

Flexible Showerhead counter-electrode

Amplitude modulator

RF power,

13.56 MHz

Gas inlet

Pump

Wafer Heated wafer electrode

C blocking

Plasma discharge

Page 40: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 40 of 107

4.2.2.3.3 Magnetic field confinement of plasma

Background and Proposed design Magnetic field and electrostatic field are two common methods used to confine electrons, control plasma generation, and ion ionization levels and rates. For the purposes of this project, magnetic field is generated covering the total cross sectional area of plasma. The use of electromagnets instead of permanent magnets helps us to achieve programmability. As a result, ionization level and the deposition rate across the wafer can be controlled, by varying the voltage supplied to the electromagnets.

Figure 10 Discussion Magnetic field is very powerful tool for the control of electrons and ions. However, extra electromagnetic equipment could increase complexity and cost of the total reactor. One drawback of this design may be magnetic fields are hard to model and control, since the flux lines have to come back around on themselves. This may cause lateral spreading of ion and electron trajectories, which would be particularly problematic in directional etching

4.2.2.4 Intellectual Property Law

Though these ideas seem very promising and innovative it is hard to say at this juncture as to whether these designs are patentable designs. Similar to the idea of multi-electrode, Kim T.Y.

N

S

Showerhead

RF power,

13.56 MHz

Gas inlet

Pump

Wafer Electrode

C blocking

Magnetic module for plasmaconfinement

N polar S polar

A A

B B

AA BB

Plasma discharge

Page 41: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 41 of 107

etal. [5] proposed a method of using multi-cathode electron beam to generate plasma. Basic experiments were carried out showing uniform plasma over area with diameter of 300 mm. The major difference of the current design compared with this paper is that this design use RF power as the power source to generate plasma. Combined with the concept of flexible electrodes and programmable individual showerhead, this design could probably be patented. Using programmable magnetic field to confine plasma, however, is not very new idea. In fact, US patent 5,082,542 provides a couple of designs using distributed magnetic field to control plasma density and ion flux without increasing ion energies. The reason for using this here is that programmability of magnetic field is relatively a new idea generated from the current project.

4.2.3 Plasma Etching

4.2.3.1 Introduction

In microelectronic industry there is a need for etching process in the fabrication of devices with fine line width geometries which maintain the same dimensions as the masking layer. This can be achieved with plasma etching particularly when assisted with ion bombardment normal to the working surface as this has the capability of removing the material perpendicular to the surface with negligible lateral removal of the material. This is known as anisotropic etching and it enables accurate mask pattern transfer to be obtained. We can also have isotropic etching, when the material is etched equally in all the directions. Both types of etching can be used depending on the type of application it is being used for.

4.2.3.2 Parameters

Since there are variations between system, each make of reactor used for a specific etching process will respond slightly differently to a change in plasma gas pressure, power input, gas flow rate, sample loading chamber temperature, substrate temperature, area of electrodes. In order to control the process of etching spatially all these parameters are important, but not all of these are programmable i.e. not possible to have control over them spatially. Some of the programmable parameters are power input, gas flow rate and substrate temperature. The designs for the programmable power input are mentioned in the plasma deposition process, see Figure 8. The showerhead designs that give spatial control of the gas flow are described in the thermal CVD and plasma deposition process, refer Figure 1 and Figure 2. The designs for controlling the substrate temperature across the wafer are mentioned in the thermal CVD process.

Page 42: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 42 of 107

4.2.3.3 Design Alternatives

4.2.3.3.1 Showerhead

4.2.3.3.1.1 Purpose

Good process uniformity usually requires adjustments and optimizations of gas flow pattern especially when temperature is high enough so that mass transportation becomes the limiting step. In conventional showerhead, total mass flow rate control is realized by adjusting mass flow controllers or valves. However, control of flow rate at different regions of the wafer is not available, so we need a design for a showerhead by which we can control the flow of gases spatially across the wafer.

4.2.3.3.1.2 Proposal

Figure 11 This multiple nozzle design can be used both in plasma etching and plasma deposition processes. The special features of this design are 1) The distance between the ejection opening and the wafer surface can be changed depending

on the surface. on which the operation needs to be performed The separation distance between the ejection opening and the wafer is directly proportional to the area on which the operation is being performed.

2) A period of time of ejecting the gas species to the wafer can be controlled in accordance

with the size or the area of the convex surface on the wafer, on which the operation is being done.

Substrate

Injection Nozzle

Controller

Drive Mechanism

Page 43: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 43 of 107

3) The density of the ejected species can be decreased or increased depending upon the area on which the operation is being done For larger convex surfaces the density of the gas species can be increased and vice-versa for the smaller convex surfaces.

4.2.3.3.2 Programmable electrode for RIE

4.2.3.3.2.1 Purpose

In this section we will look into a design for enhancing the across-wafer plasma properties during the etching and deposition processes, to obtain the uniformity across the wafer. This includes an electrode assembly capable of applying an electric potential to the plasma. The powered electrode assembly includes a buried portion that is capable of altering the potential within the buried element. The material and/or topographical discontinuities on the electrode of the plasma tool induce a localized change in plasma and sheath potential over the area of the disturbance area at the plasma sheath boundary. The disturbed area of the sheath and/or plasma results in changes of plasma properties (potential, density). These disturbed regions of the plasma tend to have a higher propensity to trap contaminant particles and therefore adversely affect the processing uniformity of the work piece on the electrode. It is highly desirable to provide an electrode assembly, which produces plasma, and sheath configuration, which is substantially uniform and free of perturbations, as this will improve the process uniformity. Also, by varying the potential an electric pulse can be created, capable of driving the particles those are suspended in the plasma in the vicinity of the wafer, away from it, thus enhancing the process uniformity.

4.2.3.3.2.2 Proposed Design

These plasma perturbations and discontinuities may be greatly limited by the addition of subsurface elements placed below the wafer to equalize the r-f coupling across the electrode [6]. The element can be actively tuned for a specific plasma sheath structure, by, for e.g. using piezoelectric material to control the spacing of a vacuum gap in the electrode and thus spatially tuning the propagation of the R-F power through the electrode. In order to tune the plasma above the electrode assembly, separate elements are attached to, or embedded within or about, the electrode assembly. These elements can be inserted at different positions and by varying their configuration and the potential supplied to each one of those, plasma parameters can be controlled in more flexible manner. The buried elements are positioned below and in the close proximity to the work piece. It may be formed from any material that is capable of altering the capacitance of the electrode assembly. E.g. Teflon buried element in quartz electrode assembly and aluminum buried element in quartz electrode assembly. Modification of the material and configuration of the buried element will produce different effects on the plasma, and therefore can be used to control the plasma spatially In the Figure 12 the active buried element includes two portions. Their relative positions can be altered by using a piezoelectric driver. This operation may be controlled remotely by a human

Page 44: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 44 of 107

operator or programmed by a computer. Altering the relative position of the first portion and the second portion will result in a different capacitive effect being produced between electrode assembly and plasma. One major advantage is that effect of the buried element may be varied (altering the plasma configuration during plasma processing) The variation of the impedance (between the electrode assembly and plasma) can be produced by the active buried element. This variation can be produced by varying the potential applied from a potential source, which is electrically connected to active buried element The use of sensors (optical, electromagnetic, electrical) determine the actual plasma parameters (potential, density) levels in specific regions. These plasma parameter levels are inputs into an electronic controller that regulates the potential level supplied to the buried element.

Figure 12

Con

trol

ler

Sensor

Wafer

Buried element

V

Page 45: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 45 of 107

4.2.3.4 Intellectual Property Law

As far as the design of the multiple nozzles is concerned, we have come across many patents that use multiple nozzles for controlling the flow of gases. But the new feature in our design is that these nozzles can be moved up and down, thus enabling us to have more control over the process rate. Though very interesting and innovative, the embedded element design discussed also is not a very promising design idea from the point of view intellectual property. Gary Selwyn from IBM has already performed some good work on these lines.

4.2.4 Chemical Mechanical Polishing

4.2.4.1 Introduction

Chemical Mechanical Polishing (CMP) is defined as the planarization (global or local planarization) of a wafer or substrate surface by chemical and mechanical means. The goal is to obtain good uniformity across the entire wafer or substrate.

4.2.4.2 Parameters

4.2.4.2.1 Important Parameters

This basic parameters for the Chemical Mechanical Polishing are slurry composition (the fine slurry particles, a corrosion (etching) agent, and an oxidant), slurry flow, contact pressure, substrate temperature, polishing time, rotation speed of the wafer, rotation speed of the platen, and the pH of the polishing medium (slurry and H2O).

4.2.4.2.2 Programmable Parameters

Spatially programmable parameters for CMP include slurry flow, and the relative velocities of the wafer and the platen.

4.2.4.3 Design Alternative

4.2.4.3.1 Showerhead Design

The distribution and composition of slurry in CMP process can be adjusted through the use of a programmable showerhead.

Page 46: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 46 of 107

4.2.4.3.1.1 Purpose

One of the major problems that the industry encounters today is to uniformly distribute the slurry under the wafer, which leads to the non-uniformity (polishing rate) across the wafer. In conventional methods the slurry always gets to the edge of the wafer first as compared to the other portions. The purpose of following design alternative is to input the slurry uniformly under the wafer, to guarantee uniform polishing over the entire wafer.

4.2.4.3.1.2 Showerhead Design Proposal

A typical Chemical Mechanical Polishing Apparatus (except the slurry supply system, which is attaching under the polishing pad) is shown in Figure. 13. A conventional CMP apparatus shows that the slurry supply system is directly opposite from the polishing pad (on the same side with the wafer or above the polishing pad), which can’t guarantee uniformly distribution of the slurry under the wafer. Therefore, better design is needed in order to ensure the slurry is uniformly distributed under the wafer. The proposed design for the showerhead is similar the one as show in thermal CVD process. The showerhead is place in parallel with the polishing pad and the wafer, and slurry is feeding from the inlet nozzles as shown in fig. 1.

Figure 13

4.2.4.3.1.3 Discussion

This proposed design has its own advantages and disadvantages. The advantage is that each of the nozzles can be individually controlled and monitored. This will improve the spatial uniformity by allowing targeting of specific areas (or entire wafer) on the wafer. The basic idea for the showerhead is similar to the one as discussed in the thermal CVD except the fluid being input.

Rotating Platen

Showerhead Polishing Pad

Polishing Slurry Wafer

Spindle

Wafer Carrier

Inlet for Slurry

Injection Nozzle

Page 47: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 47 of 107

4.2.4.4 Intellectual Property Law

The method of using a spatial programmable showerhead in the CMP process may be patentable since none of the prior arts teaches such process for CMP, which will uniformly distribute the slurry under the entire wafer by using a showerhead configuration.

4.2.5 Conclusion

After going through a range of applications and process variables for Chemical vapor Deposition (CVD) and analyzing them to suggest design alternatives we concluded that the gas flow control plays the most important role in the design of a reactor. The Showerhead design suggested for the Thermal CVD was our main alternative to provide spatial variation of the amount of reactant species and control over the reaction rate by providing flexible injection– exhaust system. The other notable design alternatives for the programmability of the reactor presented are the multiple counter-electrode design and the embedded element powered electrode design for the plasma processes. It can be concluded that few of the design alternatives discussed here are potentially patentable ideas. Also there is a large scope of making the software to be used during the development pathway of the reactor good contender for the intellectual property rights.

4.3 PROCESS MODELING AND EQUIPMENT MODELING

4.3.1 Introduction

Modeling is a powerful tool used by the semiconductor industry for development and optimization of processes and equipment. Some of the goals of modeling are gaining insight into how processes works, optimization of multi-parameter processes, development of designs for new processes, real-time and run-to-run control of manufacturing processes, estimation of wafer, process, and equipment states that cannot be directly measured. The goal of this project is to develop of a programmable Chemical Vapor Deposition (CVD) reactor tool for the semiconductor industry. Modeling can be predictive or interpolative or lie somewhere in between. Since the CVD reactor is a novel concept, the modeling group has tried to create a model that predicts the behavior of the multi-nozzles programmable CVD reactor in relation to the film uniformity/ non-uniformity. We choose to model the Chemical Vapor Deposition (CVD) process of tungsten due to the following reasons.

Page 48: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 48 of 107

• The CVD process can produce a film with good conformity and good uniformity. This process has a good interest in the microelectronic manufacturers. If we can create a modeling program, this will benefit the manufacturers greatly.

• Resources for modeling the CVD process are available, both the existing programs and human resources.

• The methodology behind the selection of the process to be modeled was a joint decision with the applications group who suggested that tungsten CVD has great applications in the microelectronic industry and is the most attractive one.

• The chemical reaction to produce tungsten is simple because only one type of solid (tungsten) is deposited. For example, it is more complicated to model the CVD process of the multi-components such as Barium Strontium Titanate (BST) because there are three types of solids deposited.

• The process conditions of tungsten CVD, such as the deposition pressure and temperature, are readily available in many books and journals.

4.3.2 Schematic of the process for modeling

In the tungsten CVD process gas species are incident on a substrate in a controlled environment (described in Table 5.4.2.1) so that a desired chemical reaction would lead to the growth of a thin film on the substrate.

Reaction WF6 (g) + 3H2 (g) → W(s) + 6HF(g) Gas mixture 1 vol. WF6 + 220 vol. H2 Temperature 300 C° Pressure 0.34 Torr Time 20 min W-thickness 150 nm

Table 5.4.2.1 Selective Deposition By: Hydrogen Reduction of WF6 (After R.S. Blewer, 1986 Multilevel Interconnection State-of-the-Art Seminar, 6/11/86, Santa Clara, CA)

Page 49: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 49 of 107

The gas species flow into the controlled environment through one or more nozzles that are part of a showerhead. The gas species may react amongst themselves or with the substrate depending on the process. The chemical reaction in the CVD of Tungsten (W) is governed by the chemical balance equation in Table 5.4.2.1. The rate at which the film is grown is a function of flux of gas species, pressure, temperature and chemical nature of the gas species. Figure 5.4.2.1 illustrates the process where the incident flux is from multiple nozzles. The basis of this model is the hypothesis that the ability to control the flux distribution of the reactant species over the substrate will entail significant control on the rate of growth of the film. Hence, programmability will be achieved through control of the incident flux distribution.

P

Chamber pressure

T Substrate

Temperature

Substrate

Incident Flux, Molecules/cm2-s

Heated substrate

Adsorption molecules

Deposited molecules

Diffusion

Desorption molecules

Fig 5.4.1.1: Representation of the deposition process

Page 50: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 50 of 107

4.3.3 Relevant Modeling Parameters

In a typical CVD reactor, is use today, the showerhead injects gas into the reaction chamber through one nozzle. Hence, as a new approach with programmability for uniformity (also non-uniformity) as the objective stated, we consider a multi–nozzle CVD reactor; in which, each and every nozzle can be controlled individually for the flow of reactants. This CVD process and reactor design will have many modeling parameters, some relate to the physical characteristics of the controlled environment and nature of the reacting species and others relate to the geometry of the equipment. Parameters that relate to the physical characteristics

• Pressure in the deposition chamber: It is the vacuum pressure in which the chemical vapor deposition takes place. The sum of partial pressure of different gas species in the chamber equals to the total pressure in the chamber. The unit of pressure is in Torr.

• Temperature of the incident gas species: The temperature of the gas molecules. This temperature is assumed to be the same as the vaporization temperature and the chamber temperature (K).

• Substrate temperature: The temperature in which the reaction between the gas molecules and the substrate takes place (K). This temperature is more relevant as it determines the chemical reaction.

Parameters that are properties of the reacting species

- Molecular weight: Molecular weight of the reacting species (g/mole). - Rate Constant: Rate Constant of reaction between WF6 and H2. - Diffusion constant: Diffusion Coefficient of WF6 (diffusion coefficient in the gas

phase varies as a function of pressure, however it is treated as a constant at a given pressure for our model).

• Flux: Number of gas molecules flowing through a cross-sectional area of 1 cm2 per 1

second. • Area of the wafer: The surface area of the wafer/substrate for a film to be deposited on

(cm2). The area is determined by the diameter of the water. Parameters that relate to the geometry of the equipment are as follows

• Area of nozzle: The effective area of the nozzle that is available for the flow of the gas species into the deposition chamber (cm).

• Distance between nozzles: It is the radial distance between the nozzles in the showerhead (cm).

• Number of nozzles: It is the total number of nozzles in all segments of the showerhead. • Height of the nozzle from the substrate: It is the perpendicular distance between the

nozzle and the substrate (cm).

Page 51: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 51 of 107

4.3.4 Output Parameters / Metrics of Performance

The output of the model is essentially the flux distribution of Tungsten over the substrate. This model is based on the hypothesis that, ability to control the flux distribution of the reactant species over the substrate will entail significant control on the rate of growth of the film. Hence we calculate various metrics for the distribution of flux over the surface. The surface of the substrate is divided into discrete units and flux is measured in each unit of the grids as shown in Figure 5.4.4.1. The mean and variance of the flux is calculated and represented by different colors as shown in Figure 5.4.4.2. The objective is to correlate the flux distribution over the wafer grid with the layout of the nozzles (design of the showerhead).

Fig 5.4.4.3: Positioning Nozzles over the substrate tocontrol the flux distribution and the film uniformity

Fig 5.4.4.1: Substrate is divided into manydiscrete units

Fig 5.4.4.2: Flux distribution and the film uniformity represented by color

Page 52: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 52 of 107

4.3.5 Parameters for Programmability

For the process modeling, the parameters such as the chamber pressure, the substrate temperature, and the flux have an effect on the film properties and the depletion of the molecules. Depletion of molecules determines the film non-uniformity. Programmability of the process is a convenient way to illustrate how the process parameters may have a direct effect on the film uniformity. We have the most freedom in manipulating the geometry of the reaction chamber and the showerhead design in order to achieve programmability of the reactor. This allows us to effectively control the incident flux on the substrate that is directly related to the rate of growth of the tungsten film and the uniformity across the substrate surface. For the programmability of the equipment, it makes most sense to manipulate the following � Radius of nozzle (r), Area of nozzle (An): To understand the effect of the area of the nozzle

on the flux distribution as seen on the substrate. � Distance between Nozzles (d), Number of Nozzles (n): To understand the relationship

between the placement of the nozzles on the showerhead and the variability of the flux on the substrate.

� Height of the nozzle from the substrate (h): To understand the relationship between the height of the nozzles and the variability of the flux on the substrate.

Sensitivity analysis of all these parameters is available in Section 5.5.

4.3.6 Description of the Model

4.3.6.1 Process Model and Assumption

1. A substrate (or a wafer) can be divided into small grids or cells. Each cell has the same geometry and dimensions. For example, a circular substrate can be divided into small cells as shown in Figure.

Fig 5.4.6.1.1: A substrate is divided into small cells

Page 53: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 53 of 107

2) Non-uniformity occurs as a result of depletion. Not all gas molecules coming out of the nozzle react and deposit on the substrate. Let assume that there are 10 molecules of tungsten (W) coming out of a nozzle and only 2 molecules react and deposit on the substrate. Therefore, the other 8 molecules have the same probability of going the adjacent cells of 1/8. As there are 8 adjacent cells, each of the 8 molecules will go to the adjacent cells numbered 1, 2, 3, 4, 5, 6, 7, and 8 labeled in Figure 2, respectively.

3) Assume that the non-reacted molecules in the center cell will react and deposit on the

adjacent cells. 4) The assumptions in 1), 2) and 3) are true in the cases of; - Tungsten reacts and deposits on the silicon substrate. - Tungsten reacts and deposits on the tungsten layer.

4.3.6.2 Point Source of Flux:

A simple relationship between the gas pressure to the molecular impingement flux is given by the following equation.

Where Pe = Vapor pressure of source (Torr) T = Source Temperature (K) µ = Source Atomic Mass (g/mole)

Assume an effective evaporation area Ae for the evaporating body. Hence the rate of flow of the gas species is given by the following equation.

1 2 3

4 5

6 7 8 Reacted molecules

Depleted molecules

Fig 5.4.6.1.2: Illustration of the reacted and depleted molecules

×=Φ

scm

molecules

T

Pee

.)10513.3(

2

22

µ

Φ=

s

moleculesAR eee

Page 54: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 54 of 107

Flux Distribution at the Substrate: The flux thus generate is channeled to the reaction chamber. Lets assume that this flux enters the reaction chamber through a nozzle with infinitesimal small area (point source), before we can consider a finite cross section nozzle (surface source). We can imagine a point source with the flux coming out from it equally in all direction (i.e. in a spherical form). Hence we perform a mass balance over a spherical surface. Let Φr be flux at distance r from source. Φr is described by the following equation. Let Φs be the flux as seen on the substrate due to flux Φr emanating from the point source.

θ = off-tangent tilt angle d’ = d*cosθ

As = Substrate Area Ac = Substrate Area projected onto surface Ac = Ascosθ

θ

θ

Fig 5.4.6.2.2

scm

molecules

r

Rer .4 22π

Surface Area = 4πr2

Fig 5.4.6.2.1

Page 55: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 55 of 107

By the concept of mass balance we have the

After replacing cosθ by (h / (h2 + l2 )1/2), (also r2 = h2 + l2, perpendicular distance from the substrate) we have Φs flux on the surface of the substrate due to a point source as a function of the geometry of the reaction chamber and the flow rate of the flux through a nozzle.

sscr AA Φ=Φ

s

crs A

AΦ=Φ

θcosrs Φ=Φ

scm

molecules

r

Res .4

cos22π

θ

2322 )(4 lh

hRes

+=Φ

π

X

Equi-flux

Point

uniformitynonû] −

( )23

22

e

4

R

lh�

h-s

+=

Fig 5.4.6.2.3

Page 56: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 56 of 107

4.3.6.3 Surface Source of Flux:

For a surface source we introduce another radial term φ, and the mass balance equation is modified as follows.

After replacing Cosφ by (h / (h2 + l2 )1/2) and Cosθ by (h / (h2 + l2 )1/2)(also r2 = h2 + l2, perpendicular distance from the substrate) terms that represent the geometry of the system we have Note: For both cases unless the substrate is spherically curved non-uniformity of flux on the substrate will occur.

4.3.6.4 Multiple Surface Sources of flux:

Thus we can consider a number of sources which create a combined flux, impinging on the substrate. The crucial point here is that the flux, which is incident on the surface of the substrate as a whole, is the superposition of the flux from different nozzles. For example in the above

θ

φ

Fig: 5.4.6.3.1

2

coscos

r

Re

πθφ=Φ

X X X X X Surface-source

source-surfaceû]source-pointû]

222

2

)(4 lh

hRes +=Φ

π

Fig: 5.4.6.3.1

Page 57: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 57 of 107

figure, we can consider a nozzle grid of 3×3, the flux on the substrate is a function of the flux from the nozzle grid and other factors like depletion etc. Hence changing the flux from any nozzle can alter the flux. Thus uniformity or non-uniformity on the surface of the substrate is a function of the flux from each nozzle.

4.3.6.5 Solution incorporating depletion

In any reacting system the total mass is conserved. We can therefore write:

0[C][C]JJcD reactantsproductsinout2 =−+−+∇ …(1)

where D is the diffusion coefficient of WF6 in H2, c is the concentration of WF6 which diffuses Jout is the flux of WF6 leaving the control volume Jin is the flux entering the control volume [C]products is the concentration of the Tungsten deposited on the Silicon wafer. [C]reactants is the concentration of WF6

factorsOther

NNN

NNN

NNN

fflux

333231

232221

131211

+

=

Surface-sources (nozzles)

source-pointû]source nozzle-multiû]

X X X X X X X X X X X X X X X

2

22

2e

)(

R

++

=

∑=

−=

ni

ni

s

idlh�

h- Number of nozzles = 2n+1

Spacing between nozzles = d

Fig 5.4.6.4.1

Page 58: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 58 of 107

The flux entering the control volume can be divided into three components- (a.) flux which reacts within the control volume, (b.) flux which diffuses out of the control volume into neighboring control volumes and (c.) remaining flux which neither reacts, nor diffuses out to the neighboring cells. The portion of flux that diffuses out to a neighboring control volume can be treated as a part of the incoming flux for that control volume. The solution for the flux distribution for the entire wafer is therefore obtained by iteratively solving eqn. (1) over all the control volumes on the wafer surface. In the model the wafer surface has been discretized into an array of cells indexed (i, j). Equation 1 can therefore be written as:

0][][ 6,1,,11,,1,

,,,,2

2

2

2=−+−+

+∆

∆ +−+− +−+− WFji

Wji

inji

outjiz CCJJ

ccccccD jijijijijiji

where

z∆ is the distance between the nozzle and the wafer surface, ∆ is the dimension of a single control volume.

4.3.6.6 Final Model

The final model, which has been implemented using MATLAB , is fully programmable and incorporates the various features of process modeling, equipment modeling and design scaling. The code for the model is included in Appendix 1. An initial prototype was made using MS-EXCEL . But the capabilities of EXCEL were not upto the requirements of modeling. So a more suitable tool, MATLAB, was decided upon. MATLAB gave a number of advantages while modeling. Instead of having a continuous surface as an output plot, MATLAB had very good functionality in terms of plotting as a grid surface, which can used to exploit the property of cells on the semiconductor wafer. Further scalability of the model was far easier with MATLAB. More useful features in MATLAB, which were suitably adapted for the model, were the creation of a GUI, Iterative nature of the depletion process etc.

Fig: 5.4.6.5.1

Page 59: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 59 of 107

A brief description of the capabilities of the model is given below. As mentioned above the model has been designed in such a way that the reactor is fully programmable for various design parameters including process and equipment parameters. In accordance with the requirements the model is fully automated and the impact of change of any parameter can be easily measured. The model has an easy-to-use graphical user interface (GUI) which can be used to input values to the model and the output obtained is the flux deposition which can be further used to calculate the roughness of the surface and hence the uniformity of the surface also. The size of the substrate and the number of cells in it (grid size) can be specified. So the model is scalable in terms of the size of the substrate. The flux coming from each nozzle can be adjusted in the model so that we can obtain different overall flux profiles and optimize the model for specific needs like uniformity or variability

4.3.7 Equipment Modeling

As we have seen above (fig 5.7.3 & fig 5.7.4), we can define specific cases and see the performance of the model under different conditions. Since some of the main requirements of the project are programmability and uniformity, we can ensure that when we design and operate the equipment, we set the nozzles to the best configurations that meets the requirements as shown above. We can also see that the variance of the deposition is not much in both the cases. Hence we can move towards an optimal configuration of nozzles with the help of the software.

4.3.8 Future Course

The modeling process in the future will go from being predictive to being more accurate and as the process is developed and the equipment goes to testing, the modeling process will turn to be interpolative and the aim will be to make the process as deterministic as possible. This will involve moving from relatively simple tools like MATLAB to complex simulation tools like FLUENT etc. Some of the work, which will involve modeling, will include modeling of non-uniformity where we may fix a profile for the non-uniform layer and try to estimate the deviation from the required non-uniformity. Another important consideration will be the modeling of the incident flux, which can be considered as a collimated beam and modeled. It is in this direction that the future work in modeling should be done.

4.4 SENSITIVITY ANALYSIS FOR DESIGN SCALING AND TRADEOFF

The design of showerhead and the height above the substrate are the most important parameters in CVD; it determines the flux distribution on the surface of the substrate. This incident flux is expressed in molecules per unit area per unit time [molecules/cm2s] and is directly proportional to the rate of growth of the tungsten film. Hence for our purposes we will conduct sensitive

Page 60: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 60 of 107

keeping in mind the flux distribution and not the thickness of the film as they are directly related anyway. The thickness of the film can be easily calculated by multiplying the incident flux with the time of exposure

4.4.1 Number of Nozzles and Segments (Rings)

To decide number of nozzles is part of showerhead design, and the following charts show the results of modeling at the given condition: Distance between Nozzle and Substrate = 55mm Temperature = 300 k Radius of Nozzles = 35mm Pressure = 34 torr Radial Distance between Nozzles = 70mm Rate Constant = 10 Diffusion Coefficient = 100 When number of nozzles is one, the nozzle is at the center of showerhead; then the second, third, fourth one will form a ring around the first nozzle based on the distance between nozzles.

Variance of Flux

0.00E+00

1.00E+18

2.00E+18

3.00E+18

4.00E+18

5.00E+18

6.00E+18

7.00E+18

1 9 17 25 33 41 49 57

No. of nozzles

Fig 5.5.1.1

Average Flux On Substrate

0.00E+002.00E+204.00E+206.00E+208.00E+201.00E+211.20E+211.40E+211.60E+211.80E+21

1 8 15 22 29 36 43 50 57

No. of nozzles

Fig 5.5.1.2

Page 61: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 61 of 107

Moreover, radius of nozzles and radial distance between them will limit the maximum number of nozzles. In the model, rings or segments and nozzles are related as follows

Rings / Segments Number of Nozzles 2 2-7 3 8-19 4 20-37 5 38-60

60 is the max no of nozzles The result in fig 5.5.1.1 shows that the increase in variance of flux is almost in proportion to the number of nozzles when number of nozzles is small. Later, the variance decreases with the increase in the number of nozzles. The average flux increases (fig 5.5.1.2) almost linearly with number of nozzles relative to the number of rings; that is, the increase in flux is in proportion to the number of nozzles for the same ring. This is evident in the average flux chart where the profile is almost linear (for increase in nozzles within a ring), with reducing slope as the number of rings or segments increase. Finally, (fig 5.5.1.3) percent variance reduces slowly when the number of nozzles increases; hence more nozzles will improve the uniformity. Therefore it is preferable that the showerhead be designed with greater than 19 nozzles.

Result: To achieve the requirements and favorable conditions for deposition there must be at least 3 segments/rings with a total of 19 nozzles, preferably 4 segments with a total of 37 nozzles. Increasing the number of nozzles beyond will result in diminishing returns on performance metrics.

% Variance of Flux

0

5

10

15

1 5 9 13 17 21 25 29 33 37 41 45 49 53 57

No. of nozzles

Fig 5.5.1.3

Page 62: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 62 of 107

4.4.2 Radius of Nozzles

The radius of nozzles is also part of showerhead design, and the following charts show how it affects the flux. The diameter of the nozzle should not exceed the distance between nozzles; this will result in the collapse (infeasibility) of the showerhead. Distance between Nozzle and Substrate = 55mm Temperature = 300 k Radius of Nozzles < 35mm Pressure = 345 mtorr Radial Distance between Nozzles = 70mm Rate Constant = 10 Number of Nozzles = 37 Diffusion Coefficient = 100

Variance of Flux

0.00E+00

1.00E+17

2.00E+17

3.00E+17

4.00E+17

5.00E+17

6.00E+17

10 20 30

Radius of Nozzle

Fig 5.5.2.1

Average Flux on Substrate

0.00E+00

1.00E+20

2.00E+20

3.00E+20

4.00E+20

5.00E+20

6.00E+20

10 20 30

Radius of Nozzle

Fig 5.5.2.2

0.6

0.61

0.62

0.63

0.64

0.65

0.66

10 20 30

Radius of Nozzle

% V

aria

nce

of

Flu

x

Fig 5.5.2.3

Page 63: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 63 of 107

The above figures (fig 5.5.2.1 and fig 5.5.2.2) show that the variance and average flux increase with the radius of nozzles; however, the percent variance remains the same. Thus we know the radius of nozzles will affect the average flux but will not affect the uniformity of flux. Result: This is a good measure to control (program) if we need to increase deposition rate without disturbing the uniformity of the process. Hypothetically, an iris type control can be effectively control the area of the nozzle and hence deposition.

4.4.3 Radial Distance between Nozzles

The radial distance between nozzles decides the density of nozzles on showerhead. Given a fixed number of nozzle (37), the sensitivity of the parameter ‘radial distance between nozzles’ is plotted. The following charts show the result of modeling. Note that the distance between nozzles should be greater than the diameter of nozzles, else the design becomes infeasible. Distance between Nozzle and Substrate = 55mm Temperature = 300 k Radius of Nozzles = 35mm Pressure = 345 mtorr Rate Constant = 10 Number of Nozzles = 37 Diffusion Coefficient = 100

As in fig 5.5.3.1, the variance first decreases rapidly then increases slowly, and the average flux just (fig 5.5.3.2) reduces with the increasing radial distance between nozzles. As a result, the percent variance (fig 5.5.3.3) decreases at the beginning then increases later.

Variance of Flux

0.00E+001.00E+182.00E+183.00E+184.00E+185.00E+186.00E+187.00E+188.00E+18

50 60 70 80 90 100

Radial Distance between nozzles

Fig 5.5.3.1

Average Flux on Substrate

0.00E+00

5.00E+20

1.00E+21

1.50E+21

2.00E+21

2.50E+21

50 60 70 80 90 100

Radial Distance betw een nozzles

Fig 5.5.3.2

Page 64: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 64 of 107

Result: We conclude that the best distance between nozzles is 70 mm if there are 37 nozzles, located 55 mm above wafer. Hence there exists an optimum radial distance between nozzles for a given configuration (no of nozzles, height above the substrate) How will it change while the number of nozzles or height above wafer changes? We will discuss this problem in the following sections.

4.4.4 Height above Wafer

Height above wafer is also distance between nozzles openings and substrate, an important parameter that affects the uniformity of flux. It can be easily imagined that when this distance is too small, the vapor will directly contact the wafer below the nozzles and produce non-uniform deposition. Temperature = 300 k Radius of Nozzles = 35mm Pressure = 345 mtorr Distance between Nozzles = 70mm Rate Constant = 10 Number of Nozzles = 37 Diffusion Coefficient = 100

0

0.5

1

1.5

2

50 55 60 65 70 75 80 85 90 95 100

Radial Distance between nozzles

%V

aria

nce

Fig 5.5.3.3

Page 65: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 65 of 107

The Average Flux Distribution is

The percent variance of the flux, magnified view h= 50mm to 100mm

From above charts, it can be observed that the variance reduces rapidly with the increase of distance (fig 5.5.4.1), subsequently reducing only gradually. The average flux shows (fig 5.5.4.2) a similar trend. The percent variance may appear to have a similar trend (fig 5.5.4.3). However, on looking closely (fig 5.5.4.4) at the range from h=50mm to 100mm we find the minimum value, when distance between nozzles and substrate is 65mm ~70mm. Result: Thus, for different showerhead designs there are different optimal values of height above wafer to get the most uniform deposition. In this case where number of nozzles is 37 and the

Variance Of Flux

0.00E+00

1.00E+20

2.00E+20

3.00E+20

4.00E+20

5.00E+20

6.00E+20

7.00E+20

8.00E+20

D istance b etw een no zzles and substrate

Fig 5.5.4.1

Average Flux on Substrate

0.00E+00

2.00E+21

4.00E+21

6.00E+21

8.00E+21

1.00E+22

1.20E+22

1.40E+22

1.60E+22

1.80E+22

D istance betw een nozzles and substrate

Fig 5.5.4.2

0

5

10

15

20

25

30

D istance betw een nozzles and substrate

Fig 5.5.4.3

0.5

0.55

0.6

0.65

0.7

0.75

0.8

50 55 60 65 70 75 80 85 90 95 100D istance betw een nozzles and substrate

Fig 5.5.4.4

Page 66: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 66 of 107

radial distance between the nozzles is 70mm. The optimum height above the wafer is approximately 67mm. However, average flux requirements or time and productivity constraints may necessitate a smaller height above the substrate.

4.4.5 Parameter Relationships and Results

Now that we know how each parameter affects flux distribution, let’s go ahead to see how they interact with each other and the flux. 1. The relationship between the number of nozzles and radial distance between nozzles; the following chart is the result of modeling. (height above wafer is 55mm) We observe that with the increasing nozzles, the optimum radial distance between nozzles for least percent variance (uniform flux) decreases (see fig 5.5.51). Fig 5.5.5.2 is a magnified view of the first chart, the results of the analysis are as follows.

0

1

23

4

5

6

78

9

10

50 60 70 80 90

100

110

120

130

140

Radial Dis tance betw een nozzles

% V

aria

nce

of

Flu

x

nozz les=7

nozz les=19

nozz les=28

nozz les=32

nozz les=37

nozz les=48

nozz les=60

Fig 5.5.5.1

0

0 .5

1

1 .5

2

2 .5

3

3 .5

4

4 .5

5

5 0 5 5 6 0 6 5 7 0 7 5 8 0 8 5 9 0 9 5 1 00

R ad ia l D is ta n c e b e tw e e n n o z z le s

% V

aria

nce

of F

lux

no z z le s = 19

no z z le s = 28

no z z le s = 32

no z z le s = 37

no z z le s = 48

no z z le s = 60

Fig 5.5.5.2

Page 67: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 67 of 107

Result: for height above wafer = 55mm if Number of nozzles = 19, the Radial distance between Nozzles is 85~90 mm; Number of nozzles = 28, the Radial distance between Nozzles is around 80 mm; Number of nozzles = 32, the Radial distance between Nozzles is around 75 mm; Number of nozzles = 37, the Radial distance between Nozzles is around 70 mm; Number of nozzles = 48, the Radial distance between Nozzles is around 65 mm; Number of nozzles = 60, the Radial distance between Nozzles is about 60 mm. 2. Now we discuss the relationship between the number of nozzles and distance between nozzles and substrate. The following figure (fig 5.5.5.3) shows that when the number of nozzles increases, the optimum height above wafer increases. By modifying the configuration slightly we can change this optimum value.

Result: for radial distance between nozzles = 70 mm if Number of nozzles = 32 the optimum height from substrate is 65~70 mm; Number of nozzles = 37 the optimum height from substrate is 65~70mm; Number of nozzles = 48 the optimum height from substrate is 70~80 mm; Number of nozzles = 60 the optimum height from substrate is 80~100 mm;

0

0 .5

1

1 .5

2

40 50 60 70 80 90 100

110

120

D istance be twe e n nozz le s and substrate

% V

aria

nce

of

Flu

x nozz les= 28

nozz les= 32

nozz les= 37

nozz les= 48

nozz les= 60

fig5.5.5.3

Page 68: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 68 of 107

The fig 5.5.5.4 shows the trend of percent variance when the height above wafer goes on increasing to very high values (such values will never be used in practice, but are modeled to analyze the hypothetical response of the system). In fact, if the number of nozzles is sufficiently large, percent variance will first decrease then increase, and then decrease again. If the number of nozzles is few, for example, nozzles = 28, percent variance will only decrease and keep the same slope in a range corresponding to a given ring. Hence, if there are only a few nozzles, percent variance will only show decrease with increase in height above substrate. In real world, we cannot increase the height above wafer indefinitely.

01

2

3

45

6

7

8

100 300 500 700 900 1100

Dis tance betw e en nozzle s and s ubstrate

% V

aria

nce

of

Flu

xnozzle=1

nozzles=7

nozzles=19

nozzles=28

nozzles=32

nozzles=37

nozzles=48

nozzles=60

Fig 5.5.5.4

Page 69: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 69 of 107

3. Lets analyze the relationship between the distance between nozzles and the height above wafer. In the following charts, the left one is for nozzles = 60 and the right one is for nozzles = 37.

Result: When the height above wafer increases, the optimum radial distance between the nozzles increases. This result is obvious after the above figures (fig 5.5.5.5 & fig 5.5.5.6). Result: The optimum state would be to have the nozzles sufficiently (distance decided by process requirements, or optimum distance) high above the substrate, and correctly spread out over the entire area of the substrate (for a given number of nozzles). There are optimum values limiting both these parameters beyond which there are insignificant benefits or even undesirable effects on uniformity. In our process modeling, we get the best result of 0.26% variance.

0

0.1

0.2

0.3

0.4

0.5

0.6

0.7

65 70 75 80 85 90 95

Radial Distance between nozzles

% V

aria

nce

height=70 height=80

height=90 height=100

height=110

Fig 5.5.5.5, No of Nozzles = 60, 5 Rings

0

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

65 70 75 80 85 90 95

Radial Distance between nozzles%

Var

ian

ce

height=70 height=80

height=90 height=100

height=110

Fig 5.5.5.6, No of Nozzles = 37, 4 Rings

Page 70: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 70 of 107

4.4.6 Future direction for Sensitivity Analysis

The next step in modeling will be to model the cost or complexity of the showerhead design. The relevant independent variables will be the size of the nozzle, the number of segments in the showerhead and their effect on the cost or complexity. The measures of performance or the requirement will the improvement in process with respect to the uniformity or programmability possible. Very complex and versatile models may be developed that will allow trade off of various disparate measures of performance. Another dimension may be added by introducing more variables like the size of the substrate, reaction chamber dimension or design, and the list goes on

4.5 SENSING SYSTEMS AND INTEGRATION

4.5.1 Introduction

The primary goal of the integrated sensing system is to measure the film thickness at various points in the wafer in order to achieve real time sensor-based control of the uniformity. In order to achieve the goal, key requirements need to be satisfied by the sensor in terms of reliability, sensitivity, integrability, real time sensing capability, and robustness.

In the following pages we will consider two sensing approaches based on direct wafer-state sensing (ultrasonic sensing) and indirect process-state sensing (chemical sensing). Wafer-state sensing allows direct measurement of the film thickness of the deposition, while indirect sensing measures thickness by sensing the reaction products of the process.

4.5.2 In-situ thin film thickness measurement using ultrasonic waves.

4.5.2.1 Introduction

Most of today’s available techniques are restricted to certain type of films and many have difficulties in performing the measurement in-situ. Various thin film measurement methods, such as surface profilometry and resistivity measurements are very difficult to carry out in-situ. Another method called ellipsometry, which is currently being used as an in-situ monitoring tool, lacks the ability to measure opaque films. These limitations could be overcome by use of the ultrasonic waves sensor. This sensor generates ultrasonic lamb waves and they are propagated through the silicon wafer. The thin film coating on the wafer surface changes the velocity of this ultrasonic lamb wave traveling in a silicon wafer and the thickness of the film is found to be related to the wave velocity. This phenomenon can be used as a monitoring method for any type of film – opaque, transparent, metal or insulator.

Page 71: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 71 of 107

4.5.2.2 Fundamental Concept

The Lamb wave[1] is generated using a piezoelectric transducer bonded to a fused quartz rod, which is inserted through the wafer chuck. The quartz rod has a rounded tip, which is the point of contact with the wafer. The quartz rod is protected from the hostile environment of the chamber by a vacuum housing.

An electrical impulse of 500 volts is applied to the transmitter, which generates an extensional mode in the quartz rod. At the quartz pin tip wafer contact, part of the extensional mode energy is reflected back to the transducer and generates an echo electrical pulse. The other part of the energy is coupled into the wafer as the zeroth order antisymmetric Lamb wave is excited. After travelling through the wafer, the Lamb wave is converted back into the extensional mode by the receiver. This is again converted into an electrical signal. A time delay counter monitors the time taken by the Lamb wave to travel through the silicon wafer from the transmitter tip to the receiver tip. The effect of the quartz pins is eliminated due to the subtraction of the time delay in the quartz rods from the transmitter and receiver. The Lamb wave velocity through the thin films varies for different materials and different frequencies of operation. Hence theoretical calculations have to be performed to calculate the change in wave velocity in the film deposited. Resolution of the film thickness can be controlled by removing the noise from the received signal and the order of resolution could be 100 A° and smaller. In order to monitor the non-uniformity across the wafer, a receiver and a transmitter is not enough, since this will give us an average value of the thickness of the film. Hence a design of a 2D array of transducers (fig 2) is needed to correctly capture the thickness across the wafer. The number of transducers needed depends on a lot of factors. Some of them could be integratability into the wafer chuck along with the heater, strength of the wave velocity with respect to distance, and level of accuracy that is needed.

Transmitter

Time of Flight Wafer

Heater

Fig. 1. Schematic of the wafer and sensor integrated together

Receiver

Page 72: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 72 of 107

4.5.2.3 Advantages in using this sensor

¾ This is a non-invasive method, which means that the deposited layer is not touched by any probes for making a measurement.

¾ Insignificant heat transfer during processing due to the use of quartz pin which has a low thermal conductivity.

¾ Measurement is insensitive of the surrounding environment such as pressure or gas species since acoustic energy is confined within the silicon wafer.

¾ With calibration, the sensor can also be used to multi-layer deposition and real time process control.

¾ Optical and electrical properties of the thin film do not affect the measurement. ¾ Compatibility with most of plasma and CVD environments.

4.5.2.4 Disadvantages in using this sensor

¾ Innovative design of the transducers could make the design of the heater in the wafer chuck difficult.

¾ Reliability of the point contact quartz rods could be a problem. ¾ Calibration of the sensor for monitoring patterned wafer deposition could be difficult.

4.5.2.5 Future areas of research

¾ Design of the 2D array of transducers is a potential area of research. ¾ Exploring the methodologies involved in monitoring the non-uniformity in a patterned

wafer.

Receiver

Fig 2. 2D array of transducers

Transmitter

Page 73: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 73 of 107

4.5.3 Process-state sensing

4.5.3.1 Introduction

Non-invasive and non-destructive thickness measurements of deposited layers during CVD processing can also be achieved using process-state chemical sensing [2-7]. This approach is particularly interesting since the concept of the programmable reactor is based on controlling the gas flows in a multi-zone showerhead with an innovative design. By sampling the gases at multiple points in the reactor, the species compositions at the vicinity of the wafer surface can be characterized and correlated to a local determination of the thickness. Process-state sensing can offer significant benefits since the sensor response is not affected by the physical properties of the multi-pattern layers (materials, boundaries effects, microstructures, wafer temperature distribution...). Also this method minimizes the level of interference with the process itself (gas flow dynamics, temperature distribution, contamination…) since the chemical sensors can be implemented at the reactor gas exhaust (Fig. 5). Primarily function of the gas dynamics in the sampling system – short response times of a few seconds can be achieved once the physical characteristics of the sampling system has been carefully determined (conductance, pumping system specs) thus allowing real-time process control. Two chemical sensors, commercially available, have been considered, based on mass-spectrometry and acoustic sensing technology. The implementation of an optical FTIR sensor has also been investigated.

4.5.3.2 Quadrupole Mass Spectrometry (QMS)

QMS is a highly selective and sensitive chemical sensing method based on mass analysis through a set of quadrupoles after ionization of the gas molecules. QMS has been widely applied during the last two decades for residual gas analysis (RGAs) in leak detection and fault/contamination monitoring of vacuum systems [2]. Though reproducible quantitative measurements with RGAs are generally considered as difficult, significant progress has been reported in using QMS as an in-tool metrology sensor. It has been demonstrated in applications in molecular flow regime (epitaxial SiCVD [3]) as well as more recently in the 0.1-1 Torr viscous flow regime such as single-component PolySi RTCVD [4-5] and multi-component W CVD [6]. Sensor and sampling system In order to increase the sensitivity of the sensor, Closed Ion Source (CIS) are reportedly [6] used allowing the QMS ionizing region to be operated in the low mTorr range as opposed to the 1.E-5 Torr of the quadrupole region. By implementing a two-stage turbo-molecular drag pump for differential pumping of these two regions, short response time of 3 to 4 seconds were observed making it appropriate for real-time chemical sensing.

Page 74: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 74 of 107

Because of the required low pressure in the QMS ionizing chamber – as compared to the process pressure (100 mTorr to a few Torr), the process gases are sampled through small conductance apertures (30 o 100um). Challenges regarding the utilization of RGAs One of the major problems encountered with RGAs in multi-component reaction such as W CVD (fig 3) is the potential for the chemical species present in the ionizing chamber to react together. It has been shown that the additional signal resulting from this in-sensor reaction can be evaluated and subtracted by running a “process” at room temperature so that the generation of chemical species (such as HF in W CVD) or depletion of reactants (H2 or WF6) can only result from the reaction in the sensor. Nevertheless this time-consuming procedure would not be acceptable in manufacturing and a built-in calibration system will have to be developed to take into account these in-sensor reactions, as well as sensitivity drifts from possible changes in ionizing and electron multiplier efficiency. Figure 3: W CVD process resulting from the WF6 reduction by H2

WF6(g) + 3 H2(g) => W (s) + 6 HF (g)

4.5.3.3 Acoustic sensor

Because the sound velocity in a gas mixture depends on the average molecular weight, the acoustic sensor presents a real potential for wafer-state metrology by monitoring the variations of the gas composition in a multi-component gas mixture. An optimal sensitivity will be achieved for gas mixture showing a high molecular weight contrast (e.g. H2/ WF6 in W CVD) since a small depletion of the precursor will generate a significant variation of the molecular weight. Though this approach lacks chemical selectivity, preliminary research at UMD [7] have shown that in-situ metrology can be achieved in W CVD processing with an accuracy better than 6% with depletion rate as low as 3-5% Although this technique can only be applied to specific processes, the absence of consumable parts and its robustness inherent from its simplicity makes it highly reliable. Also easy calibration can be operated by simply flowing pure carrier gas through the acoustic chamber and resetting the corresponding resonant frequency. In terms of integration, the most demanding requirement for implementing the acoustic sensor is that the gas media density in the resonant chamber must be high

Receiver Emitter

Outlet

Resonant Inlet

Figure 4: Acoustic sensor [8]

The sound velocity (and consequently the gas composition) is determined by measuring at the receiver the sound intensity of an acoustic wave generated at the emitter (a lock-in amplifier enabling to track the variation of the velocity) [9]

Page 75: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 75 of 107

enough in order to carry the energy of the acoustic wave. This will typically require a pressure of at least 50 Torr in the chamber. To compress the gases, the sensor is installed downstream to a pumping system composed of a turbo-molecular drag pump + diaphragm pump allowing high compression ratio to increase the pressure and high throughputs to have short residence time.

4.5.3.4 Optical sensors

Optical Emission Spectroscopy (OES) has been successfully integrated in production for end-point detection by measuring the gas compositions in plasma processing. Nevertheless this sensor requires a measurable light-emitting gas and can not be used with thermal processes. Fourier Transform Infra-Red spectrometry provides a valuable alternative. Since the composition of non-symmetric molecules is measured by absorption, FTIR will work effectively in CVD as well as plasma remote processes. FTIR is highly selective and offers a fast response time, a full spectrum being monitored in less than a second. Contrary to the RGA or acoustic sensor, it can be operated in a wide range of pressure from sub-mTorr to hundreds of torrs avoiding the use of expensive differential pumping (RGA). It also shows a high reliability even in corrosive environment since it is not in direct contact with the process but uses a collimated single-mode laser as a spectral reference to “look” at the process gases. While deposition of reactants on the window can generate a sensor drift with OES sensor, it will only increase the noise/signal ratio in IR absorption spectroscopy, without altering the calibration. Maybe the relative complexity of spectrum analysis (even though it is now supported by spectral analysis software) and the high cost of the spectrometer may explain the few number of commercial applications for the FTIR. To address the cost issue, a lower-cost filter-based non-dispersive IR spectroscopy sensor (NDIR) could be implemented on production-scale tools. Though NDIR only enables the monitoring of a single chemical specie, the appropriate absorption bands (for metrology purposes) could be characterized in research using the selective FTIR and the appropriate filter supplied to the customer for a given process.

4.5.3.5 Process-state sensing conclusion

RGAs and acoustic sensors have showed their potential for in-situ metrology in CVD processing with typical level of accuracy around 6 to 10%. Though some technical challenges still need to be overcome in the R&D phase (higher metrology accuracy, minimization of sensor and background drift, auto-calibration), this approach offers in-tool and real-time sensing capability with a reasonable sensing system investment. To our knowledge, no conclusive research works have been published for in-situ metrology using FTIR. Nevertheless it is a fast, reliable and accurate sensing method with a constant calibration (no sensor drift), presenting a real potential for in-situ metrology sensing in CVD processes. A close attention should be brought to the multi-zone gas sensing aspect since inter-mixing, though minimized by the original design of the showerhead, won’t be totally absent: modeling of

Page 76: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 76 of 107

gas flow dynamics should be undertaken to dynamically correct the generation of data overlapping.

4.5.4 Sensing system conclusion (See. Table 2)

Although different sensors might be required to cover the large field of CVD applications and processes, the resulting complexity of a multi-sensor R&D program would increase substantially (complexity of system engineering, not to mention the subsequent financial cost). At this early stage of the research on in-situ metrology, the sensors selected in our study offers the same level of accuracy in terms of metrology capability (from 5 to 10% at the exception of FTIR with no known data), with foreseeable improvements in each case. Consequently, the choice of a sensing method relies essentially on the risk associated with a given sensor technology and the level of published research on which to support our choice. RGAs should be considered as a first choice option for our research and development phase. Due to the maturity of the QMS technology, RGAs benefit from a broad recognition and utilization in the scientific and industrial community. A more substantial amount of preliminary research and data for in-situ metrology is currently available and the pros, as well as the cons, are better understood than with any of the other systems. Also the high chemical selectivity of the RGAs could enable to combine sensor-based metrology control and fault diagnostic capability which is also a key issue addressed by the last semiconductor technology roadmap. Nevertheless

Closed Ion SourceRGA Acoustic sensor FTIR

Measurement Partial pressures Average molecularweight Optical absorption

Chemical selectivity High None High

W Metrology(at 3-5 % depletion)

4 to 6% accuracy based on errorslope Unknown

Pressure 1.E-5 Torr >50 Torr mTorr to >100 Torr

Drift from wallreaction Linear drift from wall reactions in sampling system

Sensor drift Species “cracking” inionizat. chamber

Highly stable ⇒ nodecomposition

Very stable ⇒ nocontact with gases

Calibration ore difficult (function ofpartial pressure)

Easier: calibrate withcarrier gas

Constant IR molecularspectrum

Ease of use + +++ ++

Table 1: characteristics of exhaust sensors

Page 77: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 77 of 107

reliability and potential sensor drift might be a severe limitations for its successful implementation. Though the capability of in-situ FTIR metrology has not been yet demonstrated, it might be an ideal sensor for uniformity control since it offers the pros of the RGA (selectivity, sensitivity) and additional benefits (little calibration required, wider range of pressures and higher reliability in corrosive environments). Alternative designs integrating the simpler and more robust acoustic sensor or the ultrasonic wafer-sensing system should be also considered.

Table 2: Comparison and summary of sensing approaches

6HQVR U 5*$ )7,5 $FRXVWLF 8OWUDVRQLF

6HQVLQJ 3URFHVV�VWDWH :DIHU�VWDWH

+DUGZDUH LQWHJUDWLRQ'LIIHUHQWLDO SXPSLQJ ��(�� 7RUU�

P7RUU WR ��� 7RUU &RPSUHVVLRQ �!�� 7RUU�

�' WUDQVGXFHU DUUD\ LQFRQWDFW ZLWK ZDIHU�LQWHJUDWHG LQ EXON RIKHDWHU�

3URV

• +LJK VHOHFWLYLW\ DQGVHQVLWLYLW\

• 3XEOLVKHG PHWURORJ\GDWD

• 0DWXULW\ RI VHQVRUWHFKQRORJ\

• 6HOHFWLYLW\ DQGVHQVLWLYLW\

• 5HOLDELOLW\ LQFRUURVLYHHQYLURQPHQWV

• &RQVWDQW FDOLEUDWLRQ�ORZ VHQVRU GULIW�

• (DVH RI XVH�UREXVWQHVV

• *RRG VHQVLWLYLW\ LIKLJK PDVV FRQWUDVW

• ,QGHSHQGHQW IURP JDVSKDVH FKHPLVWU\

• )DVW UHVSRQVH WLPH

• 6LPXOWDQHRXVPRQLWRULQJ

• 6HQVRU GULIWV

• 'LIILFXOW\ RIFDOLEUDWLRQ

• 5HTXLUHV RSWLFDOVSHFLHV

• 1R PHWURORJ\ GDWD

• 1R VHOHFWLYLW\

• 3URFHVV UDQJHOLPLWDWLRQV&RQV

6HTXHQWLDO PRQLWRULQJ

• ,QWHJUDWLRQ LQ KHDWHU

• )HZ GDWD

• 8QNQRZQ EHKDYLRU LQPXOWL�SDWWHUQ OD\HUV

• 9LDELOLW\ RI �' DUUD\FRQFHSW

'HYHORSPHQW ULVN /RZHVW /RZ /RZ +LJK

2WKHU DSSOLFDWLRQ &RQWDPLQDWLRQ�IDXOW GHWHFWLRQ

Page 78: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 78 of 107

4.6 OPERATION AND CONTROL

4.6.1 Introduction:

Operation and control provides the ability to control uniformity or non- uniformity across the wafer along with programmability. Before the operation and control processes begin, the tuning parameters and the target need to be identified. The actual model is then simplified as far as possible. From the suggested model, the expected output is estimated at the certain process settings of the input parameter(s). When the actual process provides an output value different from the model prediction, the model is updated to bring the output closer to the target by applying the process control. The control operation described here focuses on gradual shift of the process.

4.6.2 Model Simplification:

The primary parameters that affect the deposition rate of tungsten in the CVD process are temperature, pressure, partial pressure of reactant gases, and flow rate. The operation is modeled on reduction of WF6 by H2. When the reactor is operated above 400oC it is independent of temperature under the mass transport regime [1]. The reaction is also only weakly dependent on the partial pressure of WF6. Hence the deposition of tungsten is now strongly dependent only on the H2 partial pressure or flow rate (The H2 partial pressure can be written in term of H2 flow rate [2]). Accordingly the model is simplified to a linear relationship,

where Y represents the deposition rate or flux, A is an intercept which is a function of temperature, pressure etc and X is a flow rate of H2. Thus now the control element is the H2 flow rate and the control problem has been reduced to a single input single output case.(SISO)

4.6.3 Control Strategy:

At different locations across a wafer, there are slightly different environments that cause a difference in deposition rates across the wafer. In order to control the deposition, a ”site model” is introduced [3]. In this model each nozzle is defined as a site and is controlled individually. Ideally each nozzle can have a slightly different model and hence different input conditions. This provides the flexibility of adjusting the input parameter to meet the interests of uniformity or programmability. For example in Fig.1 there are 5 such sites. The model is updated after every run at each site as new data becomes available to reflect changes in equipment and process states. It must be noted that the actual flow at each nozzle can be different from the input settings. Therefore it is desirable to have a calibration of the measured thickness as a function of input flow rate. One can then back calculate the actual flow rate from the calibration and if possible incorporate the change into the model.

bX A Y +=

Page 79: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 79 of 107

Fig. 1 Site location on wafer The spatial programming can be achieved by controlling the flow rate at each nozzle. Hence the site-site interaction is now treated as a secondary effect with emphasis on the ability to control flow to each nozzle. This is inconsistent with the assumptions from the model as the model takes into account site-site interactions.

4.6.4 The controller:

The controller is an in-line process control system which combines traditional statistical process control(SPC) with feedback control. SPC process uses the measurement to monitor a process and detect a statistically significant shift in the process. Once the shift is detected the feedback controller uses the measurement to suggest changes to the process settings which bring the process output back to the target where the target is the desired thickness. The controller starts after the first wafer was processed. Thus it has a measurement delay of one run. In this sense it is a Wafer by Wafer (WbW) controller. The WbW logic is shown in Fig. 2.

1

2 5 4

3

Page 80: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 80 of 107

Y N

Fig.2. A flow chart diagram of the Wafer by Wafer logic.

Input: Flow Rate

Predicted Output

Measurement

Tune Model

New Recipe

Target

Input Parameter

Model

Run deposition

Page 81: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 81 of 107

Fig 3.shows the control diagram of this controller.

Fig 3. The control diagram

4.6.5 Site-Site Interaction:

Previous we assumed that at the site the deposition rate is controlled individually by its own nozzle but the combination input/exhaust design of the nozzles as suggested by design alternatives could allow relatively a weak site-site interaction. Practically the actual flow of the neighboring nozzles could affect the actual flow at the site. In case of the intentional non-uniformity simply a gradient of flow rates, the large site-site interaction will occur. The larger the gradient of flow rate, the larger site-site interaction Thus the expected deposition rate will be different from the model settings. Due to the site-site interaction effect, the model is modified as

Y = A + bX + δ where δ represents the correction factor due to site-site interactions from neighboring nozzles. In order to find an effective δ the experiment has to run at various settings. For example, first run an experiment that the flow rate equals at all nozzles. Then, monitor the change of deposition at the site due to the interaction as increasing the flow rate of the neighboring nozzles.

4.7 DEVELOPMENT PATHWAY

The previous sections have detailed the work done to date on the programmable reactor design. If this concept is to proceed, the preliminary conclusions drawn from this work must be further developed and refined. This section describes a plan to proceed from this point through the remainder of the development lifecycle, with the ultimate goal of delivering a quality product to operations.

Target: deposition rate

Controller will apply control law after getting the error(Target-measured)

Input H flow rate (load) Input new flow rate & run process.

Output Measuring element: Thickness

Calculate newsettings forcontrol element: H2 flow rate

Measured thickness

Page 82: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 82 of 107

4.7.1 Design

The design presented in section 5.3 will be the basis for future activities. The first step will be to use the requirements and models to optimize the design and translate this into a more detailed design. The system design converts the functional requirements to design specifications. Each requirement should be mapped to a portion of the design to ensure that none of the requirements have been overlooked. In the same respect, if a portion of the design cannot be mapped to requirements, it should be evaluated to determine if it is really necessary. Details that must be included are:

• Number of Shower Head Nozzles and Shower Head Design • Shower Head Control Design and Specification • Reactor Design Specifications • Sensing System Design and Specification • Uniformity Modeling Software • Reactor Interface System and Design • Cost of Ownership for Design • Equipment Modeling Software • Control System Model • Functional Block Flow Diagram

Broken down by subsystems, this would include:

Reactor Shower Head Control System Sensing System "Plumbing"

and Wiring • Dimensions • Material of

Construct • Susceptor • Simulation

Model

• Head Design • # of Heads • Arrangement • Simulation

Model

• Shower Head • Gas Feed • Sensors • Temperature • Vent System • RealTime vs

Run-to-Run

• Insitu vs Exsitu • Type and Spec.

• Electrical Reqmts.

• Gas feed Reqmts.

Once the information above is available, and after a successful System Design Review (SDR), the system design can be fully translated into a detailed design. This encompasses the detailed definition of the hardware and software components of the systems, which feeds directly into the development of these systems. Documentation, although important during every aspect of system development, is particularly important during this phase. The specifications documented during the detailed design will become the blueprints for the system development. The types of information generated will include:

• Detailed Physical Equipment Drawings and Schematics • Geometric Specifications • Materials and Equipment List • Process and Instrument Drawing

− Shower Head − Reactor

Page 83: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 83 of 107

− Gas Feed System − Utility Feed System − Sensing System − Control System

• Algorithms for Control Once the detailed design is completed a Critical Design Review will be held. At this point the decision is made on whether to proceed with system development or revise the design or requirements. It is important to note that this design serves as the basis for system development activities. As system development and test proceeds, this design may be refined and optimized, especially during the prototyping process described in the following sections.

4.7.2 System Development, Integration, and Verification

Once the decision is made to proceed, system development begins. This programmable reactor system is a new development effort, as opposed to a modification of an existing system. Due to the number of new technologies being introduced and the groundbreaking methodology of a programmable reactor, a spiral development cycle was chosen for this effort. The spiral model consists of a series of miniature development efforts that add features and technology incrementally to a series of prototypes. There are several benefits to approaching the development in this way: • Fault isolation can be easier because each release is built on a known baseline. • The incremental approach introduces checkpoints into the development process as a means of

evaluating progress. • Critical problems in development, the design, or even the requirements can be found earlier

when they are easier and cheaper to correct. Because of this approach the development, integration, and testing stages of the development lifecycle have been combined into one section as each prototype will undergo all three steps incrementally.

4.7.2.1 Spiral Prototype Lifecycle

As described above, the programmable reactor will be built through a series of prototypes. The figure below depicts the stages in prototype development.

Page 84: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 84 of 107

The important thing to note is that the project proceeds through steps one and two incrementally, then steps three and four are repeated through a series of prototypes. The final prototype then emerges with full functionality to be validated as a complete system in step five. Before that system is sent to full production, it will likely undergo site testing where the customer can verify functionality, offer feedback to the equipment manufacturer, test in an operationally realistic environment, and develop confidence in the new product. At the end of the cycle, in step six, the final product is completed and delivered.

4.7.2.2 Prototypes

At this stage in development, four prototypes have been identified. These prototypes introduce major functionality and technology incrementally, using a "build a little, test a little" philosophy with the final prototype emerging as the final, fully functional product. The following table briefly describes the main focus of each prototype.

1.0 RequirementsAnalysis

2.0 RequirementsSpecification

3.0 Design and BuildPrototype System

4.0 TestPrototype

5.0 Validate andEvaluate System

6.0 Use andMaintain System

Page 85: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 85 of 107

Prototype # Technology Added/Focus Description 1 Single Nozzle • Manual control and sensing of flow rate.

• Measurement of deposition and uniformity to evaluate reactor performance.

• Testing verifies basic theory and models. 2 Multiple Nozzle • Manual control and sensing of flow rate.

• Measurement of deposition and uniformity to evaluate reactor performance.

• Testing verifies nozzle interaction and models. • Increment number of nozzles until final configuration is

achieved. 3 Sensors • Introduce final sensors into the reactor

• Verify sensor output 4 Full Automation • Full control of process through software

• Automation and control may be introduced run-to-run prior to proceeding to insitu, realtime control

• Verify feedback mechanisms and models. • End product is the final, fully integrated system

Each of these prototypes will proceed through the same testing process. Testing will consist of a series of trial runs on test wafers. The objective is to verify uniformity first, then proceed with programmed non-uniformity. During this testing destructive testing is not an issue. It may not be beneficial or cost-effective to invest in non-destructive test equipment. For the purposes of this project a Scanning Electron Microscope (SEM) can be used to test the wafer thickness. During the development and testing process, each prototype design, as well as the process models, will be optimized. The following figure depicts the prototype/wafer verification process.

Page 86: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 86 of 107

4.7.3 Full-scale Production

Once a design has been finalized and fully verified during the previous phases through prototyping and other methodologies previously mentioned, that design will be put into full-scale production. This can be done one of two ways, either produce the reactors directly or sell/license the product to existing equipment manufacturers. Either way, the initial production schedule should be based on some level of market research and advanced orders. The production line itself may require some modifications to build this new type of reactor. These modifications should be completed in parallel with the reactor design development and prototyping so no unnecessary delay is introduced into the overall project lifecycle. Some of the parts of the reactor, the susceptor for example, may be

Build Prototype

Run test wafer for uniformity

Uniformity achieved?

Run test wafer for Programmed Non-uniformity

Non-uniformity achieved?

Accept Prototype

Consistent with Model?

Accept Model

Design/ Modify Model

Optimize Design

Page 87: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 87 of 107

purchased from existing suppliers, while some parts may need to be manufactured on site. For example, the showerhead will likely be assembled as the reactors are built. The production facility shall have a quality control process in place. This will ensure that each reactor, or a sampling of each lot, undergoes a necessary amount of inspection and testing to validate that it meets specifications.

4.7.4 Installation Transition, and Training

Once the reactor is ready for operations, it will be necessary to install the equipment into the wafer fabrication line or “fab”, perform any necessary training for fab personnel, and begin chip production. As previously mentioned, the intent is to minimize the impact to ongoing operations, therefor minimizing lost production and profits. Transitioning the system to operations is likely to include a period of site verification and system calibration. Training should be included for both system operations and maintenance activities.

4.7.5 Operations and Maintenance

Section 5.7 details the operations plan for the reactor. The intent is to maintain maximum modularity in both hardware and software. This allows for flexibility in implementation and opportunities for easier expansion as the industry changes. For example, the showerhead could be modified to add nozzles to accommodate larger wafer sizes. This flexibility is not intended to add a lot of complexity to the equipment; specifically, maintenance times should not increase significantly over today’s reactors.

4.8 ECONOMICS, COO MODELING, AND BUSINESS DEVELOPMENT

4.8.1 Business Development and Economics

This section will deal with the problem of developing the business in order to pursue this new technology. Specifically, the kinds of issues that would need to be addressed in order to develop a viable business plan and prove economic feasibility. Two ends of the decision spectrum can be captured in the following question, ‘should we pursue a start-up company, to market this technology, or should we develop it to the point where we can license it to a competitor?’ This section will not attempt to provide the answer or the exact business plan needed to support the decision. Rather, this section will only setup the ‘problem space.’ This section will first describe the supply chain of the industry, look at competitors, address some of the key factors that would impact our decision, and finally look at the economics needed to break even. The major references used for this section are contained in Appendix A.

Page 88: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 88 of 107

4.8.1.1 Supply Chain

This industry is essentially vertical aligned. The entire stream of participants (i.e. raw material suppliers, equipment providers, chip manufacturers, products using the chips) work together to achieve a ‘win-win’ objective. The financial troubles or successes of any piece of the chain affect the rest. For example, when chipmakers are not selling, they then do not purchase new equipment. If raw material suppliers are having difficulties, then that may impact the equipment manufacturers depending upon it. The establishment of the International Technology Roadmap for Semiconductors shows an industry trying to synchronize and coordinate the services/products. In addition, the main consortia of organizations also try to coordinate the industry effort. For example, the industry is looking right now at going to lower than .25 micron processing. So, everyone, from wafer producers to equipment vendors to suppliers, is looking at the impact upon them to make this happen. Table 5.9.1-1 shows the supply chain.

Table 5.9.1-1 Supply Chain Product / Service Companies (not inclusive) Systems using the chips: HP, IBM, Motorola, Dell Chip Manufacturers: HP, IBM, Intel, AMD,

Motorola Equipment Producers: AMAT, Lam Research, FSI-

International, Novellus Systems, Canon, Varian

2nd Tier: (List of the types of products used to make the equipment)

Software, Gases, Silicon, ‘knobs’, raw material, valves,

flow controllers

4.8.1.2 Main Competitors

Looking back at the industry supply chain (Table 5.9.1-1), we see that the new technology exploited by our product falls into the ‘Equipment Producers’ category. In the semiconductor industry, there are many equipment manufacturing companies. These companies, therefore, would be our competitors. Our competitors are both domestic and foreign and range from small to big. The trend in this industry is for the small to be either bought up by the big firms or, to stay alive, merge or form partnerships. The 1998 annual sales ran from the millions (e.g. $217M for FSI-International) to the billions (e.g. $3.5B for Applied Materials). A quick look has been taken at several U.S. companies, through their annual reports, to understand what they spend in Research & Development (R&D) and what they receive in sales for their equipment. The intent is to get a rough idea on what the engineering economics would be for a start-up. The companies analyzed were Applied Materials (AMAT), Varian Semiconductor Equipment Associates, Lam Research, Novellus Systems, and FSI-International. Consult Appendix B for more details of these companies. Overall, companies in this industry are spending sizable amounts yearly in developing the ‘next’ new tool. Moreover, the focus is not just providing the

Page 89: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 89 of 107

piece of equipment, but a ‘solution’ along with that tool. The equipment manufactures are now looking at the problems of their customers and asking ‘how can we solve that?’ For example, Varian has established a ‘virtual technical service;’ Where a customer can use virtual technology to interact with the tool manufacturer when a piece breaks down. Varian’s idea is that by decreasing the time a customer’s tool is off-line (lost productivity), the customer wins and subsequently so does the vendor. In addition, most of these companies have a significant portion of their customer base in foreign companies. This percentage can be anywhere from 40% to 50%. This indicates the extreme international nature of this industry.

4.8.1.3 Main Customers

4.8.1.3.1 Potential Customers

As we begin to establish a customer base, we will need to keep in mind customers’ changing needs, emerging technological trends, mix/configuration of products, macroeconomic factors (out of our control), suppliers’ economic stability, seasonal fluctuations, etc. We will need to intelligently ‘guess’ what our customers will want or be interested in trying. Some macroeconomic factors that may influence us concern money exchange rates, since a lot of this market involves overseas companies. Potential customers must keep a balance between the amounts they invest in, or spend on, new companies and their own revenue and marketing strategies, all other things being equal. Although the market may be right for our product, if other companies don’t have the funds to spend, we will obviously have trouble making sales. As seen in the previous section, a future trend that seems to be taking shape involves the possibility of our customers expecting more from us than simply supplying equipment. In the future, equipment manufacturers will more and more be expected to provide not only manufacturing tools, but also productivity and process solution tools. We will need to remain current in software development and up-to-date in the semiconductor industry. Potential customers could be just about anyone: “The $60 billion worldwide semiconductor and flat panel display (FPD) equipment and materials industries supply the raw materials and advanced tools to produce every single semiconductor-based and flat panel display product, from telephones to laptop computers. These industries include: Semiconductor and FPD production equipment; Silicon and advanced materials; Components and subassemblies; Clean room equipment and supplies; and Robotics and factory automation gear.” (SEMI)

Page 90: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 90 of 107

However, we need to narrow down the field to get useful information on what type of investments at which we may be looking. The largest semiconductor purchasers appear to be Hewlett Packard, IBM, Texas Instruments, Intel, and Motorola.

4.8.1.3.2 Customer spending

Customer spending is on the increase. Speculation on reasons for this would include the emerging 300mm technology. Also, the market is on an increase from a slump after peaking in November 1997. Texas Instruments, the world’s leading manufacturer of digital signal processors and mixed signal chips, with a capital-spending budget of $1.0 billion for ’98, increased to $1.3 billion for ’99. Samsung Electronics was up to $1.2 billion in ‘98, from $1.0 billion the year before. The world’s Largest Chip Foundry, Taiwan Semiconductor Manufacturing Company, had the largest increase in spending for 1998: $1.27 billion, up from $760 million. Motorola spent $1.0 billion in ’98, ST Microelectronics N.V. $1.2 billion, Hewlett Packard $1.997 billion, and Intel spent $4.032 billion – all in 1998. All of the previous information was taken from the companies’ 1998 annual reports and is also listed in Table B-2. It is important to note that the figures represent “property, plant, & equipment” lumped together. The only company to break down its spending into “machinery & equipment” and “land, buildings, and improvements” was Intel. Of the $4.032 billion spent in 1998, all but approximately $850 billion went directly towards “machinery & equipment”. Since Intel was the only company to break down its spending costs, we can try to utilize their company as a ‘guide’ to the general industry. Over the last 5 years, Intel has been slowly increasing its spending on machinery & equipment – from approximately $1.6 billion in 1994 to approximately $3.2 billion in 1998. There was a large increase, ~$1.9B to ~$3.3B, from 1996 to 1997, followed by a slight decrease in 1998 (probably due to the market slump that same year). Going by Intel alone, there is no reliable way to estimate the percentage of spending on “machinery & equipment” with regards to overall “property, plant, & equipment” spending by semiconductor companies. Intel’s spending, as a percentage of overall property spending, followed no distinctive pattern. See Table B-3 for a clear list.

4.8.1.4 Issues to Consider

4.8.1.4.1 Financial State of The Industry

Following several years of slump, indicators from several sources (Ref 1 & 2) indicate that a recovery is well underway. The Semiconductor Equipment & Materials International (SEMI) consortium indicates that the expected growth in new equipment expenditures will go from $23B in 1999 to $50B in 2004 (Ref 1). These numbers are also stated in a presentation given by the semiconductor capital equipment analyst of

Page 91: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 91 of 107

Dataquest, an industry research group (Ref 5). This represents a 17% annual growth. Wafer processing equipment, in particular, was 65% of last year’s world wide equipment sales. The S&P I.S. (Ref 2) indicates that the last three month’s average booking of new equipment was $143B, an increase of 141% from Sep 1998. Furthermore, orders improved for eight straight months. The Semiconductor Industry Alliance (SAI) indicated that the chip market grew by 7.3% in late 1998. In response to this, companies like Motorola and ST Microelectronics plan to increase spending on new equipment. Overall, this information indicates that a lot of potential customers are ready to spend large amounts of money on equipment. Furthermore, the market for the product made with these pieces of equipment is growing. A jump in equipment purchase of $27B should be plenty enough for us to tap into. Unfortunately, we do not have the equipment developed and ready to sell at this point. The indicators described above paint a great picture of what we ‘expect’ to be waiting for us in four to six years. In fact, the industry may fail to realize the high expectations and provide a situation in four years where none of our customers are spending money. There are two arguments to address this uncertainty. One is the historical performance of the industry. Having just started to shrug off the last recession, the chances are not high that the industry would slip into another one. In addition, the equipment industry, on average, has been a consistently strong performer. The last six years has shown an overall solid growth, on new equipment orders (Ref 2, pg. 16). In looking at the market over the past 5 years, whenever there has been a decrease in sales, it has lasted no more than a quarter or two. Furthermore, with the ever-increasing amount of new products using silicon chips and the increasing sales of current products using chips (i.e. computers) there is no reason to believe that the chip manufacturers will see a downturn on demand for their product. Subsequently, the equipment manufacturers should not expect a decrease in demand for their product either. The second point is that much of the current capital base was set in place in 1995 (Ref 2, pg. 5). Given a historical lifespan of three to five years for leading edge equipment, the current base is due to be replaced. Therefore, we should expect another massive turnover in the same timeframe as when our equipment should be ready to enter the marketplace. In conclusion, however, we can only hope (and gamble) that the market is ripe for our product when we begin production, but again “timing is everything.”

4.8.1.4.2 Wafer Size

Another part of the current problem space is wafer size. The majority of the industry is currently at 200mm. There are only several 300mm lines in operations (Seimans and Motorola). Even though the transition within the industry hasn’t happened, it is expected to do so. Therefore, our business plan needs to look at when the industry transition will be in full swing. If we are ready before the market, we may have to wait or help create the market. Furthermore, we need to look at the results of the several 300mm lines in existence. For example, Intel is spending $1.2B to equip their Oregon fabrication sites with 300mm technology (Ref 3, p4). So, if all goes well, they will probably spend to upgrade more sites. We would then need to be ready. If things do not go well, we may have a harder time convincing others to follow. Either way, watching Intel’s transition

Page 92: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 92 of 107

will be a source of intelligence. Intel’s decision was based upon the increased savings in Cost of Ownership (COO) despite a 130% increase in cost over a comparable 200mm line. We may be able to assist the industry’s move if we show a lower COO relative to other 200mm lines. Overall cost estimates for the industry to switch from 200mm to 300mm, is expected to be $15B-$20B. Looking down the road, we need to consider whether the industry will start to move to another size (e.g. 400mm)? Our business plan would need to consider the impact of our scaleable design on meeting increasing wafer sizes. Current versions of the roadmap (The National Technology Roadmap for Semiconductors) should be consulted. Our business plan can then be adjusted accordingly. Another aspect to this issue is smaller wafer sizes. We may want to consider having the scalability include going down to 4inch or 6 inch wafer sizes. This feature can target new process development, where a smaller wafer is desirable.

4.8.1.4.3 Available Skills and Initial Location

The current unemployment numbers in the U.S. need to be considered. The S&P I.S. indicates a worldwide shortage of skilled labor (Ref 3, p14) for this industry. In addition, overall unemployment is very low. With a shortage of labor and low unemployment, we need to consider the problem of attracting and retaining the skills needed to develop and sustain our product for the first several years, at a minimum. The types of skills needed would be engineering, marketing, sales, and service. Moreover, a major concern is the high employee turnover in this industry. A start-up depends upon having a core group of employees that will be maintained until sufficient inertia is achieved. Having employees lured away by bigger companies for higher salaries is a real concern. Our business plan needs to consider what incentives could be used. In conjunction with this issue, we need to address the company’s initial location and the impact upon employee recruiting. Would skilled labor want to move to a rural environment or a metropolitan area? Potential employees will look at quality of life, basic infrastructure, and schools (for children) just to name a few. In addition to the impact upon employees, the choice of initial location will mean other issues for the business plan to consider. For example, will the local community accept us? Will the community be concerned as to what impact our company may have upon their environment? We need to address the issues of zoning and permit and their impact on our timeframes.

4.8.1.4.4 Suppliers and Distributors

Looking at the vertical nature of the industry, our business plan needs to address who our material suppliers are going to be and how to market to potential customers. Within the U.S., equipment is sold to customers primarily through a sales force. Foreign companies predominately use a distribution network. If our customer base will initially be in the U.S., then we only need to look at our sales force. However, if we try to enter the foreign market, we need to consider how to establish a distribution network. Purchasing an

Page 93: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 93 of 107

existing network may be cost prohibitive. ‘Piggy-backing’ on an existing network will also involve some cost.

4.8.1.4.5 Development Costs

While exact data on equipment development cost (money and labor) was not determined, both Applied Materials’ and Novellus’ annual reports (Management’s Discussion and Analysis section) indicated the approximate size for these amounts. For AMAT, R&D spending increased from $481M in 1996 to $568M in 1997, increase of $87M, due to the early stages of 300mm products. Novellus increased spending for R&D from $53.9M in 1996 to $106.5M in 1998. Not only does this echo previous statements on the importance of constantly developing tomorrow’s product, but of the magnitude of money needed to sustain tool development. As seen from Appendix B, R&D cost can amount to 15%-20% of annual sales.

4.8.1.4.6 Time Required

As stated before, R&D costs are large. According to S&P I.S., the average time to start generating sales is 3-4 years (Ref 2, pg. 16). Once orders are placed, they can take 5-6 months to fill. This means that profitability is usually not seen for up to six years. Therefore, our business plan needs to address how to keep financially viable the first 6 years (Ref 2, p16). If we do not realize a breakeven point of 5 years, at best, and 6 years, at worst, our probability of survival will be low. This is because the generation of tools after ours is being developed and would be ready for market. Equipment has a short window in order to capture market share, before it is considered ‘obsolete.’ Section 5.9.2 looks at this issue in more depth.

4.8.1.4.7 Re-Use

According to the S&P I.S., technology cycles run about three years. Afterwards, a wholesale replacement of equipment was needed for semiconductor producers to advance to the next technology node. Given that the unique aspect of our system is the programmability, we need to prepare projections on how long our equipment would be fielded and stay competitive. If we could show that our equipment was usable for 5 years versus 3 years, then economic comparisons by a customer would point to our favor. The issue of the effects of reusability for new process points will be handled in the Cost of Ownership (COO) section.

4.8.1.5 Economics

This section will deal with the issue of what economic considerations are needed to ensure a start-up company could survive the first few years. Another viewpoint of interest is showing what kind of Return on Investment (ROI) could be given to an investor. For our immediate concern of what it would take to financially survive, a break even analysis is used. To do the breakeven period, a simple Net Present Value (NPV) model will be

Page 94: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 94 of 107

used. The idea is to look at the present value of all benefits and all costs. Determining when the costs equal the benefits will be our breakeven point. Afterwards all benefits will start to yield a profit. For the purpose of simplification, costs will be defined to be the initial investment, Ck, and the recurring costs, Cr. The initial investment is for items like facilities and equipment. The recurring costs would be items like salaries (if any!), supplies, rent, and utilities. Annual benefits, B, will be in the form of sales for the equipment. Given that this is a private venture, a choice of 10% to 15% should be used for the discount rate (Ref 3, p230). In order to appear attractive and look at the worst case, the calculations in this report will assume a 15% discount rate. Going back to 5.9.1.5, we will assume that we want to make the ‘time to profit’ be six years. Any more than six years and the probability of missing the ‘window’ becomes too great. This means that at six years, we want a NPV = 0 (our benefits will equal the costs). A simple model would show a Ck at year 0, and Cr for years 1-6. We will also assume that sales are not generated until year four. This gives us a ‘B’ for years 4-6. In order to support these timeframes, an assumption is made that we will have a working prototype at year three. The prototype needs to be at a maturity level for ‘Beta’ testing to be feasible. Therefore, our model looks like:

Figure 5.9.5 – 1 Economic Model The first hashmark represent T=0 (present time) and each succeeding hashmark indicates the end of a year. Therefore, the last hashmark indicates T=6 (the end of year six). Appendix C solves this model and presents the following formula: Eqn 1: B = (Ck + 3.784 * Cr) / 1.501 This is for a 15% discount rate. Given this, what would realistic cost numbers look like? Attempting to put real numbers to this model gives real concern as to their validity, especially since comparable situations are not discussed in the public sources researched. However, we may attempt to look at relative weight of the numbers. Looking at table B.1, we see that sales and costs per capita of employee between AMAT and Varian are consistent. Moreover, all companies discussed are consistent in their spending in R&D

Benefits

Cr

Ck

Page 95: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 95 of 107

versus annual sales. We will assume that our business will follow the same pattern and have recurring spending about 15%-20% of sales. Being conservative, we will set our Cr = 0.20 x B. Putting this into equation 1, we get Eqn 2: B = 1.344 * Ck. Therefore, given an initial investment, we must have sales equal to 1.344 times that amount in years 4-6 in order to breakeven. As far as initial cost, Ck, we would expect some differences in either pursuing a ‘start-up’ or developing and then licensing. If we license, then development is only going to occur until we turn it over to a current manufacturer. If we pursue a start-up, we will want to invest for the long-term. Therefore, our Ck would be higher for the start-up path than the licensing path. Table 5.9.2-1 shows the results of varying the initial investment and what the required benefits would be. Once the Benefits are predicted, we can look at how many tools will need to be sold to generate the benefits. If we assume an average of $2M per tool, we see that we would need to sell three to seven tools a year for three years to generate the benefit value in table 5.9.2-1. Table 5.9.2-1 Benefits to Break Even

Ck B $5M $6.7M $6M $8.1M $7M $9.4M $8M $10.8M $9M $12.1M $10M $13.4M

Follow-on analysis should look at the how reasonable are the choice of timeframes and discount rates. More accurate numbers for a start-up situation need to be developed. Finally, the model’s fidelity needs to be improved. In particular, the profile of the benefits has a constant value. It is more probable that sales take a more geometric profile, starting lower and rising as the customer base grows. An attempt was made to normalize the data per capita employee. This proved unfeasible because the number of employees would change at a non-trivial rate each year. The current field of competitors has a fairly constant employee base. For a start-up, acquiring or losing 10 people could be a full 10% of the base. Whereas for Applied Materials, 10 people is insignificant. Therefore, an understanding of the number of needed employees per year should be developed.

4.8.1.6 Summary

An organization like Dataquest should be used to conduct a base level of market research for us. We would be interested in knowing if customers would be willing to purchase a tool like ours. We would like to understand how much the customer might be willing to spend for the programmability our tool provides. This may involve utility measurement (or multi-attribute utility theory) studies. Furthermore, we would like to know if the

Page 96: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 96 of 107

competition is developing a ‘like’ product. The analysis would have to be done without alerting the competition as to what we are producing. Afterwards, we would need to look at the issues in the previous sections and develop a business plan to either develop to the point of licensing or to pursue venture capital and create a start-up. The business plan would need to address not only the factors described but account for the economic feasibility as well. Given the amount of money ready to be spent on new equipment and the easy availability of venture capital, the pursuit of developing this technology for a profit should be taken. Whether we decide to license our product or proceed directly to market will depend on many factors--whether we have a solid, reliable workforce; the market situation at the time we are ready to begin production; general consensus of our ‘board or directors’; etc. The business plan should be set up with a decision point occurring at approximately three years (around prototype timeframe). Before the decision point occurs, the business plan needs to identify the criteria required to make the decision. In addition to an economic assessment, use of Decision Analysis could be used to help see the impact on our venture due to the probabilities of various events.

4.8.2 COO Modeling

4.8.2.1 Definition

Cost of Ownership (COO) is the full cost of embedding, operating and decommissioning a processing system in a factory environment. It is a growing factor in evaluating semiconductor equipment purchases and machine design. In 1990, SEMATECH and other industry experts promoted COO to evaluate equipment purchases. “Overall equipment effectiveness (OEE) is a measure of the productivity of manufacturing equipment. Originally developed in Japan as part of total productive maintenance (TPM) to improve overall factory operations, OEE is the efficiency metrics of availability, performance and quality. Equipment availability includes both scheduled and unscheduled equipment downtime, regardless of cause. Performance encompasses standby or idle time, minor interruptions and reduced equipment production speed. Quality covers loss of productivity that is due to rework and yield losses.” (Dance/ Jimenez/ Levine, 1998) Which project will provide the largest cost benefit on productivity and manufacturing? The answer can be found by prioritizing engineering projects. Project evaluation starts with a baseline COO analysis on a fully loaded single machine. Once a baseline COO is run, projects of interest can be evaluated. By modifying one parameter at a time, the impact of the specification changes can be determined using COO and OEE.

Page 97: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 97 of 107

Equipment Performance Metrics

4.8.2.2 Importance and relevance to semiconductor manufacturing

About 90% of semiconductor producers think COO is very or somewhat important to their company. Equipment makers put great stock in COO as well: 54% say it is very important, and another 31% believe it is somewhat important. And nearly 75% say their use of COO analyses will increase over the next 12 months.

SEMATECH estimates that in new fabs, the average equipment’s OEE runs about 30 % while that of the bottleneck tools is about 45 %. SEMATECH states that reasonable OEE objectives are 65 % for the average tool and 85 % for the bottleneck. Thus the potential for efficiency gains is tremendous, translating directly into profitability. For example, in a 5000 wafer starts per week fab, an increase in OEE of the bottlenect tool from 45 % to 50 % results in an additional $25 million in net profits per year because of the increased capacity (assuming $2000 revenue and $1000 cost per wafer).

Lot buffering, adding extra cassette stations with automatic feed to wafer processing equipment has been shown to to have a significant impact on productivity of a fab with little or no increase in capital investment.

4.8.2.3 COO Analysis from an equipment supplier point of view:

COO analysis not only helps the device manufacturers and equipment users to evaluate productivity, but also helps equipment suppliers. Some of the benefits from a equipment supplier point of view: System design: COO analysis provides an excellent tool for setting system design priorities that meet customer needs. Customer supplier communications: Help develop better relationship because of flow of information.

Cost-of-Ownership

Production Volume Equipment Cost OEE Scrap/waste Operations cost

Performance Availability Quality

Idle time

Equipment speed

Reliability

Maintainability Yield loss

Rework

Page 98: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 98 of 107

Competitive analysis: To know where one’s company stands vis-à-vis the competition, to know why an order was lost. COO analysis could give suppliers a quantitative assessment of the merits and deficiencies of their products and services. Pricing: With COO analysis, an informed company can determine if an offering is cost-effective and can set its price accordingly. Sensitivity analysis: To get a much better understanding of the total economic impact of system design. Intelligent selling: Equipped with information-oriented, quantitative data based selling.

4.8.2.4 Methodology

4.8.2.4.1 Assumptions

• We are using the software Two-Cool® Version 2.3 © 1992-1998 Wright Williams & Kelly. Various inputs go into the COO calculation. These could be classified

as: Fab parameter input

- Throughput data - Process data - Administrative rates

Model specific data input

- Throughput data - Equipment data - Process data

Each of the above said six( three of fab parameter and three of model specific input) have multiple inputs. • We are assuming that certain inputs either would not change or would not change significantly enough to make a big impact on COO. Some of such inputs are as follows: - Salvage value of equipment - Depreciation life and depreciation method - Insurance , interest paid on the equipment - Salary rates : Engineering, supervision, operator, maintenance. - Transportation and installation cost of equipment - Training costs for operators, engineers , maintenance - Floor space cost for the system - Utility expenses : Consumption of electricity, natural gas, water, bulk chemicals, specialty chemicals, bulk gases, protective clothing, office and computer supplies - Waste disposal costs per system

Page 99: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 99 of 107

4.8.2.4.2 Significant factors

- Technology relevant life of equipment With the concept of programmability and the ability to configure the equipment for newer processes, the technology relevant life of the equipment could be increased. With increase in such life, the cost of ownership is brought down as shown in the figure. The benefits achieved by such increased life tend to stabilize because of the potential increases in maintenance costs of the equipment as the

equipment becomes older.

LIFE OF EQUIPMENT VERSUS TOTAL COST PER GOOD WAFER EQUIVALENT (COO)

4.8

5.0

5.2

5.4

5.6

5.8

6.0

3 4 5 6 7 8 9 10

Life of Equipment (years)

Tot

al C

ost P

er G

ood

Waf

er E

quiv

alen

t (C

OO

) ($

)

Page 100: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 100 of 107

Capital cost : equipment hardware and software In our case, we see that the capital cost of the equipment would increase because of the added features in terms of programmability, in-situ sensing and complex control. The increase in equipment increases the total cost per good wafer equivalent as shown in the figure below.

Equipment Maintenance: Reliability MTBF and Maintainability MTTR

EQUIPMENT COST VERSUS TOTAL COST PER GOOD WAFER EQUIVALENT (COO)

5.0

5.1

5.2

5.3

5.4

5.5

5.6

5.7

5.8

1,000,000 1,100,000 1,200,000 1,300,000 1,400,000 1,500,000 1,600,000 1,700,000 1,800,000

Equipment Cost ($)

Tot

al C

ost P

er G

ood

Waf

er E

quiv

alen

t (C

OO

) ($

)

MTBF VERSUS TOTAL COST PER GOOD WAFER EQUIVALENT (COO)

0.0

1.0

2.0

3.0

4.0

5.0

6.0

7.0

8.0

10 20 30 40 50 60 70 80 90 100 110 120 130 140 150 160 170 180 190 200

Mean Time Between Failure (hrs)

Tot

al C

ost P

er G

ood

Waf

er E

quiv

alen

t (C

OO

) ($

)

Page 101: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 101 of 107

Mean-Time-Between-Failure (MTBF) is a critical component of the an equipment’s OEE because it is a measure of success over the control of unplanned downtime which constitutes 15 % in OEE.( figure below). While the COO does come down for increase in MTBF from 10 to 70 hours, above 90 hours, the system reliability no longer significantly affects the capacity utilization and costs stabilize. Maurice Claoutier(12) states about an example where in using TWO-COOL COO model it was found that MTBF improvement from 250 hours to 500 hours (50%) has yielded only 1 % improvement in wafer output and less than a 0.05% reduction in COO.

Slettehaugh and London(7) in their paper say that, according to SEMATECH estimates, in new fabs, the average equipment’s OEE runs about 30 %, while that of Bottleneck tools is at 45 %. SEMATECH states that reasonable objectives are 65 % for the average tool and 85 % for the bottleneck. Thus the potential for efficiency gains is tremendous, translating directly into profitability. For example, in a 5000 wafer starts per week fab, an increase in OEE of the bottleneck tool from 45 % to 50 % results in an additional $25 million in net profits per year because of the increased capacity (assuming $2000 revenue and $1000 per wafer).

MTTR VERSUS TOTAL COST PER GOOD WAFER EQUIVALENT (COO)

5.15

5.16

5.17

5.18

5.19

5.20

5.21

5.22

5.23

5.24

5.25

3 3.5 4 4.5 5 5.5 6 6.5 7 7.5 8 8.5 9 9.5 10

Mean Time to Repair (hrs)

Tot

al C

ost P

er G

ood

Waf

er E

quiv

alen

t (C

OO

) ($

)

Page 102: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 102 of 107

- Process change costs during regular production. The concept of programmability takes us closer to a flexible manufacturing

environment. With features like programmable showerhead, control over the number and size of input and exhaust nozzle recipe change costs could be brought down

- Throughput: Maurice Cloutier(6) , observes that throughput improvements assumed a higher engineering priority after his TWO-COOL COO model indicated its significant impact on COO. For example, a 6.5 % increase in throughput, from 46 wph to 49 wph, on a metal etch system has resulted in a improvement of 6.1 % in wafer output per week and a reduction in COO OF 4.6 %.

Typical OEE Breakout (7)

THROUGHPUT VERSUS TOTAL COST PER GOOD WAFER EQUIVALENT (COO)

0.000

1.000

2.000

3.000

4.000

5.000

6.000

30 35 40 45 50 55 60 65

Throughput (wph)

To

tal C

ost

Per

Go

od

Waf

er E

qu

ival

ent

(CO

O)

($)

Page 103: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 103 of 107

4.8.2.5 Equipment benchmarking

Equipment benchmarking allows the semiconductor equipment supplier the ability to gauge their products to industry requirements and best-of-breed performance and processes. Some of the areas for good impact on benchmark results are yield, productivity, maintenance and consumables.

4.8.2.6 Conclusions & COO Analysis

From the sensitivity analysis obtained through TWO-COOL™ , it is clear that the increased technology relevant life of equipment brings in savings for total cost per good wafer equivalent. On the other hand, increased complexity of the equipment because of the programmability tends to cause increased equipment maintenance. Frequent breakdowns could be expected. Also, the time taken to repair the equipment could be more because of the relative novelty of the equipment. Thus the MTBF could decrease and the MTTR could increase. This results in increase total cost per good wafer equivalent. Hence this tends to balance the decrease in total cost per good wafer as obtained through the increase of technology relevant life of equipment. One another interesting feature is the part played by Throughput in bringing down the total cost per good wafer equivalent. When we de-couple uniformity from performance of the equipment, higher throughput may be achieved at the same material quality. Thus throughput benefits tend to stand out from the balance achieved between the increased life of equipment and the costs associated with increased equipment complexity.

It is very clear that OEE plays a big role in Cost of Ownership. The areas for improvement in the pie chart shown earlier for OEE breakdown, include, test wafers(8%) and speed(15%). As said earlier, increase in OEE directly increases the profitability. Though the lesser MTBF due to increased equipment complexity and novelty tends to increase the unplanned downtime(15%), over a period of time , if the equipment maintenance is stabilized, meaning if control is achieved over the MTBF and MTTR, the OEE could be increased. Even a 2 % or 3 % increase in OEE could bring potential benefits in terms of decreased total cost of ownership and hence total cost per good wafer equivalent.

As to the non-COO areas of benefit, it could be safely concluded that with the

concept of programmability, process development could become faster and cheaper, rapid yield learning could be attained and the process change costs could be brought down compared to the non-programmable counterparts. Also, less money would be lost in opportunity costs. .

4.8.2.7 Future work

Although there are almost 82% of the Semiconductor International(13) readers (July 1998) now use COO method to evaluate equipment performance, the methods of

Page 104: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 104 of 107

measurement vary widely. Results of the survey indicate a growing interest in COO, as well as a need for standardized analytical tools and educational programs for the industry. According to Robert R. Doering, extension of COO analysis to better address costs and benefits in a flexible manufacturing environment could be a interesting future work.

APPENDIX

Page 105: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 105 of 107

APPENDIX (4.8): Details on procedure of the Model tuning process. We explain the procedure to tune the model as outlined in [3] [4] and the figure below. For details on Exponential Weighted Moving Average (EWMA) please see [5]. For the small shift in the process the slope b of the model is kept constant. 1.By the original model, the input tx will calculated with an expected output equal to the

target. The input value for the tth run will be calculated by using:

xt = (T- at-1 ) / b where T is the desired target deposition rate, at-1 is the intercept from previous run and b is a slope from the simplified model. 2. After running the process at tx setting , the actual output ty is measured.

3. To get the actual output closer to the target, the intercept estimate for the next run should be

where w is an weight where 0<w<1. The suggested value of w is between 0.1-0.3. The smaller the value of w, the greater the influence of the historical data [5]. 4.The input for the next run is then calculated by using the new intercept, the target deposition T and keeping slope b constant in equation I. Output: y

Input: x

Fig. The tuning model for a small shift.

xt-1

model setting

actual setting

xt

revised model

at-1

at Target

Page 106: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 106 of 107

Reference (Processes 4.2) [1] Chang C.Y. & Sze S.M., VLSI Technology, McGRAW-Hill International Editions, 1996 [2] Rubloff G., Lectures in Systems Design for Microelectronics Manufacturing Processes, 1999 [3] Moslehi M, Distributed-Array Magnetron-plasma Processing Module and Method, United States Patent, No5082542. [4] Moslehi M., Programmable Multizone Gas Injector for Single-Wafer Semiconductor Processing Equipment, United States Patent, No5453124. [5] Kim T.Y., Noh S.J., Jing J.K. and Chung K.H., Recent results of multi-cathode electron beam plasma source, Thin Solid Films, 345, 178-181, 1999 [6] Gary S.Selwyn, Method and apparatus for tuning field for plasma processing using corrected electrode, United States Patent No. 5716486 References (sensing systems and integration 4.4): [1] Jun Pei, F.Levent Degertekin, B.V. Honein, Butrus T. Khuri-Yakub and Krishna C. Saraswat, In-Situ Thin film thickness measurement using ultrasonic waves, IEEE Ultrasonics Symposium, 1994, p. 1237-1240 [2 R. K. Waits, Semiconductor and thin film applications of a quadrupole mass spectrometer, J. Vac. Sci. Technol. A 17(4), July/Aug 1999, p.1469. [3] R.Rosenberg, D.Sander, and M.Liehr, Proceedings of the Microcontamination Conference, San Jose, CA, September 1993 (Cannon Communications, Santa Monica, CA 1993), p.99. [4] L. L. Tedder, G.W.Rubloff, I. Shareef, M. Anderle. D .-H. Kim, and G.N. Parsons, Real-time process and product diagnostics in rapid thermal chemical vapor deposition using in situ mass spectrometric sampling, J. Vac Sci. Technol. B 13 (1995) p.1924. [5] L. L. Tedder, G. W. Rubloff, B. Conaghan, and G. N. Parsons, Dynamic Rate and Thickness Metrology during PolySi RTCVD from SiH4 using real-time In-Situ Mass Spectrometry, J. Vac. Sci. Technol. A 14 (2), (Mar/Apr 1996), p 267-270. [6] T. Gougousi, Y. Xu, J.N. Kidder, G. W. Rubloff, and Charles R. Tilford, Process diagnostics and Thickness Metrology for the Chemical Vapor Deposition of W from H2/WF6 using in-situ Mas Spectrometry, J. Vac. Sci. Technol (submitted Nov.1999) [7] L. Henn-Lecordier, J.N. Kidder, G. W. Rubloff, C. Gogol, and A. Wajid, Reaction sensing in multicomponent CVD processes, AVS talk, Seattle, WA., Oct. 1999. [8] C. Gogol, A sound way to measure gas composition, Research and Development, Vol.41, No.8, July 1999, p.29 [9] A.Wajid, C.Gogol, C. Hurd, M. Hetzel, A. Spina. R. Lum. M. McDonald, R.J. Capik, A high-speed high-sensitivity acoustic cell for in-line continuous monitoring of MOCVD precursor gases, J. of Crystal Growth, 170 (1997) p. 237-241 [10] M. Richter, M. L. Spartz. P. R. Solomon, P. A. Rosenthal, Exhaust gas monitoring: New window into semiconductor processing, Solid State Technology, May 1999, p. 61

Page 107: SYSTEMS DESIGN FOR MICROELECTRONICS MANUFACTURING PROCESSES

ENSE 623/ENMA 659S

Page 107 of 107

References: (Operation and Control 4.6) 1. S. Sivaram, "Chemical vapor deposition," New York, Van Nostrand Reinhold, 1995. 2. J. A. Stefani, S. Poach, S. S. Saxena, and P. K. Mozumder, "Advanced Process

Control of a CVD Tungsten Reactor," IEEE Trans. Semiconductor Manufact., vol. 9, pp. 366-135383, 1996.

3. S. Saxena, P. K. Mozumder, and K. J. Taylor, "Simultaneous control of multiple measures of nonuniformity using site models and monitor wafer control," IEEE Trans. Semiconductor Manufact., vol. 9, pp. 128-135, 1996.

4. E. Sachs, A. Hu, and A. Ingolfsson, “Run by run process control: combining SPC and Feedback control,” IEEE Trans. Semiconductor Manufact., vol. 8, pp. 26-43, 1995.

5. J.S. Hunter, “The exponentially weighted moving average,” J. Qual. Technol., vol. 18, pp. 203-210, 1986.

References (COO Modeling 4.8) 1. Aaron Sletttehaugh, Amir London, “Impact of Lot Buffering on Overall

Equipment Effectiveness” Semiconductor International, July 1998, p153-8 2. Robert R. Doering, “Cost-of-ownership issues in a flexible manufacturing

environment” Solid State Technology, February 1994, pp. 39-42 3. Richard L. LaFrance, Stephen B. Westrate, “Cost of Ownership: The Supplier’s

View” Solid State Technology, July 1993, pp. 33-37 4. David W. Jimenez, Howard J. Ignatius, “Applying cost-of-ownership simulation

to wafer sort and final test” Solid State Technology, July 1994, pp. 109-110 5. Helen Armer, “ Applying Cost of Ownership Modeling to Gas Distribution

Equipment” Semiconductor International, December 1998 6. Daren L. Dance, David W. Jimenez, Alan L. Levine, “Understanding Equipment

Cost-of-Ownership” Semiconductor International, July 1998 7. Daren L. Dance, David W. Jimenez, Alan L. Levine, “Cost-of-Ownership

Survey” Semiconductor International, July 1998