Power Management in Ultra-Low Power...

57
Robu st Low Powe r VLSI Robust Low Power VLSI PhD Proposal 03/01/2016 Abhishek Roy Power Management in Ultra-Low Power Systems

Transcript of Power Management in Ultra-Low Power...

Page 1: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Robust Low Power VLSI

                                                  PhD Proposal 03/01/2016 Abhishek Roy

                                                 Power Management in Ultra-Low Power Systems

Page 2: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Motivation

3/2/16   2  

Source: Cisco

Page 3: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Motivation for Ultra-low Power (ULP) systems

3/2/16   3  

§  System integration §  Small form-factors §  Longer operational

lifetime for ubiquitous deployment

=>Need for Energy Harvesting and Power Management System

Source: h(p://iner/a.ece.virginia.edu/engineering-­‐research/body-­‐area-­‐sensor-­‐networks

Page 4: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Block Diagram of a BSN SoC

3/2/16   4  

Source: Klinefelter et al. ISSCC 2015

Accelerators

4-Channel, 16-tap FIR

16-pt, Complex

FFT

CORDIC

4-Channel AFE

8-bit SAR ADC

UWBTx

WuRx

4kB DMEM

2kB Tx Buffer

Multiplier/MAC

Histogram (1-3)

Heart rate (R-R) and

AFIB

ADPLL

Tx FSM

DC-DC Converter

Boost Converter w/ MPPT

Power Management

Radios

Sensing

Timer (1)

XO

Clocking

Var. Voltage SPI Pads(0.4-3.3V)

Supported Applications:- Activity detection and logging- Energy expenditure- Fall detection- Temperature tracking- Heart rate monitoring and fibrillation detection- Long-term data monitoring and statistics- Posture- Motion-artifact removal

TEG

SolarSPI (master)

B

US

1

MSP430

LCU

2kB LCU Instr Mem

2kB MSP Instr Mem

Bus Abitration

64B SPI FIFO

CTRLR

DMA CTRLR

DPM

Clk/Pwr. Gate Ctrl

Bus Controllers

CTRLR

SYS. CLK

SYS. INTERRUPT

4 GHz

400 MHz – 2.4 GHz

32.25kHz

SYS. VDD

Timer (2)

Page 5: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Proposed Contributions

3/2/16   5  

Source: Klinefelter et al. ISSCC 2015

Accelerators

4-Channel, 16-tap FIR

WuRx

DC-DC Converter

Power Management

Radios

SensingClocking

TEG

Solar

MSP430

Bus Controllers

400MHz – 2.4GHz

Boost Converter w/

MPPTSYS. VDD

Page 6: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Thesis Statement(s)

3/2/16   6  

By employing the following features in the power management infrastructure of an IoT SoC: §  Fully integrated energy harvesting from multiple energy sources §  Fully integrated power-efficient supply voltage regulation §  Controlling power supply variation §  ULP digital/mixed-signal circuit components Significant advantages at the system-level such as: §  Energy-autonomy and near-perpetual system operation §  A longer operational lifetime §  Smaller overall form-factor §  System flexibility and use in a wide range of applications

Page 7: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Outline

3/2/16   7  

§  Energy Harvesting §  Supply voltage regulation § Monitoring Power supply variation § Ultra-low-power analog/digital circuit components §  Timeline and Publications

Page 8: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Outline

3/2/16   8  

§  Energy Harvesting §  Supply voltage regulation § Monitoring Power supply variation § Ultra-low-power analog/digital circuit components §  Timeline and Publications

Page 9: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Energy Harvesting: Background

3/2/16   9  

§  Circuits which:

Ø Extracts energy from ambient sources such as: Ø  Thermal energy Ø  Photovoltaic

Ø Stores energy on a storage device such as a supercapacitor or

Ø Uses the energy to charge a battery

Page 10: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Sources of Ambient Energy

3/2/16   10  

Photovoltaic Thermal

§  Seebeck Effect §  VTEG ∝ ΔT

§  Photoelectric Effect §  IL ∝ Light Intensity

VTEG

REL

ZLOAD

ILVPV

ID ISH

IPV

RSH

RS

Source: http://www.esru.strath.ac.uk/Courseware/Class-16110/Images/pv1.jpg

Source: Digi-key

Page 11: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Sources of Ambient Energy

3/2/16   11  

Source: Adapted from Tan and Panda, IEEE Transactions on Industrial Electronics, 2011

Source Power Density Characteristics Ambient Light

Indoor 100 µW/cm2 Illumination from artificial light

Outdoor 10 mW/cm2 Natural sunlight

Thermal Energy Human 100 µW/cm2@ 5°C

gradient

Thermal gradient between body heat and ambient

Industrial 3.5 mW/cm2@ 35°C gradient

Thermal gradient between machine heat and ambient

=> Extremely low available power for harvesting in case of indoor applications and wearables

Page 12: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Need for Energy Storage

3/2/16   12  

Source: Vullers et al., “Micropower energy harvesting”, Journal of solid state electronics, 2009

=> Energy storage is necessary to meet peak current demands of the system => Battery provides higher energy density, a supercapacitor provides greater charge-discharge cycles

Source Battery Supercapacitor

Li-ion Thin film

Operating Voltage (V)

3-3.7 3.7 1.25

Energy Density (W h/l)

435 < 50 6

Self-discharge rate (%/month) at

20°C

0.1-1 0.1-1 100

Cycle life (cycles)

2000 > 1000 > 10,000

Temperature range (°C)

-20/50 -20/70 -40/65

Page 13: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Energy Harvesting: Background

3/2/16   13  

§  Types of energy harvesting architectures: Ø Inductor-based Ø Charge pumps or Switched-capacitor based

§  Control Scheme consists of:

Ø  Maximum Power Point Tracking (MPPT)

Ø Low-voltage start-up scheme

Ø Powertrain-specific components such as: Ø ULP comparators for peak-inductor current control and

zero crossing detection Ø Non-overlapping clock generators, level shifters Ø Digital Logic

Page 14: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI 3/2/16   14  

LS HS

VIN VX VSTORE

ILOAD

LBOOST

MLS

MHS

Factors impacting η §  Conduction loss in the inductor ( parasitic DCR), MHS and

MLS

§  Switching loss due to turning ON/OFF MHS and MLS ; gate-control circuits

§  Leakage in MHS and MLS; control circuits

Inductor-based Boost Converters

VSTOREVIN

=1+ TLSTHS

η =POUTPIN

=VSTOREICAPVIN IIN

Page 15: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI 3/2/16   15  

VIN

RO

RL1 N

VOUT

Factors impacting η §  Topology §  Conduction loss in the switches and transfer capacitors §  Bottom plate parasitic capacitance §  Switching loss due to turning ON/OFF switches ; gate control

circuits §  Contention currents in switch control, level shifters; leakage in

switches

Switched-capacitor based Boost Converters

VOUT

VINC1

C2

η =POUTPIN

=VOUT IOUTVIN IIN

Page 16: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Maximum Power Point Tracking (MPPT)

3/2/16   16  

⇒  MPP of solar and TEG are different fractions of open-circuit voltage ⇒  MPP of solar cell changes with light intensity

TEG Voltage(V)

Pow

er(µ

W)

Cur

rent

(µA

)

Source: Kadirvel et al. “Power Management Functions for energy harvesting”, EETimes 2012

Source: Tan and Panda, “Energy Harvesting from…” IEEE Transactions on Industrial Electronics, 2011

Page 17: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Low Voltage Start-up

3/2/16   17  

Low output voltage from TEGs and indoor solar cells due to: §  Smaller size; Constrained form factors

§  Limited temperature differential, Light intensity

=> Low output voltage from TEG/solar cell §  Self-Powered system =>No secondary power source ⇒ Need a low-voltage startup circuit to begin energy-

harvesting. ⇒ Efficiency is not critical at startup

Page 18: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Energy Harvesting: Prior Work

3/2/16   18  Source: Shrivastava et al. JSSC 2015

§  Supports TEG or PV ( Not both together)

§  Cold-start oscillator and RF kickstart

§  Fractional o.c. MPPT

VO

MPP Tracking

MPPclk

TEG / PV

VMPP

Clock Gen

Cmp_out

LS Control

EN ϕ1

HS Control

LS

HS

Boost

MLS

MHS

VI

RSTint

Boost Control

Cold Start Osc

L

Clock Doubler

MSU

VX

Cold StartCkt.

C1

RF Kick-Start

N- Stage Rectifier

RF Switch

⇒ External passives ⇒ At a time only TEG or PV.

Configure VMPP externally

Page 19: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Energy Harvesting: Problem Statement and Hypothesis

3/2/16   19  

Hypothesis: By enabling the system to harvest from multiple energy sources, the system can operate reliably over long intervals of time and with changing environmental scenarios, making the system more flexible and fit into a wide range of self-powered applications

Problem Statement: Battery-less ULP systems, which harvest from a single source of energy have the following limitations : §  Less flexibility §  Less reliability and high risk from complete loss of harvested

energy §  Limited operational lifetime.

Page 20: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Energy Harvesting: Research Questions

3/2/16   20  

§  What kind of powertrain topology would enable the flexibility

to harvest from both TEG and indoor solar

§  What approach needs to be taken for MPPT in a multi-modal harvesting environment

§  What kind of start-up schemes would work best in a multi-modal Energy Harvesting-Power Management Unit (EH-PMU)

Page 21: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI 3/2/16   21  

Approach

Energy Harvesting

Prior-Work: Chip Testing

TEG/PV Characterization

MATLAB/Spice Modeling

Start-Up circuits

MPPT control

Control circuits: comparators, oscillators, level shifters

Page 22: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI 3/2/16   22  

EH-PMU Chip-Testing

§  Two inductors; Fractional o.c. MPPT=>External passives

§  Cold-start at 220mV §  Sensitive to PCB layout; lacks

controllability and observability

Source: Roy et al. IEEE TBioCAS 2015 Source: Klinefelter et al. ISSCC 2015

Page 23: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Energy Harvesting: Figures of Merit

3/2/16   23  

§  Efficiency

§  Minimum input voltage §  Output Voltage

§  Area

Page 24: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Energy Harvesting: Contributions

3/2/16   24  

§  A first–order model, for design space exploration for powertrain topologies.

§  A hybrid MPPT control to achieve peak power efficiency for

both solar and thermal energy harvesting §  A start-up circuit/architecture for enabling low-voltage system

startup. §  A fully-integrated energy harvesting platform for thermal and

solar energy harvesting with low-voltage startup and MPPT

Page 25: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Outline

3/2/16   25  

§  Energy Harvesting §  Supply voltage regulation § Monitoring Power supply variation § Ultra-low-power analog/digital circuit components §  Timeline and Publications

Page 26: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Supply Voltage Regulation: Background

3/2/16   26  

§  A class of power delivery circuits which:

Ø  Provides stable, well regulated supply voltages to different components of an SoC

Ø Delivers power to the SoC components across

different operating modes with maximum efficiency Ø Can be off-chip (PMIC) or on-chip (Integrated

Voltage Regulators or IVRs) => IVRs are more suitable for systems with constrained form factors

Page 27: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Supply Voltage Regulation: Background

3/2/16   27  

§  Types of Voltage Regulators

Ø  Linear Regulators such as Low-Drop-Out (LDO) Ø  Switching Regulators:

Ø  Inductor-based Buck converter Ø  Switched-Capacitor-based Buck converters

Page 28: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Supply Voltage Regulation: LDOs

3/2/16   28  

VOUT

MLDO

CSTOREVSTORE

ILOADCLOAD

R1

R2

VSTOREVREF

EA

VERR

η =POUTPIN

=VOUT ILOAD

VSTORE (ILOAD + ICONTROL )

§  Can be completely integrated. -> No off-chip passives

§  No switching noise => good filter §  Can step-down but not step-up. Hence VOUT < VSTORE

§  Low Power-efficiency for high conversion ratios (VOUT/VSTORE)

Page 29: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Supply Voltage Regulation: Switching Regulators

3/2/16   29  

Inductor-based Buck Converter 2:1 Switched-Cap based converter

LS

VSTORE

VXVOUT

ILOAD

LBUCK

MLS

HS MHS

CSTORE

CLOAD

VOUT

ILOAD

CFLY

VSTORE

CBOT

φ1

φ1 φ2

φ2

§  External passives (Inductor) required §  Performance depends on PCB layout,

package parasitics.

§  Supports wide-range of conversion ratios

§  Completely integrated-> No passives §  Switch-dominated or cap-dominated §  Conversion ratios depend on topology

Page 30: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Voltage References

3/2/16   30  

§  Provides stable reference voltage ideally independent of supply voltage and temperature

§  Used in analog/mixed signal circuits such as voltage

regulators, ADC’s etc.

§  Options: §  Bandgap Reference: ~µW Power, ~1V operation §  ΔVT –based voltage reference: Low power, sensitive to

PVT variations => Need Low Voltage, Low Power voltage reference for high power-efficiency power converters and ULP systems

Page 31: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Supply Regulation: Problem Statement and Hypothesis

3/2/16   31  

Hypothesis: A fully-integrated and a hybrid topology ( such as switched-cap + LDO) along with an adaptive supply regulation scheme can provide improvements in §  Overall power-efficiency §  Smaller form-factor and reduced design complexity

Challenges: §  Different supply voltages, electrical specs of various circuit

components. §  Achieve high efficiency §  Need for off-chip passives and components : écost

éform-factor §  Reliability and limited operational lifetime.

Page 32: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Supply Regulation: Research Questions

3/2/16   32  

§  Single-stage or cascaded topology? §  How much ripple or power supply variation can be tolerated? §  Trade-offs between strong line regulation vs. power-efficiency? §  Sensitivity of a voltage reference circuit? How much tolerance

to power supply variation and temperature?

Page 33: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI 3/2/16   33  

Approach

Supply Regulation

Prior-Work: Chip Testing

Load Profile characterization

MATLAB/Spice Modeling

ULP Voltage Reference

Powertrain architecture

Control circuits: comparators, oscillators, level shifters

Page 34: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Supply Regulation: Figures of Merit

3/2/16   34  

§  Efficiency §  Ripple §  Minimum Input Voltage

§  Maximum load current

§  Response time

§  Area

Page 35: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Supply Regulation: Contributions

3/2/16   35  

§  An architecture for supply-regulation targeted at achieving high power efficiency at 1-10µW load comparable or better than the state-of-the-art

§  A ULP voltage reference circuit operating at low supply

voltage and suitable for light-load regulation. §  A framework or model for evaluating ripple and supply voltage

variation vs. efficiency

Page 36: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Outline

3/2/16   36  

§  Energy Harvesting §  Supply voltage regulation § Monitoring Power supply variation § Ultra-low-power analog/digital circuit components §  Timeline and Publications

Page 37: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Power Supply Variation: Background

3/2/16   37  

§  High Frequency: , package resonance

§  Low Frequency: Voltage Regulator, IR drop

VDD

High frequency noise (Ldi/dt, package

resonance)

Low Frequency Noise (IR drop, Voltage

regulator)

Time

L didt

Adapted from: Muhtaroglu, A.; et al. "On-die droop detector for analog sensing of power supply noise,” , JSSC 2004

Page 38: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Power Supply Droop Monitors: Prior Work

3/2/16   38  

§  On-Die Analog Droop detectors èHigher Quiescent currents §  Adaptive clock distribution and in-situ timing error detection

and correctionèArea §  Decoupling capacitors èGate leakage

=> Need low cost, compact, ULP supply voltage droop monitors in high-efficiency voltage regulators for ULP systems

Page 39: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Power Supply Variation: Research Questions

3/2/16   39  

§  What architectural methods/circuit techniques/design methodologies provide better power supply noise immunity?

§  What bandwidth should an on-die power supply noise detector

support? §  How much resolution is acceptable? §  What will be the calibration scheme for measuring power

supply noise?

Page 40: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI 3/2/16   40  

Approach

Supply Variation

Latch vs. Register-based implementation

ULP droop measurement circuit

Vector-based Decap insertion

Alternate Decap topologies

Page 41: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

All-Digital Power Supply Droop Measurement Unit

3/2/16   41  

§  Current-controlled ring oscillator operating at noisy supply

§  A counter running at noise-free supply counts RO clock cycles

8-bit Counter

and Comparator

8-bit Counter

THR[7:0]

CK

VDD_CLEAN

VDD_DROOPVDD_CLEAN

ENABLE

EN_RO

DROOP[7:0]

13-Stage RO

VBIAS

0.550.5 0.6 0.65 0.7 0.75 0.8

0.2

0.4

0.6

0.8

1.0

1.2

1.4

Supply Voltage (V)

Powe

r (µW

)

0.9µW at 0.75V

Source: Roy A. et al. ISCAS 2016

Page 42: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Power Supply Variation: Figures of Merit

3/2/16   42  

§  Power Consumption §  Resolution for droop measurement §  Area

Page 43: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Supply Voltage Variation: Contributions

3/2/16   43  

§  Evaluating latch-based design implementation flow for better power supply noise immunity

§  Low power droop measurement scheme using digital circuits §  Design flow for vector-based dynamic IR analysis and

alternate decoupling capacitor ( such as active decoupling capacitors) topologies

Page 44: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Outline

3/2/16   44  

§  Energy Harvesting §  Supply voltage regulation § Monitoring Power supply variation § Ultra-low-power analog/digital circuit components §  Timeline and Publications

Page 45: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Ultra-low-power circuit components

3/2/16   45  

§  ULP wakeup-radio system

§  Low-power, low input-referred offset comparators §  Low-power digital correlators

§  ULP Digital circuits in novel process technologies §  MSP430 processor in MITLL 90nm FDSOI §  A 16-bit, 32-tap FIR filter in 55nm Deeply Depleted

Channel (DDC)

Page 46: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Ultra-low-power wake-up receiver

3/2/16   46  

§  10nW total system power budget èULP comparator, biasing, digital logic

§  5-10mV rectified output è Need very low input-offset §  ~1V operation

8-­‐bit  correlator

Comp_clk

Wake-­‐up

 Offset  controlClock  Source

Dig  Clock

VDD_COMP

VDD_CLK

VDD_DIG

Voltage/Current  Bias

VDD_BIAS

Comp  Thrshld Reference  

codeVDD_DIG

~5-10mV

Page 47: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

ULP Processing for Wake-up

3/2/16   47  

§  8-bit correlator ( n=8) §  4-bit offset control ( M=4) §  Correlator + offset control consumes 3.6nW at 0.5V supply and

10kHz

Serial  to  Parallel

Code[n-­‐1]

Code[n-­‐2]

Code[0]

Cmp_y[n-­‐1]

Cmp_y[n-­‐2]

Cmp_y[0]

Comp_clk

Dig_clk

wakeup

Cmp_y[n-­‐1]

Cmp_y[0]

Cmp_y[n-­‐2] M  -­‐bit  up  

CounterDig_clk

EN

Cmp_y[n-­‐1]M  -­‐bit  down  Counter

EN

Dig_clk

DAC  based  offset  control M-­‐bits

M  –  bit  Offset  control

Cmp_y[0]

Page 48: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI 3/2/16   48  

Approach

ULP circuit components

Technology : ULP MSP430 in 90nm FDSOI

Technology: FIR filter in 55nm DDC

ULP ultra-low power Wakeup-receiver ULP low-offset

comparators

Alternate logic-styles

Page 49: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

ULP comparators and digital processing: Figures of Merit

3/2/16   49  

§  Power Consumption

§  Operating voltage and clock frequency §  Input-referred offset and noise §  Bandwidth

Page 50: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

ULP MSP430 Processor in 90nm FDSOI process

3/2/16   50  

§  16-bit MSP430 processor fabricated in 90nm FDSOI

§  Process optimized for sub-VT operation

§  Reduced VT variation §  Steeper sub-VT slope, higher ION/

IOFF §  Processor consumes 1.3 µW and 5pJ/

cycle at 0.4V and 250kHz

100 nm

-2.0 -1.0 0.0 1.0 2.010-12

10-10

10-8

10-6

10-4

Vdd = 0.3VVdd = 0.05V

W = 8 µm / L = 150nm

Vgs (V)

I ds (

A/µ

m)

Delay (µs)

Ener

gy p

er c

ycle

(pJ)

5

10

15 Peak DetectFibonacci SequenceAddition

0 2 4 6 108

5pJ/cycle

10-12

10-10

10-8

10-6

10-4

-2.0 -1.5 -1.0 -0.5 0.0Vgs (V)

I ds (

A/µ

m)

Lg = 180nm Vdd = 0.3V

xLP FDSOI PMOS

Standard FDSOI PMOS

3σvth = 8mV3σvth = 18mV

Source: Roy A. et al. ISQED 2016

Page 51: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Outline

3/2/16   51  

§  Energy Harvesting §  Supply voltage regulation § Monitoring Power supply variation § Ultra-low-power analog/digital circuit components §  Timeline and Publications

Page 52: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Research Timeline

3/2/16   52  

Subject # Task Description Status Related Publications1 Architecture exploration and literature review Completed2 Testing and evaluation of previous baseline architecture Completed [AR1][AR2]

3 Modeling, characterization and design space exploration of harvester topologies, MPPT, start-up

April'16

4 Chip tapeout with MPPT and cold-start-Phase I May'165 Chip Testing-Phase I Oct'16 [AR6]6 Chip tapeout with entire multi-modal harvesting system-Phase II Nov'167 Chip Testing-Phase II Mar'17 [AR7][AR10]1 Architecture exploration and literature review Completed2 Testing and evaluation of previous baseline architecture Completed [AR1][AR2]3 Modeling, characterization and design space exploration of converter topologies April'164 Chip tapeout-Phase I-components May'165 Chip Testing-Phase I Oct'16 [AR8]6 Chip tapeout-Phase II-integrated system Nov'167 Chip Testing-Phase II Mar'17 [AR9][AR10]1 Architecture exploration and literature review Completed2 Exploring circuit robustness between latch vs. register based digital circuits Completed [AR4]3 All digital low-frequency droop measurement scheme Completed [AR4]4 Active decoupling circuit design Nov'165 Methodology for dynamic IR drop analysis and decap insertion Dec'16 [AR12]1 Architecture exploration and literature review Completed2 ULP Microprocessor implementation in 90nm FDSOI Completed [AR3]3 FIR filter implementation in 55nm DDC Completed [AR5]

4 Design space exploration of various comparator topologies for ULP wakeup receiver

Completed

5 Chip tapeout for integrated wakeup-radio with ULP comparator,clocking and digital processing

May'16

6 Chip Testing-Wakeup-radio Nov'16 [AR11]Write-up Thesis writing April'17

Energy harvesting from multiple

modalities

Voltage Regulation

Power supply variation in ULP systems

Characterization and demonstration of ultra

low-power circuit components

Page 53: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Publications

3/2/16   53  

[AR1] A. Klinefelter, N.E. Roberts, Y. Shakhsheer, P. Gonzalez, A. Shrivastava, A. Roy, K. Craig, M. Faisal, J. Boley, Seunghyun Oh, Yanqing Zhang, D. Akella, D.D. Wentzloff, B.H. Calhoun, "21.3 A 6.45µW self-powered IoT SoC with integrated energy-harvesting power management and ULP asymmetric radios," in Solid- State Circuits Conference - (ISSCC), 2015 IEEE International , 22-26 Feb. 2015 [AR2] A. Roy, A. Klinefelter, F. B. Yahya, X. Chen, L.P. Gonzalez-Guerrero, C.J. Lukas, D.A. Kamakshi, J. Boley, K. Craig, M. Faisal, S. Oh, N.E. Roberts, Y. Shakhsheer, A. Shrivastava, D.P. Vasudevan, D.D. Wentzloff, B.H. Calhoun, "A 6.45 µW Self-Powered SoC With Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios for Portable Biomedical Systems," in Biomedical Circuits and Systems, IEEE Transactions on , vol.9, no.6, pp.862-874, Dec. 2015 [AR3] A.Roy, P. Grossmann, S. Vitale, B.H. Calhoun, “A 1.3µW, 5pJ/cycle sub-threshold MSP430 processor in 90nm xLP FDSOI for energy-efficient IoT applications,” in Quality Electronic Design (ISQED), 2016 17th International Symposium on, 15-16 March 2016 [AR4] A.Roy, B.H. Calhoun, “Exploring circuit robustness to power supply variation in low-voltage latch and register-based digital systems”, in Circuits and Systems (ISCAS), 2016 IEEE International Symposium on , 22-25 May 2016

Page 54: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Anticipated Publications

3/2/16   54  

[AR5] H. N. Patel, A. Roy, F. B. Yahya, N. Liu, K. Kumeno, M. Yasuda, A. Harada, T. Ema, B. H. Calhoun, “Ultra Low Leakage 55nm Deeply Depleted Channel Technology with Reverse Body Biasing and Subthreshold Operation to Minimize 6T SRAM and Logic Energy”, Submitted to Symposium on VLSI Circuits, 2016 [AR6] MPPT control scheme and cold start circuit for enabling harvesting from multiple modalities [AR7] Energy harvesting platform with cold-start, MPPT and battery supervisory circuit [AR8] Voltage reference and bias circuits in ultra low power management units [AR9] Supply regulation system at ultra-light-load currents [AR10] Complete power management unit with integrated energy harvesting, supply regulation with MPPT, cold-start and battery supervision [AR11] Ultra-low-power wakeup-radio system with ULP comparators and digital correlators [AR12] Active decoupling capacitor circuit design and methodology for active and passive decap distribution for mitigating power supply droop.

Page 55: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

High Level Impact

3/2/16   55  

Achieve energy-autonomy, longer operational lifetime and reduce form factors in ULP SoCs §  Energy harvesting from multiple energy sources §  Supply regulation for delivering harvested power to various

components of the system

§  Control power supply variation §  Lower power consumption of different circuit components

Page 56: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

References

3/2/16   56  

§  Vullers R.J.M.; Van Schaijk R.; Doms I., Van Hoof C.; Mertens R., “Micropower energy harvesting,” Solid State Electronics, Jul. 2009, DOI:10.1016/j.sse.2008.12.011

§  Tan, Y.K.; Panda, S.K., "Energy Harvesting From Hybrid Indoor Ambient Light and Thermal Energy Sources for Enhanced Performance of Wireless Sensor Nodes," in Industrial Electronics, IEEE Transactions on , vol.58, no.9, pp.4424-4435, Sept. 2011

§  Esram, T.; Chapman, P.L., "Comparison of Photovoltaic Array Maximum Power Point Tracking Techniques," in Energy Conversion, IEEE Transactions on , vol.22, no.2, pp.439-449, June 2007

§  Klinefelter, A.; Roberts, N.E.; Shakhsheer, Y.; Gonzalez, P.; Shrivastava, A.; Roy, A.; Craig, K.; Faisal, M.; Boley, J.; Seunghyun Oh; Yanqing Zhang; Akella, D.; Wentzloff, D.D.; Calhoun, B.H., "21.3 A 6.45µW self-powered IoT SoC with integrated energy-harvesting power management and ULP asymmetric radios," in Solid- State Circuits Conference - (ISSCC), 2015 IEEE International , Feb. 2015

§  Shrivastava, A.; Roberts, N.E.; Khan, O.U.; Wentzloff, D.D.; Calhoun, B.H., "A 10 mV-Input Boost Converter With Inductor Peak Current Control and Zero Detection for Thermoelectric and Solar Energy Harvesting With 220 mV Cold-Start and 14.5 dBm, 915 MHz RF Kick-Start," in Solid-State Circuits, IEEE Journal of , vol.50, no.8, pp.1820-1832, Aug. 2015

§  Weng, P.-S.; Tang, H.-Y.; Ku, P.-C.; Lu, L.-H., "50 mV-Input Batteryless Boost Converter for Thermal Energy Harvesting," in Solid-State Circuits, IEEE Journal of , vol.48, no.4, pp.1031-1041, April 2013

§  Seeman, M.D.; Sanders, S.R., "Analysis and Optimization of Switched-Capacitor DC–DC Converters," Power Electronics, IEEE Transactions on , vol.23, no.2, pp.841,851, March 2008

§  Ramadass, Y.K.; Chandrakasan, A.P., "A Battery-Less Thermoelectric Energy Harvesting Interface Circuit With 35 mV Startup Voltage," in Solid-State Circuits, IEEE Journal of , vol.46, no.1, pp.333-341, Jan. 2011

§  Kadirvel, K.; Ramadass, Y.; Lyles, U.; Carpenter, J.; Ivanov, V.; McNeil, V.; Chandrakasan, A.; Lum-Shue-Chan, B., "A 330nA energy-harvesting charger with battery management for solar and thermoelectric energy harvesting," in Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2012 IEEE International , vol., no., pp.106-108, 19-23 Feb. 2012

§  Mingoo Seok; Gyouho Kim; Blaauw, D.; Sylvester, D., "A Portable 2-Transistor Picowatt Temperature-Compensated Voltage Reference Operating at 0.5 V," in Solid-State Circuits, IEEE Journal of , vol.47, no.10, pp.2534-2545, Oct. 2012

§  Muhtaroglu, A.; Taylor, G.; Rahal-Arabi, T., "On-die droop detector for analog sensing of power supply noise," in Solid-State Circuits, IEEE Journal of , vol.39, no.4, pp.651-660, April 2004.

§  A.Roy, B. Calhoun, “Exploring circuit robustness to power supply variation in low-voltage latch and register-based digital systems”, ISCAS 2016

§  A.Roy, P. Grossmann, S. Vitale, B. Calhoun, “A 1.3µW, 5pJ/cycle sub-threshold MSP430 processor in 90nm xLP FDSOI for energy-efficient IoT applications”, ISQED 2016

Page 57: Power Management in Ultra-Low Power Systemsvenividiwiki.ee.virginia.edu/...presentation_AbhishekRoy_final.pdf · 03/01/2016 Abhishek Roy !!!!! Power Management in Ultra-Low Power

Robust Low

Power

VLSI

Questions ?

3/2/16   57