Optical Communication

18
DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK SUBJECT CODE : EC1402 SEM / YEAR : VII/ IV SUBJECT NAME : OPTICAL COMMUNICATION UNIT - I INTRODUCTION TO OPTICAL FIBERS PART- A ( 2 marks) 1. Among Microwaves and light waves which have high bit rate distance product?Why? 2. Mention the three advantages of optical fiber as waveguide over conventional metallic waveguide? 3. What is meant by mode and index profile? 4. Mention the advantages of Graded Index fiber. 5. Write the expression for the refractive index in Graded index fiber. 6. Define Numerical Aperture of step index fiber. 7. Give the expression of the effective number of modes that are guided by a curved multimode fiber of radius ' a'. 8. State Snells Law. 9. Define Critical angle? 10.Define TIR? 11.What is the need of Cladding? 12.Define core index difference. 13.Define refractive index? 14.What are leaky modes in optical fibers? 15.Define External reflection of light rays? EC1402 OPTICAL COMMUNICATIONS Kings College Of Engineering - Punalkulam 16.What is meant by modes of waveguide?

description

question bank

Transcript of Optical Communication

Page 1: Optical Communication

DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK SUBJECT CODE : EC1402 SEM / YEAR : VII/ IV SUBJECT NAME : OPTICAL COMMUNICATION UNIT - I INTRODUCTION TO OPTICAL FIBERS PART- A ( 2 marks) 1. Among Microwaves and light waves which have high bit rate distance product?Why? 2. Mention the three advantages of optical fiber as waveguide over conventional metallic waveguide? 3. What is meant by mode and index profile? 4. Mention the advantages of Graded Index fiber. 5. Write the expression for the refractive index in Graded index fiber. 6. Define Numerical Aperture of step index fiber. 7. Give the expression of the effective number of modes that are guided by a curved multimode fiber of radius ' a'. 8. State Snells Law. 9. Define Critical angle? 10.Define TIR? 11.What is the need of Cladding? 12.Define core index difference. 13.Define refractive index? 14.What are leaky modes in optical fibers? 15.Define External reflection of light rays?

EC1402 OPTICAL COMMUNICATIONS Kings College Of Engineering - Punalkulam 16.What is meant by modes of waveguide? 17.Define V number? 18.What is relation between V number and power flow in cladding? 19.What is the fundamental parameter of SM fiber? 20.Give the relation between rays and modes? 21.What are the advantages and disadvantages of SM fiber? 22.What are the advantages and disadvantages of MM fiber? 23.Define skew rays and merdional rays? 24.Define cutoff conditions? 25.What is meant by Degenerate modes?

Page 2: Optical Communication

26.What is meant by linearly polarized modes? 27.Define MFD? 28.Define Bi refrigence and beat length? 29.A point source of light is 12cm below the surface of a large body of water (n=1.33). What is the radius of the largest circle on the water surface through which the lights can emerge? 30.Consider a parabolic index waveguide with n 1 =1.75,n 2 =1.677 and core radius 52um. Calculate the numerical aperture at the axis and at a point 20um from the axis. 31.Why do we prefer step index single mode fiber for long distance communication? 32.Why do we use LP 01 mode for long distance communications? 33.What are three operating windows? 34.Alight ray is incident from glass to air. Calculate critical angle? PART - B 1. What are Fiber modes? Explain mode theory for optical fiber in detail? (16) 2. Compare SM fiber and Graded index fiber. Explain the requirements for fiber materials? (16) 3. Discuss the theory of GI fiber. Derive the expression for the numerical aperture of GI fiber? (16) 4. Derive an expression for number of modes propagating in GI fiber from the first principle . (16) 5. i) Mention the advantages of optical communication systems? (8) ii) Draw the elements of an optical communication systems? (8)

EC1402 OPTICAL COMMUNICATIONS Kings College Of Engineering - Punalkulam 6. i) Discuss the propagation modes in SM fiber (8) ii)Briefly explain the evolution of fiber optic systems? (8)

Page 3: Optical Communication

6. i)Compare the configurations of different types of fibers? (8) ii) Discuss the modes in Step index fiber? (8) 7. i)Derive the wave equations for step index fiber and explain? (8) ii)Sketch and explain the electric field distributions of low order guided modes in symmetrical slab waveguide? (8) 8. A fiber has normalized frequency is 26.6 and wavelength is 1300nm.If the radius of core is 25um.Compute numerical aperture? (16) 9. a) A multimode step index fiber with core diameter of 80um and index difference of 1.5% at wavelength of 0.85um.If the refractive is 1.48,find normalized frequency and no of modes? (8) b) Derive the expression for power flow in step index fiber? (8) 10.a)Explain Linearly polarized modes? (8) b)The relative index difference in graded index fiber is 0.7% ,core refractive is 1.45.Find numerical aperture when index profile is triangular. (8) 11 a).Derive modal equation. (8) b) Compare single and multimode fiber. (8) UNIT II SIGNAL DEGRADATION OPTICAL FBERS PART- A ( 2 marks) 1. An optical signal has lost 55% of its power after traversing 3.5 km of fiber. What is the loss in dB/km of this fiber? 2. Define mode-field diameter. 3. What are the causes of absorption? 4. Define normalized propagation constant. 5. List the basic attenuation mechanisms in an optical fiber. 6. What is meant be mode coupling ? What causes it ? 7. Mention the two causes of intramodal dispersion. 8. Define fiber loss.

EC1402 OPTICAL COMMUNICATIONS

Page 4: Optical Communication

Kings College Of Engineering - Punalkulam 9. What do you mean be polarization mode dispersion? 10.Commonly available single mode fibers have beat lengths in the range 10cm <2m. What range of refractive index differences does this correspond to? 11.Find the coupling loss for two fibers having core refractive index profiles α E =2.0 and α R =1.5 12.Define Raleigh Scattering loss. 13.Define Mie Scattering loss. 14.When the mean optical power launched into an 8 km length of fiber is 120 uw. Determine the overall signal attenuation or loss in decibels. 15.How are micro bending losses reduced? 16.Distinguish intrinsic and extrinsic absorption. 17.Distinguish dispersion shifted and dispersion flattened fibers. PART-B 1. What is meant by waveguide dispersion ? Derive the expression for the same. (16) 2. What is meant be material dispersion ? Derive the expression for the pulse broadening due to material dispersion. (16) 3. Discuss the signal distortion in single mode fibers. (16) 4. Sketch the fundamental mode field in a curved optical wave guide and explain how bending losses occur. (16) 5. Explain with suitable diagrams the different mechanisms that contribute to attenuation in optical fibers. (16) 6. When the mean optical power launched into an 8km length of fiber is 120 uW, the mean optical power at the fiber output is 3u W. Determine (1) Overall signal attenuation in dB/km and (8) (2) The overall signal attenuation for a 10km intervals, each giving an attenuation of 1dB. (8) 7. Explain in detail mode coupling and design optimization of single mode fibers. (16) 8. Discuss in detail intermodal dispersion of multimode step index fiber with relevant expression and diagrams. (16)

Page 5: Optical Communication

9. What is mode coupling ? Discuss pulse broadening in GI fibers. (16)

EC1402 OPTICAL COMMUNICATIONS Kings College Of Engineering - Punalkulam 10.Discuss various kinds of losses that an optical signal might suffer while propagating through fiber. Which is most important one? What is the effect of these losses on light power and pulse shape? (16) 11.A 6 km optical link consists of multimode step index with a core refractive index of 1.5 and a relative refractive index difference of 1%. Estimate the delay difference between the slowest and fastest modes at the fiber output and the rms broadening due to intermodal dispersion on the link. Also derive the expression involved in it. (16) UNIT III FIBER OPTCAL SOURCES AND COUPLING PART- A ( 2 marks) 1. Define radiance. 2. What is meant by " population inversion"? 3. what is meant by heterojunction? 4. What is meant by indirect band gap semiconductor material? 5. Draw the three key transition processes involved in laser action. 6. Give examples for direct and indirect semiconductor materials. 7. Define internal quantum efficiency of an LED. 8. Name few splicing methods in fiber optics. 9. Compare LED and LASER. 10. What so you mean by heterojunction ? Mention its advantages. a. List the different types of mechanical misalignments that can occur between two joined fibers. b. Calculate the ratio of stimulated emission rata to the spontaneous emission rate for a lamp operating at a temperature of 1000 K. Assume average

Page 6: Optical Communication

operating wavelength is 0.5um. 11. Define lambertian pattern . 12. Define FWHM. 13. Define Modal or speckle noise.

EC1402 OPTICAL COMMUNICATIONS Kings College Of Engineering - Punalkulam 14. Define " Kinks". 15. Define Mode partition noise. 16. Define Lensing Schemes. 17. Define Splicing ? What are types of Splicing.? 18. What are the Characteristics of Light Source . PART - B 1. Draw the two basic LED configurations and discuss the principle. (16) 2. Discuss the principle of optical feedback and LASER oscillation. (16) 3. Derive the threshold condition for LASER oscillation. (16) 4. Explain various fiber splicing techniques. (16) 5. Write technical notes on optical fiber connectors. (16) 6. Discuss the laser diode structures and radiation patterns.(16) 7. Draw the structures of edge - emitting LED and surface emitting LED and explain the operation. (16) 8. Discuss the LASER diode principle , modes and threshold conditions. (16) 9. Explain various types of fiber splicing techniques and fiber connectors. (16) 10. Derive the threshold condition for LASER (16)

Page 7: Optical Communication

11. Explain the laser action with neat diagrams. (16) 12.a) A GaAs laser operating at 850 nm has a 500 um length and a refractive index n = 3.7. What are the frequency and wavelength spacings? (6) b) With neat diagram explain the construction and working of high radiance surface Emitting LED. (10) 13. Discuss about modulation of laser diodes. Why thermoelectric coolers are used in laser diodes. (16) 14.Discuss in detail fiber splicing and connectors. Explain the operation principles of WDM. (16) 15.Draw and explain the LED structures based double hetero structure configuration.(16) 16.Discuss the principles of operation of laser diodes. What are the effects of temperature on the performance of a laser diode? (16) 17.Explain in different lensing schemes available to improve the power coupling efficiency. (16)

EC1402 OPTICAL COMMUNICATIONS 18.Explain the fiber splicing techniques with necessary diagrams. (16) UNIT - IV FIBER OPTICAL RECEIVERS PART- A ( 2 marks) 1. Define diffusion length. 2. Define avalanche effect . 3. Define responsivity. 4. Define Quantum efficiency of photo detector. 5. Write the noise sources in the receiver section. 6.Define Quantum limit. 7.What are the desired features of photo detector. 8.A photo diode is constructed of GaAs which has a bandgap energy of 1.43Ev at 300k. What is meant by long wavelength cutoff? 9.What are the benefits of trans impedance amplifier. 10.Define long wave length cutoff related photo diode. 11.What is meant by bulk dark current? 12.A photo diode has a capacitance of 6pf.Calculate the max load resistance which allows

Page 8: Optical Communication

an 8MHz post defection BW. 13. What is meant by impact ionization. In APD? 14.What is transit time of photo carriers? 15.What is meant by ionization rate? 16. Define avalanche multiplication? 17.Define S/N ratio of an optical receiver?What are the conditions are required to achieve high S/N? 18.Define BER? 19.Define Extinction ratio?

EC1402 OPTICAL COMMUNICATIONS Kings College Of Engineering - Punalkulam 20. Define photo current? 21. What is p+ πpn+ reach through structure? 22.Define excess noise factor? 23. What is meant by Pre amplifier? What are the advantages of pre amplifier? 24.Whar are the draw backs of high impedance amplifier? PART - B 1. Describe the working principle of PIN photo detector and explain the characteristics of pin diode. (16) 2. Explain with neat diagram, construction and working of APD. Compare photo detectors. (16) 3. Discuss the different noise sources and disturbances in the optical pulse detection mechanism and derive the expression of S/N ratio. (16) 4. a) Explain the fundamental receiver operation in optical communication. (6) b)Derive an expression for the bit error rate of an optical receiver. (10) 5. Discuss the source of errors in optical receivers (16) 6. The Quantum efficency of a RAPD is 80% for thr detection of radiation at 0.9um, when incident optical power is 0.5uw.The output current from the device(after avalance gain) is 11 uA. Determine avalanche multiplication factor? (16) UNIT - V DIGITAL TRANSMISSION SYSTEM PART- A ( 2 marks) 1. List out the requirements that are to be considered in analyzing a link

Page 9: Optical Communication

2. Differentiate link power budget and rise time budget. 3. What is SONET? How does it differ from SDH? 4. What are solitons? 5. What is meant by 'modal noise'?

EC1402 OPTICAL COMMUNICATIONS Kings College Of Engineering - Punalkulam PART-B 1. Write notes on LINK POWER BUDGET. (16) 2. Write notes on RISE TIME BUDGET. (16) 3. Write notes on SONET. (16) 4. List out the WDM components. Explain them briefly. (16) 5. Discuss the principles, requirements and applications of WDM. (16

Part-A

1. A point sorce of ligth is 12 cm below the surface of a large body of water (n = 1.33). What is the radius of the largest circle on the water surface through whichthe light can emerge?2. Consider a parabolic index waveguide with n1 =1.75 ,n2 = 1.677 and core radius25 micro meter. Calculate the numerical aperture at the axis and at a point 20micro meter from the axis3. Define numerical aperture of a step index fiber4. Define mode-field diameter5. Commonly available single mode fibers have beat lengths in the range 10 cm < Lp <2 m . What range of refractive index diffrences does this correspond to? (forwavelength = 1300 nm)6. Define mode field diameter7. What is the fundamental parameter of a single mode fiber?8. A step index fiber has a normalized frequency V = 26.6 at 1300

Page 10: Optical Communication

nm wavelength.If the core radius is 25 pm, find the numerical aperture.Part-B

9. (i) Diacuss the signal distortion in single mode fibers(ii) Discuss pulse broadening in graded index fibers with necessary equations10. (I) Discuss the propagation modes in single -mode fiber(ii) Discuss the structure of graded index fiber11. (i) What is meant by 'material dispersion'? Derive its expression(ii) Discuss the pulse broadening in graded index fiberss12. (a) What are fiber modes? Explain mode theory for optical fibers in detail.Or(b) Compare Single mode fibers and Graded index fibers. Explain the requirementsfor fiber materials.

Unit-2

13. Write the expression for the effective number of modes guided by a curvedmultimode fiber of radius 'a'14. Define normalized propagation constant15. Give expression for the effective number of modes guided by a curved multimode fiber16. What are the causes of absorption17. Find the coupling loss for two fibers having core refractive index profiles alpha E = 2.0 and alpha R = 1.5 .18. What causes mode coupling19. Mention the two causes of intra-modal dispersion.20. Define fiber loss.21. (i) Briefly explain the evolution of fiber optic system(ii) Compare the configuration of different types of fibers .

Page 11: Optical Communication

or22. (b)(i) Derive modal equation23. (ii) Discuss the modes in step- index fibers24. (a) (i) What is meant by material dispersion?(ii) Derive an expression for material dispersion(iii) Derive the expression for wave guide dispersionOR(b) Discuss the pulse broadening in graded index fibers25. (i) List the advantages of optical fiber comminication(ii) Draw the elements of an optical fiber transmission link and explain26. OR27. (i) Discuss the mode theory of circular waveguide28. (a) Discuss various kinds of losses that an optical signal might suffer whilepropagating through fiber, Which is most important one? What is the effect ofthese losses on light power and pulse shape?

(b) What is mode coupling? Discuss pulse broadening in Gl fibers.

1. Unit-329. Draw the three key transistion process involved in laser action30. Write the concept of indirect bandgap semiconductor materials31. What is meant by hetero junction?32. What is meant by indirect band gap semi conductor material?33. Give example for direct and indirect semiconductor materials34. Calculate the ratio of stimulated emission rate to the spontaneous emissionrate for a lamp operating at a temperature of 100 K . Assume average operatingwavelength is 0.5 micro meter

35. Compare LED and LASER.36. What is meant by population inversion37. (a) Draw the structures of edge-emitting LED and surface emitting LED andexplain the operationOR(b) (i)Discuss the LASER diode principle , modes and threshold conditions

Page 12: Optical Communication

38. (a) Draw the structure of edge emitting and surface emitting LEDs and explainOR(b) Discuss the laser diode structures and radiation patterns39. (a)(i) What is meant by heterojunction ? Give example.(ii) Derive the internal quantum efficiency of an LEDOR40. (b) (i) Explain optical feedback and laser oscillation.41. (ii) Derive the threshold condition for lasing42. (a) With neat diagram explain the construction and working of high radiancesurface emitting LED.Or(b) Discuss about modulation of Laser diodes. Why thermoelectric cooler are usedin Laser diodes?Unit-443. Define responsivity of photodiode.44. Define quantum efficiency of a photodetector45. Define responsivity46. A given APD has a quantum efficiency of 65 % at a wavelength of 90 nm . If 0.5 micro watt of optical power produces a multiplied photocurrent of 10 micro Ampere, find the multiplication

47. Define long wavelength cutoff of a photodiode48. What is meant by quantum limit?49. A photodiode is constructed of GaAs, which has band gap energy of 1.43 eV at300 K. What is meant by long wavelength cutoff?50. What are the benefits of a trans-impedance amplifier?

51. (a) Draw the schematics of pin photodiode and APD and explain.OR(b) Explain the fundamental receiver operation in optical communication

52. (a) An InGaAs pin photodiode has the following parameters at a wavelength of1300 nm : I D = 4 nA, ? = 0.9, RL = 1000 ohms and the surface leakage current isnegligible. The incident optical power is 300 nw (- 35 dBm), and the receiverbandwidth is 20 MHz . Find the various noise terms of the receiver

Page 13: Optical Communication

OR(b) Discuss the performance of digital receiver by defining the probability oferror53. (a) Discuss the principle of operation of APD wiht neat circuit diagram. Alsodiscuss the requirements of photo detector.OR(b) Discuss the fundamentals of receiver operations with neat block diagram54. (a) What is known as quantum limit? A digital fiber optic link operating at850 nm requires a maximum BER of 10*9. Find the minimum incidental optical powerPo to achieve this BER at a data rate of 10 Mb/s for a simple binary levelsignaling scheme. (ry: 1), [1/r : B/2].Or(b) Discuss in detail digital receiver performance calculation and sensitivitycalculation in detail.

Unit-555. List the sysyem requirements neede in analyzing a point -to- point link56. Write the concept of solution

57. What is meant by solitron?

58. What is meant by modal noise?

59. Write the basic concept of solition generation

60. Write the concept of link power budget

61. List the key requirements needed in analyzing a link.

Page 14: Optical Communication

62. Define Modal Noise.

63. (a) Explain various types of fiber splicing techniques and fiber connectorsOR(b) (i) Explain the operational principles of WDM64. (ii) Explain the rise-time budget.65. (a) (i) Discuss the operational principles of WDM66. (ii) Describe the key features of WDMOR(b) Explain the rise-time budget of a fiber –optic point – to – point link67. (a) Draw the point-to -point fiber optic link and discuss the systemconsiderationsOR(b) Discuss the principle ,requirement and applications of WDM68. (a) What are the system consideration in point to point links? Explain indetail.Or(b) Discuss in detail Fiber splicing and connectors. Explain the operationprinciples of WDM