N ew M aterials and P rocesses for D irected S elf-A ssembly · N ew M aterials and P rocesses for...

9
New Materials and Processes for Directed Self-Assembly Shih-Wei Chang a , Jessica P. Evans c , Shouren Ge c , Valeriy V. Ginzburg b , John W. Kramer b , Brian Landes b , Christopher Lee a , Greg Meyers b , Daniel J. Murray b , Jong Park a , Rahul Sharma c , Peter Trefonas III a , Jeffrey D. Weinhold c , Jieqian Zhang a , and Phillip D. Hustad *a a Dow Electronic Materials, 455 Forest Street, Marlborough, MA USA 01752 b The Dow Chemical Company, Michigan Operations, Midland, MI USA 48674 c The Dow Chemical Company, 2301 N. Brazosport Blvd, Freeport, TX USA 77541 * Correspondance: Email: [email protected]; Phone: 1 508-229-7261; Fax 1 508-229-7555 ABSTRACT Directed self-assembly (DSA) of block copolymers (BCPs) is a promising technology for advanced patterning at future technology nodes, but significant hurdles remain for commercial implementation. The most widely studied material for DSA is poly(styrene-block-methyl methacrylate) (PS-PMMA), but the relatively weak segregation strength of PS- PMMA results in some limitations. This paper reports on these limitations for PS-PMMA and highlights a path to success through use of more strongly segregated “high-” block copolymers. In general, stronger segregation is predicted to lower defectivity at equilibrium, but unfortunately, kinetics of self assembly also becomes much slower as segregation strength increases. Recognizing diffusion is much faster for cylinder morphologies than lamellar ones, we have investigated new cylinder-forming BCPs that enable defect elimination with thermal annealing processes. In addition, a formulation strategy is presented that further improves the kinetics of the assembly process, enabling tremendous improvements in defectivity over simple BCP systems. Excitingly, successful chemoepitaxy DSA with a high- lamellar BCP is also demonstrated using a thermal annealing process and no top coat. These technologies hold promise to enable DSA with thermal annealing processing across pitches from 40 – 16 nm. Keywords: Block copolymer, BCP, directed self assembly, DSA, graphoepitaxy, PS-PMMA 1. INTRODUCTION Block copolymers (BCPs) are an attractive class of materials for lithographic applications because of their capability to phase-segregate into regular structures with nanometer size domains ranging from ca. 5 to 50 nm. [1, 2] These nanometer size domains also span a range of shapes, including hexagonal close packed (HCP) cylinders and lamellar stacks that resemble features commonly used in lithography. [3-6] Directed self assembly (DSA) of block copolymers (BCPs) is being explored as an option to meet future patterning needs. Three main approaches have been reported to make line/space patterns with DSA. One approach, termed chemoepitaxy, utilizes a sparse chemical pattern that aligns a BCP of lamellar morphology. [7-10] Topographical templates can also be used to align BCPs in approaches referred to as graphoepitaxy[11]., and alignment of BCPs with lamellar[12-15] and cylindrical[12, 16-20] morphologies has been accomplished in trenched substrates to give grating patterns with small features. The primary approaches being explored at consortia and within materials suppliers for DSA are chemoepitaxy systems using poly(styrene-block-methyl methacrylate) (PS-PMMA) BCPs. These systems are enabled by perpendicular orientations of PS-PMMA lamellar morphologies along with lithographically defined prepatterns. One of the keys to achieving these morphologies is the similarity in surface energy of PS and PMMA, which are equal at common annealing temperatures. [21] Unfortunately, this attractive feature of PS-PMMA also turns out to be somewhat of a liability in terms of extensions of the materials to small feature sizes of primary interest for advanced patterning. The Flory-Huggins interaction parameter, , which governs self assembly and influences domain size, line-edge roughness, Alternative Lithographic Technologies V, edited by William M. Tong, Douglas J. Resnick, Proc. of SPIE Vol. 8680, 86800F · © 2013 SPIE · CCC code: 0277-786X/13/$18 · doi: 10.1117/12.2011604 Proc. of SPIE Vol. 8680 86800F-1 DownloadedFrom:http://proceedings.spiedigitallibrary.org/on06/28/2013TermsofUse:http://spiedl.org/terms

Transcript of N ew M aterials and P rocesses for D irected S elf-A ssembly · N ew M aterials and P rocesses for...

New Materials and Processes for Directed Self-Assembly

Shih-Wei Changa, Jessica P. Evansc, Shouren Gec, Valeriy V. Ginzburgb, John W. Kramerb, Brian Landesb, Christopher Leea, Greg Meyersb, Daniel J. Murrayb, Jong Parka, Rahul Sharmac, Peter

Trefonas IIIa, Jeffrey D. Weinholdc, Jieqian Zhang a, and Phillip D. Hustad*a

a Dow Electronic Materials, 455 Forest Street, Marlborough, MA USA 01752

b The Dow Chemical Company, Michigan Operations, Midland, MI USA 48674 cThe Dow Chemical Company, 2301 N. Brazosport Blvd, Freeport, TX USA 77541

* Correspondance: Email: [email protected]; Phone: 1 508-229-7261; Fax 1 508-229-7555

ABSTRACT

Directed self-assembly (DSA) of block copolymers (BCPs) is a promising technology for advanced patterning at future technology nodes, but significant hurdles remain for commercial implementation. The most widely studied material for DSA is poly(styrene-block-methyl methacrylate) (PS-PMMA), but the relatively weak segregation strength of PS-PMMA results in some limitations. This paper reports on these limitations for PS-PMMA and highlights a path to success through use of more strongly segregated “high-” block copolymers. In general, stronger segregation is predicted to lower defectivity at equilibrium, but unfortunately, kinetics of self assembly also becomes much slower as segregation strength increases. Recognizing diffusion is much faster for cylinder morphologies than lamellar ones, we have investigated new cylinder-forming BCPs that enable defect elimination with thermal annealing processes. In addition, a formulation strategy is presented that further improves the kinetics of the assembly process, enabling tremendous improvements in defectivity over simple BCP systems. Excitingly, successful chemoepitaxy DSA with a high- lamellar BCP is also demonstrated using a thermal annealing process and no top coat. These technologies hold promise to enable DSA with thermal annealing processing across pitches from 40 – 16 nm.

Keywords: Block copolymer, BCP, directed self assembly, DSA, graphoepitaxy, PS-PMMA

1. INTRODUCTION Block copolymers (BCPs) are an attractive class of materials for lithographic applications because of their capability to phase-segregate into regular structures with nanometer size domains ranging from ca. 5 to 50 nm. [1, 2] These nanometer size domains also span a range of shapes, including hexagonal close packed (HCP) cylinders and lamellar stacks that resemble features commonly used in lithography. [3-6] Directed self assembly (DSA) of block copolymers (BCPs) is being explored as an option to meet future patterning needs. Three main approaches have been reported to make line/space patterns with DSA. One approach, termed chemoepitaxy, utilizes a sparse chemical pattern that aligns a BCP of lamellar morphology. [7-10] Topographical templates can also be used to align BCPs in approaches referred to as graphoepitaxy[11]., and alignment of BCPs with lamellar[12-15] and cylindrical[12, 16-20] morphologies has been accomplished in trenched substrates to give grating patterns with small features.

The primary approaches being explored at consortia and within materials suppliers for DSA are chemoepitaxy systems using poly(styrene-block-methyl methacrylate) (PS-PMMA) BCPs. These systems are enabled by perpendicular orientations of PS-PMMA lamellar morphologies along with lithographically defined prepatterns. One of the keys to achieving these morphologies is the similarity in surface energy of PS and PMMA, which are equal at common annealing temperatures. [21] Unfortunately, this attractive feature of PS-PMMA also turns out to be somewhat of a liability in terms of extensions of the materials to small feature sizes of primary interest for advanced patterning. The Flory-Huggins interaction parameter, , which governs self assembly and influences domain size, line-edge roughness,

Alternative Lithographic Technologies V, edited by William M. Tong, Douglas J. Resnick, Proc. of SPIE Vol. 8680, 86800F · © 2013 SPIE · CCC code: 0277-786X/13/$18 · doi: 10.1117/12.2011604

Proc. of SPIE Vol. 8680 86800F-1

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 06/28/2013 Terms of Use: http://spiedl.org/terms

and other important parameters, is relatively small for PS-PMMA.[22] This translates into an apparent lower limit in pitch, L0, of around 20 nm for lamellar PS-PMMS systems.[23]

While PS-PMMA can form features approaching 10 nm, that fact alone does not guarantee utility in DSA applications at that size. Many criteria must be met for successful implementation of any BCP for advanced patterning, including low defectivity (<0.01 cm-2) and line edge/width roughness. Most of these features can be improved by use of more strongly segregated “high-” BCPs. This paper explores the practical limits for PS-PMMA systems and addresses some of the challenges with high- materials. A formulation approach is introduced that improves kinetics of self assembly to enable use of high- BCPs with short thermal annealing processes. In addition, we also report preliminary results of chemoepitaxy with a lamellar morphology of a high- BCP with simple thermal annealing and without the use of a top coat.

2. EXPERIMENTAL 2.1 Undirected self assembly

Substrates were treated with various modification materials (brush/mat) before coating with the BCP to give appropriate surface energy. Thin films of the respective BCPs were then cast on the treated substrates from solution at the desired thicknesses, baked at 150 °C for 1 minute to remove residual solvent, and the samples were then annealed under nitrogen on a hot plate at various temperatures and times. 2.2 Graphoepitaxy

A lithographically defined template consisting of SiO2 mandrels over polysilicon was used for directing the BCP cylindrical domains. The surface of the lithographically defined template was modified with a brush polymer by spin-coating a hydroxyl-terminated brush material from a suitable solvent followed by a hotplate bake at 150 °C for 1 minute. The coated substrate was then annealed at 250 °C for 20 minutes under nitrogen on a hotplate. Subsequently, the substrate was rinsed with toluene to wash away any unattached polymers by first soaking the coated sample in toluene for 1 minute and spin-drying at 3000 rpm for 1 minute. The brushed substrate was then baked on a hotplate at 110 °C for 1 minute. A thin film of the BCP was then coated onto the trench substrate, and the film was baked at 150 °C for 1 minute on a hotplate. The sample was then annealed on a hot plate at various temperatures and times. 2.3 Chemoepitaxy

A lithographically defined template consisting of polystyrene stripes was prepared according to published procedures.[10, 24] The template was treated with a brush polymer by spin-coating a hydroxyl-terminated brush material from a suitable solvent followed by a hotplate bake at 150 °C for 1 minute. The coated substrate was then annealed at 250 °C for 20 minutes under nitrogen on a hotplate. Subsequently, the substrate was rinsed with toluene to wash away any unattached polymers by first soaking the coated sample in toluene for 1 minute and spin-drying at 3000 rpm for 1 minute. A thin film of the high- BCP was then coated onto the chemically patterned substrate, and the film was baked at 150 °C for 1 minute on a hotplate. The sample was then annealed on a hot plate at various temperatures and times. 2.4 Etch processing

After thermal processing, the films were subjected to two consecutive reactive ion etching (RIE) steps using a PlasmaTherm 790i RIE, a short CF4 reactive ion etch followed by a second oxygen etch to remove the organic material and oxidize the silicon-containing block. The samples were then imaged by scanning electron microscopy to characterize the morphology. 2.5 Film thickness and microscopy

Film thicknesses were measured using a NanoSpec/AFT 2100 Film Thickness Measurement tool. Scanning electron microscopy was conducted on a Hitachi S-4500 scanning electron microscope (SEM) with a secondary electron detector.

Proc. of SPIE Vol. 8680 86800F-2

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 06/28/2013 Terms of Use: http://spiedl.org/terms

hge

LIT4

130

120

110

100

90

80

70

60

50

40

3010 11 12 13 14

w/R915 16

3. RESULTS AND DISCUSSION 3.1 Predicting Defectivity and Interfacial Width for 21 nm PS-PMMA

One key for successful integration of DSA for advanced patterning will be the ability to achieve extremely low defect structures (e.g. <0.01 defect/cm2) under acceptable processing conditions (e.g. time and temperature). From a thermodynamic standpoint, defects, such as dislocations and disclinations, are allowed thermally, and the number of defects is a function of the combined parameter N, where N is the number of statistical segments. A stronger drive to self assemble will lead to fewer defects at equilibrium. Takahashi et al.[25] recently predicted the energetic penalty for defect formation of a lamellar BCP under trench confinement. The maximum free energy penalty was achieved when the BCP was commensurate with the trench width, and the defect free energy increased linearly with increasing N (Figure 1a). Importantly, in all cases, the penalty for forming a defect was well above the threshold for defectivity. A defect free energy of 50kT was calculated to give a defect concentration of ~10-11 defects/cm-2. Using this same methodology, we estimate a defect energy of 30kT is required to achieve the 0.01 defects/cm-2 for this type trench confinement. Since the defect energy scaled linearly in these simulations, we can extrapolate to lower values of N to estimate the N required to exceed this threshold value of 30kT (Figure 1b). This methodology shows N > 16 is required to achieve a defect energy of 30kT. For PS-PMMA using Russell’s value for at 190 °C,[22] a N of 16 is equivalent to a number-average molecular weight, Mn, of ~44 kg/mol and L0 ~ 25 nm. Based upon this analysis, the defectivity target of <0.01 defect/cm2 is predicted to be unachievable with PS-PMMA at a pitch less than 25 nm in this type of graphoepitaxy scheme. (a) (b)

Figure 1. Defect free energy from SCFT simulations from Ref. [25], including (a) defect energies as a function of trench width and N, and (b) defect energy as a function of N. Figure 1a is reproduced with permission from the American Chemical Society).

3.2 Comparing Kinetics of Assembly in Lamellar and Cylindrical Block Copolymer Morphologies in Thermal Annealing

It is clear that BCPs with -parameter larger than PS-PMMA are required to achieve sub-20 nm patterns. Although most features of the morphology at equilibrium improve with high- BCPs, there is one unfortunate consequence of stronger segregation that must be considered for practical applications of DSA is the slowing kinetics of polymer diffusion during

0

20

40

60

80

100

120

10 15 20 25 30 35

F/

kT

N

Proc. of SPIE Vol. 8680 86800F-3

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 06/28/2013 Terms of Use: http://spiedl.org/terms

thermal annealing. The ability of the BCP to eliminate defects through annealing decreases with increasing N due to the type of diffusion required to eliminate a defect. Elimination of defects requires BCP chains to diffuse perpendicular to the interface. The process, called “hopping diffusion”, involves a chain breaking away from the interface, mixing within and diffusing across a domain, and relocating at the opposite interface.[26, 27] As higher N, the increased penalty for mixing results in an exponential decrease in diffusion described by the following equation, where Dper is the perpendicular diffusion coefficient and D0 is the diffusion coefficient of the corresponding non-phase separated polymer:

)8.3(28.00

Nper eDD

Using this equation, we can estimate the relative diffusion coefficients for a series of BCPs with increasing N. The effect is demonstrated here by calculating Dper/D0 for a series of PS-PMMA’s with L0 = 28, 35, and 42 nm, corresponding to N values of 20, 32, and 46, respectively. The resulting Dper/D0 values are plotted as a function of pitch in Figure 2 normalized to the Dper/D0 for the material with L0 = 28 nm. The predicted diffusion coefficients, Dper/D0, decrease exponentially with increasing N. When L0 = 35, Dper/D0 decreases by a factor of 24. At L0 = 42 nm, the Dper/D0 is nearly 1,200 times lower. This analysis gives an indication of how much slower diffusion is in these systems as a consequence of the phase-separated morphology, but it is not an indication of the absolute difference in diffusion. Since D0 also decreases with increasing molecular weight, the actual diffusion in these materials will be even slower than predicted by this analysis. This reveals the significant challenge to eliminate defects in short processing times for BCPs with larger pitch.

Figure 2. Estimated relative diffusion, Dper/D0, for phase separated block copolymers as a function of N.

While this decreased diffusion rate may seem an insurmountable challenge for implementation of high- BCPs, the equation above does not capture the whole story. Firstly, the numbers above are only relative to the baseline diffusion coefficient, D0. L0 scales with both N and according to the following relationship in the strong segregation limit:[28]

6/13/20 1.1 aNL

Since higher will allow a certain L0 to be achieved at a lower molecular weight, the D0 values for the a high- BCP are likely to be lower. Another important that the relative diffusion coefficient is higher in spherical and cylindrical BCP morphologies compared to lamellar morphologies.[29, 30] Hopping diffusion in spherical and cylindrical systems scales according to NA , where NA is the number of statistical segments of the minority component:

ANper eDD

0~

1

10

100

1,000

10,000

25 30 35 40 45

D per

/D0

(rel

ativ

e to

Dpe

r/D 0

(L0

= 28

)

L0

Proc. of SPIE Vol. 8680 86800F-4

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 06/28/2013 Terms of Use: http://spiedl.org/terms

This produces dramatically different scaling of the diffusion coefficients in cylindrical BCPs relative to lamellar ones. A more detailed analysis of diffusion in BCP systems is presented in a companion paper,[31] but here we only compare the relative hopping diffusion rates for lamellar and cylindrical BCPs as a function of N (Figure 3). For cylinders, the diffusion rate is considerably faster at the same N, and the decrease is less steep as N increases. Ruiz, Sandstrom, and Black observed this phenomenon in PS-PMMA materials, where a cylindrical BCP exhibited a faster increase in correlation length compared to the lamellar case.[12] This suggests cylinder morphologies should be considered for DSA in high- BCP systems if short thermal annealing processes are desired.

Figure 3. Comparison of Dper/D0 for lamellar and cylindrical block copolymer morphologies as a function of N.

3.3 Improving Kinetics with Formulation to Enable DSA with High- BCPs

In addition to faster kinetics in cylindrical morphologies, we have also discovered that formulation strategies can greatly improve the kinetics of self assembly. We estimate the rate of self assembly by watching the evolution of fingerprint morphologies with time.

Figure 4 compares fingerprint patterns from a lamellar PS-PMMA and two cylindrical high- materials at L0 = 26 nm, each processed at 250 °C for 15 min. For this high- system, N is more than 5 times that of the PS-PMMA material. The fingerprint of the neat high- BCP shows a lower correlation length consistent with slower annealing. However, the formulated system produces a fingerprint with similar quality to the lamellar PS-PMMA. With these principles in mind, we have designed materials to take advantage of the faster diffusion of cylindrical BCPs, resulting in high- BCPs that can be processed with simple thermal annealing. The formulation strategy also enables use of materials with higher N than is possible with neat BCPs. To demonstrate this effect, we compared thin film behavior of neat and formulated high- BCPs with a relatively large L0 of 32 nm. When processed under the same conditions, the formulated system displayed an incredibly longer correlation length relative to the neat BCP (Figure 5). The faster kinetics of the formulated system yield much better results in DSA systems. Trench graphoepitaxy was employed to direct cylinder morphologies into grating patterns. In general, the strong driving force for alignment imparted by the trench walls imparts an additional factor towards faster assembly in narrower trenches. To demonstrate the impact of the formulation on the kinetics of self assembly, we employed a trench sized appropriately for 5 cylinders in a high- BCP with L0 = 32 nm (Figure 5). After coating, the thin films were processed under identical 2 min. thermal annealing conditions. The neat BCP shows partial DSA, but many areas that are not yet aligned within this timeframe. In sharp contrast, the formulated system is fully-aligned and defect free across the image at an equal annealing time. This combination of cylindrical morphology and formulation enables DSA in high- BCPs with short thermal annealing processes.

1E-11

1E-09

0.0000001

0.00001

0.001

0.1

20 30 40 50 60Pred

icte

d Di

ffus

ion

Coef

ficie

nt D

/Do

N

Cylinders

Lamellae

1E-1

1E-3

1E-5

1E-7

1E-9

1E-11

Pred

icte

d D

iffus

ion

Coe

ffici

ent

D/D

0

Proc. of SPIE Vol. 8680 86800F-5

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 06/28/2013 Terms of Use: http://spiedl.org/terms

ii:,C

x" -.,,,;w.,""".

.`.,

i11CC

t1\ \ \ \ì\i

Z1111111111

Figure 4. Fingerprint patterns of a lamellar PS-PMMA, cylindrical high- BCP, and a formulated high- BCP.

(a) (b)

Figure 5. Fingerprint and DSA patterns of high- BCPs with L0 = 32 nm, including (a) the cylindrical high- BCP, and (b) the formulated high- BCP.

26 nm PS-PMMA 26 nm High-N > 5x PS-PMMA

Formulated 26 nm High-N > 5x PS-PMMA

Proc. of SPIE Vol. 8680 86800F-6

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 06/28/2013 Terms of Use: http://spiedl.org/terms

3.4 Chemoepitaxy with a High- BCP with Thermal Annealing and No Top Coat

A key challenge remaining for DSA is the application of chemoepitaxy patterning concepts to a BCP with higher -parameter than PS-PMMA, which would yield patterns with improved line-edge roughness and allow extension of the technology to smaller nodes. However, maintaining perpendicular orientation in thin films of high- BCPs is difficult due to the difference in surface energy of the two blocks. Solvent annealing has been shown to give perpendicular orientations in high- BCPs. Willson et al. also recently introduced a “top coat” approach to control the top surface of the film.[32] Previously we disclosed new brush materials capable of stabilizing perpendicular orientations in a certain high- BCP upon thermal annealing.[33] We have now successfully implemented these materials into a chemoepitaxy process to give DSA with a high- lamellar BCP. Following the “trim-etched” guide chemoepitaxy flow, a chemical prepattern of etch-trimmed PS-stripes was prepared with pitch of 90 nm and measured stripe width before removal of the photoresist of ~0.5 L0. Application of a thin film of the high- lamellar BCP followed by thermal annealing produced the directed BCP pattern in Figure 6 with a 3x multiplication ratio. Importantly, these results were obtained with thermal processing and without the use of a top coat. This demonstrates that chemoepitaxy DSA can be achieved with high- lamellar BCPs with proper selection of brush, BCP, and annealing conditions.

Figure 6. Chemoepitaxy process flow using a topcoat-free thermal anneal process and resulting SEM image of the high- lamellar BCP.

4. CONCLUSIONS

While PS-PMMA is the best understood BCP employed in DSA systems, its relatively low parameter limits its applicability to pitches greater than about 25 nm. “High-” BCPs are required to extend DSA to smaller pitches, but increasing segregation strength has negative consequences on the kinetics of the self assembly process. We have demonstrated that faster annealing of cylindrical morphologies compared to lamellar ones facilitates processing of high- BCPs. In addition, we have discovered formulation strategies that greatly improve the kinetics of self assembly. This combination of cylindrical morphology and formulation enables DSA in high- BCPs with short thermal annealing

brush BCP

Proc. of SPIE Vol. 8680 86800F-7

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 06/28/2013 Terms of Use: http://spiedl.org/terms

processes. We have also demonstrated successful chemoepitaxy DSA with a high- BCP with a lamellar morphology with a thermal annealing process and without the use of a top coat. These technologies hold promise to address some of the challenges confronting the application of DSA to advanced semiconductor patterning.

ACKNOWLEDGEMENTS

The authors thank many colleagues at Dow that help make this work possible. We are indebted to Tokyo Electron and all other collaborators for tremendous support.

REFERENCES

[1] Bates, F. S. and Fredrickson, G. H., "Polymer-Polymer Phase Behavior " Physics Today 52, 32-38 (1999). [2] Hadjichristidis, N., Pispas, S. and Floudas, G., [Block Copolymers: Synthetic Strategies, Physical

Properties, and Applications], Wiley-Interscience, New York, (2002). [3] Park, M., Harrison, C., Chaikin, P. M., Register, R. A. and Adamson, D. H., "Block Copolymer

Lithography: Periodic Arrays of ~1011 Holes in 1 Square Centimeter," Science 276, 1401-1404 (1997). [4] Segalman, R. A., "Patterning with block copolymer thin films," Mater. Sci. Eng., R. R48, 191-226 (2005). [5] Cheng, J. Y., Ross, C. A., Smith, H. I. and Thomas, E. L., "Templated self-assembly of block copolymers:

top-down helps bottom-up," Adv. Mater. 18, 2505-2521 (2006). [6] Black, C. T., Ruiz, R., Breyta, G., Cheng, J. Y., Colburn, M. E., Guarini, K. W., Kim, H.-C. and Zhang,

Y., "Polymer self assembly in semiconductor microelectronics," IBM J. Res. Dev. 51, 605-633 (2007). [7] Kim, S. O., Solak, H. H., Stoykovich, M. P., Ferrier, N. J., de Pablo, J. J. and Nealey, P. F., "Epitaxial

self-assembly of block copolymers on lithographically defined nanopatterned substrates," Nature 424, 411-414 (2003).

[8] Cheng, J. Y., Rettner, C. T., Sanders, D. P., Kim, H.-C. and Hinsberg, W. D., "Dense Self-Assembly on Sparse Chemical Patterns: Rectifying and Multiplying Lithographic Patterns Using Block Copolymers," Advanced Materials 20, 3155-3158 (2008).

[9] Cheng, J. Y., Sanders, D. P., Truong, H. D., Harrer, S., Friz, A., Holmes, S., Colburn, M. and Hinsberg, W. D., "Simple and Versatile Methods To Integrate Directed Self-Assembly with Optical Lithography Using a Polarity-Switched Photoresist," ACS Nano 4, 4815-4823 (2010).

[10] Liu, C.-C., Han, E., Onses, M. S., Thode, C. J., Ji, S., Gopalan, P. and Nealey, P. F., "Fabrication of Lithographically Defined Chemically Patterned Polymer Brushes and Mats," Macromolecules 44, 1876-1885 (2011).

[11] Segalman, R. A., Yokoyama, H. and Kramer, E. J., "Graphoepitaxy of Spherical Domain Block Copolymer Films," Advanced Materials 13, 1152-1155 (2001).

[12] Ruiz, R., Sandstrom, R. L. and Black, C. T., "Induced Orientational Order in Symmetric Diblock Copolymer Thin Films," Advanced Materials 19, 587-591 (2007).

[13] Park, S. M., Stoykovich, M. P., Ruiz, R., Zhang, Y., Black, C. T. and Nealey, P. F., "Directed Assembly of Lamellae- Forming Block Copolymers by Using Chemically and Topographically Patterned Substrates," Advanced Materials 19, 607-611 (2007).

[14] Ruiz, R., Kang, H., Detcheverry, F. A., Dobisz, E., Kercher, D. S., Albrecht, T. R., de Pablo, J. J. and Nealey, P. F., "Density Multiplication and Improved Lithography by Directed Block Copolymer Assembly," Science 321, 936-939 (2008).

[15] Park, S.-M., Rettner, C. T., Pitera, J. W. and Kim, H.-C., "Directed Self-Assembly of Lamellar Microdomains of Block Copolymers Using Topographic Guiding Patterns," Macromolecules 42, 5895-5899 (2009).

Proc. of SPIE Vol. 8680 86800F-8

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 06/28/2013 Terms of Use: http://spiedl.org/terms

[16] Sundrani, D. and Sibener, S. J., "Spontaneous Spatial Alignment of Polymer Cylindrical Nanodomains on Silicon Nitride Gratings," Macromolecules 35, 8531-8539 (2002).

[17] Sundrani, D., Darling, S. B. and Sibener, S. J., "Hierarchical Assembly and Compliance of Aligned Nanoscale Polymer Cylinders in Confinement," Langmuir 20, 5091-5099 (2004).

[18] Hammond, M. R., Cochran, E., Fredrickson, G. H. and Kramer, E. J., "Temperature Dependence of Order, Disorder, and Defects in Laterally Confined Diblock Copolymer Cylinder Monolayers," Macromolecules 38, 6575-6585 (2005).

[19] Jung, Y. S. and Ross, C. A., "Orientation-Controlled Self-Assembled Nanolithography Using a Polystyrene−Polydimethylsiloxane Block Copolymer," Nano Letters 7, 2046-2050 (2007).

[20] Jung, Y. S., Chang, J. B., Verploegen, E., Berggren, K. K. and Ross, C. A., "A Path to Ultranarrow Patterns Using Self-Assembled Lithography," Nano Letters 10, 1000-1005 (2010).

[21] Mansky, P., Russell, T. P., Hawker, C. J., Mays, J., Cook, D. C. and Satija, S. K., "Interfacial Segregation in Disordered Block Copolymers: Effect of Tunable Surface Potentials," Physical Review Letters 79, 237-240 (1997).

[22] Russell, T. P., Hjelm, R. P. and Seeger, P. A., "Temperature dependence of the interaction parameter of polystyrene and poly(methyl methacrylate)," Macromolecules 23, 890-893 (1990).

[23] Sivaniah, E., Matsubara, S., Zhao, Y., Hashimoto, T., Fukunaga, K., Kramer, E. J. and Mates, T. E., "Symmetric Diblock Copolymer Thin Films on Rough Substrates: Microdomain Periodicity in Pure and Blended Films," Macromolecules 41, 2584-2592 (2008).

[24] Rathsack, B., Somervell, M., Hooge, J., Muramatsu, M., Tanouchi, K., Kitano, T., Nishimura, E., Yatsuda, K., Nagahara, S., Hiroyuki, I., Akai, K. and Hayakawa, T., "Pattern Scaling with Directed Self Assembly Through Lithography and Etch Process Integration," Proc. SPIE 8323, (2012).

[25] Takahashi, H., Laachi, N., Delaney, K. T., Hur, S.-M., Weinheimer, C. J., Shykind, D. and Fredrickson, G. H., "Defectivity in Laterally Confined Lamella-Forming Diblock Copolymers: Thermodynamic and Kinetic Aspects," Macromolecules 45, 6253-6265 (2012).

[26] Lodge, T. P. and Dalvi, M. C., "Mechanisms of Chain Diffusion in Lamellar Block Copolymers," Physical Review Letters 75, 657-660 (1995).

[27] Yokoyama, H., "Diffusion of block copolymers," Materials Science and Engineering: R: Reports 53, 199-248 (2006).

[28] Helfand, E. and Wasserman, Z. R., "Block Copolymer Theory. 4. Narrow Interphase Approximation," Macromolecules 9, 879-888 (1976).

[29] Yokoyama, H. and Kramer, E. J., "Self-Diffusion of Asymmetric Diblock Copolymers with a Spherical Domain Structure," Macromolecules 31, 7871-7876 (1998).

[30] Yokoyama, H., Kramer, E. J. and Fredrickson, G. H., "Simulation of Diffusion of Asymmetric Diblock and Triblock Copolymers in a Spherical Domain Structure," Macromolecules 33, 2249-2257 (2000).

[31] Weinhold, J. D., Hustad, P. D. and Trefonas, P., III, "Modeling the rate of morphology evolution during annealing of block copolymer thin films," Proc. SPIE (2013).

[32] Bates, C. M., Seshimo, T., Maher, M. J., Durand, W. J., Cushen, J. D., Dean, L. M., Blachut, G., Ellison, C. J. and Willson, C. G., "Polarity-Switching Top Coats Enable Orientation of Sub–10-nm Block Copolymer Domains," Science 338, 775-779 (2012).

[33] Chang, S.-W., Vogel, E. E., Ginzburg, V. V., Murray, D. J., Kramer, J. W., Weinhold, J. D., Chuang, V. P. W., Sharma, R., Evans, J. P., Landes, B., Ge, S., Trefonas, P., III and Hustad, P. D., "Designing new materials and processes for directed self-assembly applications," Proc. SPIE 8323, 83231M-83231M-9 (2012).

Proc. of SPIE Vol. 8680 86800F-9

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 06/28/2013 Terms of Use: http://spiedl.org/terms