Metrology, Inspection, and Process Control for ...

15
PROCEEDINGS OF SPIE SPIE—The International Society for Optical Engineering Metrology, Inspection, and Process Control for Microlithography XVIII Richard M. Silver Chair/Editor 23-26 February 2004 Santa Clara, California, USA Sponsored and Published by SPIE—The International Society for Optical Engineering Cooperating Organizations SEMI—Semiconductor Equipment and Materials International International SEMATECH P Volume 5375 Part Two of Two Parts SPIE is an international technical society dedicated to advancing engineering and scientific applications of optical, photonic, imaging, electronic, and optoelectronic technologies.

Transcript of Metrology, Inspection, and Process Control for ...

Page 1: Metrology, Inspection, and Process Control for ...

PROCEEDINGS OF SPIE SPIE—The International Society for Optical Engineering

Metrology, Inspection, and Process Control for Microlithography XVIII

Richard M. Silver Chair/Editor

23-26 February 2004 Santa Clara, California, USA

Sponsored and Published by SPIE—The International Society for Optical Engineering

Cooperating Organizations SEMI—Semiconductor Equipment and Materials International International SEMATECH

P Volume 5375

Part Two of Two Parts

SPIE is an international technical society dedicated to advancing engineering and scientific applications of optical, photonic, imaging, electronic, and optoelectronic technologies.

Page 2: Metrology, Inspection, and Process Control for ...

Contents

Part One

XVII Conference Committee

xxi Plenary Paper: Resolution enhancement technology: the past, the present, and extensions for the future [5377-301] F. M. Schellenberg, Mentor Graphics Corp. (USA)

SESSION 1 KEYNOTE PRESENTATION

Metrology requirements for lithography's next wave (Keynote Paper) [5375-01 ] H. J. Levinson, Advanced Micro Devices, Inc. (USA)

SESSION 2 MASK-RELATED METROLOGY I

10 Low vacuum microscopy for mask metrology [5375-02] D. C. Joy, Univ. of Tennessee/Knoxville (USA) and Oak Ridge National Lab. (USA)

18 Phase defect detection with spatial heterodyne interferometry [5375-04] P. R. Bingham, K. W. Tobin, Oak Ridge National Lab. (USA); M. H. Bennett, P. Marmillion, International SEMATECH (USA)

Characterization of new CD photomask standards [5375-05] W. Mirande, B. Bodermann, W. Häßler-Grohne, С. G. Frase, S. Czerkas, H. Bosse, Physikalisch-Technische Bundesanstalt (Germany)

29

41 Simultaneous critical dimension and overlay measurements on a SEM through target design for inline manufacturing lithography control [5375-06] E. P. Solecky, J. D. Morillo, IBM Microelectronics Div. (USA)

SESSION 3 OVERLAY AND REGISTRATION METROLOGY I

51 A new approach to pattern metrology (Invited Paper) [5375-07] C. P. Ausschnitt, IBM Semiconductor Research and Development Ctr. (USA)

66 Scanner overlay mix and match matrix generation: capturing all sources of variation [5375-08] S. J. DeMoor, Texas Instruments, Inc. (USA); J. M. Brown, Nikon Precision, Inc. (USA); J. C. Robinson, KLA-Tencor Corp. (USA); S. Chang, C. Tan, Texas Instruments, Inc. (USA)

78 High-resolution optical overlay metrology [5375-09] R. M. Silver, R. Attota, M. Stocker, National Institute of Standards and Technology (USA); M. Bishop, International SEMATECH (USA); J. J. Jun, E. Marx, National Institute of Standards and Technology (USA); M. P. Davidson, Spectel Co. (USA); R. D. Larrabee, National Institute of Standards and Technology (USA)

Page 3: Metrology, Inspection, and Process Control for ...

96 Alignment in chromeless masks [5375-10] M. Mukherjee-Roy, N. Singh, S. S. Mehta, Institute of Microelectronics (Singapore); H. Suda, T. Kubota, Y. Kimura, H. Kinoshita, HOYA Corp. (Japan)

105 Alignment mark signal simulation system for the optimum mark feature selection [5375-11] T. Sato, A. Endo, Т. Higashiki, К. Ishigo, Т. Kono, Т. Sakamoto, Y. Shioyama, S. Tanaka, Toshiba Corp. (Japan)

114 Evaluation of alignment performance of different exposure tools under various CMP conditions [5375-12] I. K. Abramovich, W.-J. Chung, Tower Semiconductor, Ltd. (Israel)

122 Overlay measurement tool up to 70-nm design rule [5375-13] T. Fukui, H. Aoki, T. Endo, Т. Yamada, Nikon Corp. (Japan)

SESSION 4 SEM/SCATTEROMETRY FOR CRITICAL DIMENSION METROLOGY I

133 Reducing measurement uncertainty drives the use of multiple technologies for supporting metrology (Invited Paper) [5375-14] B. Banke, C. N. Archie, M. Sendelbach, J. Robert, J. A. Slinkman, P. Kaszuba, R. Kontra, M. DeVries, E. P. Solecky, IBM Microelectronics Div. (USA)

151 Results of benchmarking of advanced CD-SEMs at the 90-nm CMOS technology node [5375-48] B. D. Bunday, M. Bishop, International SEMATECH (USA); J. A. Allgair, Motorola (USA) and International SEMATECH (USA)

173 Quantification of CD-SEM wafer global charging effect on CD and CD uniformity of 193-nm lithography [5375-18] C.-M. Ke, H.-L Hung, A. Chang, J.-H. Chen, T.-S. Gau, Y.-C. Ku, B. J. Lin, Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan); T. Otaka, K. Ueda, H. Kawada, H. Nomura, N. Ren, Hitachi High-Technologies Corp. (Japan)

183 CD metrology for the 45-nm and 32-nm nodes [5375-16] B. J. Rice, H. B. Cao, Intel Corp. (USA); O. Chaudhuri, Lawrence Berkeley National Lab. (USA); M. G. Grumski, Intel Corp. (USA); B. D. Harteneck, A. Liddle, D. Olynick, Lawrence Berkeley National Lab. (USA); J. M. Roberts, Intel Corp. (USA)

191 Preliminary evaluation of line-edge roughness metrology based on CD-SAXS [5375-54] R. L. Jones, Т. Ни, С. L. Soles, E. K. Lin, W. Wu, National Institute of Standards and Technology (USA); D. M. Casa, Argonne National Lab. (USA); A. Mahorowala, IBM Thomas J. Watson Research Ctr. (USA)

SESSION 5 METHODS FOR MODELING

199 Dimensional metrology of resist lines using a SEM model-based library approach [5375-19] J. S. Villarrubia, A. E. Vladär, National Institute of Standards and Technology (USA); B. D. Bunday, M. Bishop, International SEMATECH (USA)

IV

Page 4: Metrology, Inspection, and Process Control for ...

210 A new analysis strategy for CD metrology using rapid photo goniometry method [5375-20] J. Petit, Electronics for Displays and Imaging Devices SA (France); P. Barritault, J. Hazart, P. Chaton, CEA-LETI (France); P. Boher, M. Luet, T. Leroux, Electronics for Displays and Imaging Devices SA (France)

222 Improved overlay metrology device correlation on 90-nm logic processes [5375-21] A. Ueno, К. Tsujita, Renesas Technology Corp. (Japan); H. Kurita, Y. Iwata, KLA-Tencor Corp. (Japan); M. Ghinovker, J. M. Poplawski, E. Kassel, M. E. Adel, KLA-Tencor Corp. (Israel)

232 Effective-medium model for fast evaluation of scatterometric measurements on gratings [5375-22] A. Weidner, Fraunhofer Institute of Integrated Systems and Device Technology (Germany); M. Slodowski, C. Halm, Leica Microsystems Semiconductor GmbH (Germany); C. Schneider, L. Pfitzner, Fraunhofer Institute of Integrated Systems and Device Technology (Germany)

244 A simple robust bias-free method of calculating CD-SEM resolution [5375-23] I. J. Rosenberg, Soluris, Inc. (USA)

254 Usage of overlay metrology simulator in design of overlay metrology tools for the 65-nm node and beyond [5375-24] Y. Simovitch, S. Gov, Nova Measuring Instruments, Ltd. (Israel)

SESSION 6 PROCESS CONTROL AND CHARACTERIZATION I

266 Effects of different processing conditions on line-edge roughness for 193-nm and 157-nm resists [5375-25] M. Ercken, L. H. A. Leunissen, I. Pollentier, IMEC (Belgium); G. P. Patsis, V. Constantoudis, E. Gogolides, Institute of Microelectronics (Greece)

276 Across-wafer CD uniformity enhancement through control of multizone РЕВ profiles [5375-26] Q. Zhang, P. D. Friedberg, Univ. of California/Berkeley (USA); C. Tang, B. Singh, Advanced Micro Devices, Inc. (USA); K. Poolla, C. J. Spanos, Univ. of California/Berkeley (USA)

287 Assessments on process parameters' influences to the proximity correction [5375-27] E.-M. Lee, S.-W. Lee, D.-Y. Lee, S.-H. Choi, J.-O. Park, S.-G. Jung, G.-S. Yeo, J.-H. Lee, H.-K. Cho, W.-S. Han, Samsung Electronics Co., Ltd. (South Korea)

296 Multivariate analysis of a 100-nm process measured by in-line scatterometry [5375-28] S. Egret, Tokyo Electron France S.A.R.L. (France); T. Furusho, Tokyo Electron Kyushu, Ltd. (Japan); B. Baudemprez, IMEC (Belgium)

307 Scatterometry feasibility studies for 0.13-micron flash memory lithography applications: enabling integrated metrology [5375-79] K. R. Lensing, С Miller, G. Chudleigh, FASL, LLC (USA); B. Swain, M. Laugher/, Timbre Technologies, Inc. (USA); A. Viswanathan, Tokyo Electron America, Inc. (USA)

v

Page 5: Metrology, Inspection, and Process Control for ...

SESSION 7 MASK-RELATED METROLOGY II: DEFECT ANALYSIS

317 Improving the uncertainty of photomask linewidth measurements [5375-31 ] J. M. Pedulla, Consultant to National Institute of Standards and Technology (USA); J. Potzick, R. M. Silver, National Institute of Standards and Technology (USA)

328 A new optical technique for monitoring wafer curvature and stress during copper damascene processing [5375-39] С A. Boye, R. Carpio, International SEMATECH (USA); J. Woodring, D. M. Owen, Oraxion Diagnostics (USA)

337 Contact hole edge roughness: circles vs. stars [5375-33] A. Habermas, Q. Lu, Cypress Semiconductor Corp. (USA); D. Chase-Colin, M. Har-Zvi, A. Tarn, O. Sagi, Applied Materials, Inc. (Israel)

346 Defect inspection of quartz-PSMs: taking a leap forward [5375-34] J. P. Heumann, F. Schurack, Advanced Mask Technology Ctr. (Germany); W. Dettmann, Infineon Technologies AG (Germany); L. Zurbrick, M. Lang, KLA-Tencor Corp. (USA)

355 Reticle surface contaminants and their relationship to sub-pellicle defect formation [5375-35] B. J. Grenon, Grenon Consulting, Inc. (USA); K. Bhattacharyya, W. W. Volk, KLA-Tencor Corp. (USA); K. A. Phan, Advanced Micro Devices, Inc. (USA); A. Poock, Advanced Micro Devices Saxony LLC and Co. KG (Germany)

SESSION 8 METROLOGY TOOL DEVELOPMENT

363 An image stitching method to eliminate the distortion of the sidewall in linewidth measurement [5375-37] X. Zhao, Harbin Institute of Technology (China); J. Fu, National Institute of Standards and Technology (USA); W. Chu, Harbin Institute of Technology (China); С Nguyen, Eloret Corp. (USA) and NASA Ames Research Ctr. (USA); T. V. Vorburger, National Institute of Standards and Technology (USA)

374 Comparison of actinic lens characterization by aerial image evaluation and interferometric testing for 157-nm high-NA micro-objectives [5375-41] H. Schreiber, Corning Tropel Corp. (USA)

SESSION 9 OVERLAY AND REGISTRATION METROLOGY II

384 A comparison of methods for in-chip overlay control at the 65-nm node [5375-42] J. С Robinson, M. Stakely, KLA-Tencor Corp. (USA); J. M. Poplawski, P. Izikson, E. Kassel, M. E. Adel, KLA-Tencor Corp. (Israel)

395 Evaluation of new in-chip and arrayed line overlay target designs [5375-43] R. Attota, R. M. Silver, National Institute of Standards and Technology (USA); M. Bishop, International SEMATECH (USA); E. Marx, J. J. Jun, M. Stocker, National Institute of Standards and Technology (USA); M. P. Davidson, Spectel Co. (USA); R. D. Larrabee, National Institute of Standards and Technology (USA)

VI

Page 6: Metrology, Inspection, and Process Control for ...

403 Target noise in overlay metrology [5375-44] J. L. Seligson, M. E. Adel, P. Izikson, V. Levinski, D. Yaffe, KLA-Tencor Corp. (Israel)

413 The estimation of total measurement uncertainty in a multiple metrology tool environment [5375-45] J. J. Hwu, T. J. Pham, S. Dulay, A. Lopez, P. Wilkens, Hitachi Global Storage Technologies (USA)

426 Characterization of a 100-nm 1D pitch standard by metrological SEM and SFM [5375-46] W. Häßler-Grohne, Т. Dziomba, С. G. Frase, H. Bosse, Physikalisch-Technische Bundesanstalt (Germany); J. Prochazka, VLSI Standards, Inc. (USA)

437 OPC accuracy and process window verification methodology for sub-100-nm node [5375-47] H. Yang, C. Park, J. Hong, G. Jeong, B. Cho, J. Choi, С Kang, K. Yang, E. Kang, S. Ji, D. Yim, Y. Song, Hynix Semiconductor, Inc. (South Korea)

SESSION 10 LINE-EDGE ROUGHNESS AND 3D EXTRACTION FROM TOP DOWN IMAGES

444 Study of 3D metrology techniques as an alternative to cross-sectional analysis at the R&D level [5375-49] J. Foucher, CEA-LETI (France); K. Miller, Veeco Instruments, Inc. (USA)

456 3D features analysis using spectroscopic scatterometry [5375-50] R. Quintanilha, P. Thony, CEA-LETI (France); D. Henry, STMicroelectronics (France); J. Hazart, CEA-LETI (France)

468 Metrology of LER: influence of line-edge roughness (LER) on transistor performance [5375-51] A. Yamaguchi, K. Ichinose, S. Shimamoto, H. Fukuda, R. Tsuchiya, K. Ohnishi, Hitachi, Ltd. (Japan); H. Kawada, T. lizumi,' Hitachi High-Technologies Corp. (Japan)

477 193-nm resist roughness characterization and process propagation investigation using a CD-SEM [5375-52] T. Marschner, A. Lee, S. Fuchs, L. Völkel, Infineon Technologies AG (Germany); C. Stief, Applied Materials (Germany)

486 Improved etch and CMP process control using in-line AFM [5375-53] T. Trenkler, T. Kraiss, U. Mantz, P. Weidner, Infineon Technologies AG (Germany); R. H. Pinto, KLA-Tencor Corp. (USA)

SESSION 11 SEM/SCATTEROMETRY FOR CRITICAL DIMENSION METROLOGY II

494 Methods for evaluating lithographic performance of exposure tools for the 45-nm node: ECD and scatterometry [5375-65] K. Huang, B. J. Rice, B. Coombs, Intel Corp. (USA); R. Freed, KLA-Tencor Corp. (USA)

503 Total measurement uncertainty and total process precision evaluation of a structural metrology approach to monitoring post-CMP processes [5375-57] W. Lu, C. N. Archie, IBM Microelectronics Div. (USA); S. Stone, H. H. Kang, P. R. Chitturi, FEI Co. (USA)

VII

Page 7: Metrology, Inspection, and Process Control for ...

515 Determination of optimal parameters for CD-SEM measurement of line-edge roughness [5375-15] B. D. Bunday, M. Bishop, D. W. McCormack, Jr., International SEMATECH (USA); J. S. Villarrubia, A. E. Vladär, R. Dixson, T. V. Vorburger, N. G. Orji, National Institute of Standards and Technology (USA); J. A. Allgair, Motorola (USA) and International SEMATECH (USA)

CONTAMINATION ISSUES IN LITHOGRAPHY

534 Contaminant dry-down rates in photolithography purge gases [5375-171 ] A. Tram, R. J. Holmes, J. J. Spiegelman, D. Alvarez, Jr., Mykrolis Corp. (USA)

SESSION 12 SCATTEROMETRY II

541 Successful application of angular scatterometry to process control in sub-100-nm DRAM device [5375-56] J.-A. Kim, S.-J. Kim, S.-B. Chin, S.-H. Oh, D. Goo, S.-J. Lee, S.-G. Woo, H.-K. Cho, W.-S. Han, J.-T. Moon, Samsung Electronics Co., Ltd. (South Korea); C. J. Raymond, M. E. Littau, Accent Optical Technologies, Inc. (USA); B. Youn, C.-J. Sohn, Accent Optical Technologies, Inc. (South Korea)

550 Correlating scatterometry to CD-SEM and electrical gate measurements at the 90-nm node using TMU analysis [5375-60] M. Sendelbach, С. N. Archie, В. Banke, J. Mayer, IBM Microelectronics Div. (USA); H. Nii, Toshiba America Electronic Components, Inc. (USA); P. Herrera, M. Hankinson, KLA-Tencor Corp. (USA)

564 Comparison of solutions to the scatterometry inverse problem [5375-61 ] C. J. Raymond, M. E. Littau, Accent Optical Technologies, Inc. (USA); A. Chuprin, S. Ward, Accent Optical Technologies, Inc. (United Kingdom)

576 Optimization of scatterometry parameters for shallow trench isolation (STI) monitor [5375-62] P. J. Leray, S. Cheng, IMEC (Belgium); S. Kremer, KLA-Tencor Corp. (France); M. Ercken, I. Pollentier, IMEC (Belgium)

587 Spectroscopic eliipsometry-based scatterometry for depth and linewidth measurements of polysilicon-filled deep trenches [5375-63] T. Hingst, M. Moert, P. Reinig, E. Backen, R. Dost, P. Weidner, Infineon Technologies AG (Germany); J. Hopkins, T. G. Dziura, A. Elazami, R. Freed, KLA-Tencor Corp. (USA)

SESSION 13 CRITICAL DIMENSION METROLOGY/3D EXTRACTION FROM TOP DOWN IMAGES

597 90-nm lithography process characterization using ODP scatterometry technology [5375-17] C.-M. Ke, S.-S. Yu, Y.-H. Wang, Y.-J. Chou, J.-H. Chen, B.-H. Lee, H.-Y. Chu, H.-T. Lin, T.-S. Gau, C.-H. Lin, Y.-C. Ku, B. J. Lin, Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan); J. Huang, J. J. Hsu, V. Liu, D. Hetzer, L. Yap, W. Yang, Timbre Technologies, Inc. (USA); K. Araki, Tokyo Electron, Ltd. (Japan)

VIM

Page 8: Metrology, Inspection, and Process Control for ...

605 CD-SEM-based critical shape metrology of integrated circuits [5375-66] D. V. Gorelikov, J. Remillard, N. T. Sullivan, Soluris, Inc. (USA)

614 Electrical linewidth metrology for sub-65-nm applications [5375-58] G. Storms, S. Cheng, I. Pollentier, IMEC (Belgium)

623 Experimental methodology of contact edge roughness on sub-100-nm pattern [5375-68] T. Y. Lee, D. Ihm, H. С Kang, J. B. Lee, B. H. Lee, S. B. Chin, D. H. Cho, Samsung Electronics Co., Ltd. (South Korea); Y. H. Kim, Applied Materials (Israel); H. D. Yang, К. М. Yang, Applied Materials (South Korea)

633 Reference metrology using a next-generation CD-AFM [5375-69] R. Dixson, National Institute of Standards and Technology (USA) and International SEMATECH (USA); A. Guerry, International SEMATECH (USA)

647 Sub-50-nm isolated line and trench width artifacts for CD metrology [5375-59] M. Tortonese, VLSI Standards, Inc. (USA); G. Lorusso, KLA-Tencor Corp. (USA); R. M. Blanquies, J. Prochazka, VLSI Standards, Inc. (USA); L. Grella, KLA-Tencor Corp. (USA)

SESSION 14 JOINT SESSION I: CD UNIFORMITY CONTROL

657 193-nm resist shrinkage carryover effect to a post-etch layer due to CD-SEM measurement [5375-70] G. X. Cao, N. J. Wheeler, A. S. Wong, Intel Corp. (USA)

665 Damage-free metrology of porous low-k dielectrics using CD-SEM [5375-71 ] Z. H. Cheng, M. Nozoe, Hitachi, Ltd. (Japan); M. Ezumi, Hitachi High-Technologies Corp. (Japan)

675 Low-impact resist metrology: the use of ultralow voltage for high-accuracy performance [5375-72] G. Sundaram, N. T. Sullivan, T. Mai, Soluris, Inc. (USA); C.-M. Ke, Taiwan Semiconductor Manufacturing Corp. (Taiwan)

SESSION 15 JOINT SESSION II: ADVANCED PROCESS CONTROL I

686 Feedforward of mask open measurements on an integrated scatterometer to improve gate linewidth control [5375-73] M. Sendelbach, W. Natzle, С N. Archie, B. Banke, IBM Microelectronics Div. (USA); D. Prager, D. Engelhard, J. Ferns, Timbre Technologies, Inc. (USA); A. Yamashita, Tokyo Electron Massachusetts, Inc. (USA); M. Funk, Tokyo Electron America, Inc. (USA); F. Higuchi, Tokyo Electron Massachusetts, Inc. (USA); M. Tomoyasu, Tokyo Electron, Ltd. (Japan)

703 Time-based РЕВ adjustment for optimizing CD distributions [5375-74] P. D. Friedberg, Advanced Micro Devices, Inc. (USA) and Univ. of California/Berkeley (USA); C. Tang, B. Singh, Advanced Micro Devices, Inc. (USA); T. Brueckner, W. Gründke, B. Schulz, Advanced Micro Devices, Inc. (Germany); C. J. Spanos, Univ. of California/Berkeley (USA)

Page 9: Metrology, Inspection, and Process Control for ...

713 Logic gate scanner focus control in high-volume manufacturing using scatterometry [5375-75] R. J. Dare, Agere Systems (USA); B. Swain, M. Laughery, Timbre Technologies, Inc. (USA)

Part Two

POSTER SESSION

721 Lithography process window analysis with calibrated model [5375-29] W. Zhou, J. Yu, J. Lo, J. Liu, UMCi, Ltd. (Singapore)

727 New technique to reconstruct effective 3D profile from tilt images of CD-SEM [5375-67] H. Morokuma, Hitachi High-Technologies Corp. (Japan); A. Miyamoto, M. Tanaka, M. Kazui, Hitachi, Ltd. (Japan); A. Takane, Hitachi High-Technologies Corp. (Japan)

735 Overlay advanced process control for foundry application [5375-76] X. Wan, A. Zhou, F. Zhang, J. Li, X. Gu, Semiconductor Manufacturing International Corp. (China); E. C. Mos, A. Kisteman, V. Wang, R. Schuurhuis, ASML (Netherlands)

744 A high-resolution contamination-mode inspection method providing a complete solution to the inspection challenges for advanced photomasks [5375-77] K. Bhattacharyya, KLA-Tencor Corp. (USA); Y.-T. Huang, KLA-Tencor Corp. (Taiwan); K. Son, KLA-Tencor Corp. (USA); D. Wang, KLA-Tencor Corp. (Taiwan); L. Liu, С H. Liao, Y.-M. Dai, J.-C. Lin, Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan)

753 Belly button reduction using optimized resist filtration method in CMOS gate pattern process [5375-80] J.-H. Baik, D.-J. Lee, S.-H. Lee, S.-H. Park, l.-H. Lee, J.-S. Choi, Dongbu-Anam Semiconductor (South Korea)

761 Overlay errors induced by metallic stress: mechanism and solutions [5375-81] Y. Yen, C. Chang, F. Lin, J. Su, T. Yang, Macronix International Co., Ltd. (Taiwan)

771 Infrared spectroscopic ellipsometry in semiconductor manufacturing [5375-82] P.-Y. Guittet, U. Mantz, P. Weidner, Infineon Technologies AG (Germany); J.-L. Stehle, M. Bucchia, S. Bourtault, D. Zahorski, SOPRA SA (France)

779 Defect learning with 1 ?3-nm resists [5375-83] I. Möge, В. Pinter, Infineon Technologies AG (Germany); M. Tuckermann, KLA-Tencor Corp. (Germany); O. Donzella, KLA-Tencor Corp. (USA)

792 Development of high-repetition-rate molecular fluorine lasers for metrology and inspection [5375-84] H. P. Huber, M. Bauer, A. J. Görtier, С. F. Strowitzki, A. Hohla, TuiLaser AG (Germany)

798 Production control of shallow trench isolation (STI) at the 130-nm node using spectroscopic ellipsometry-based profile metrology [5375-85] R. M. Peters, KLA-Tencor Corp. (USA); R. H. Chiao, T. Eckert, R. Labra, D. Nappa, S. Tang, J. Washington, Texas Instruments, Inc. (USA)

807 Are ambient SO2 levels a valid indicator of projected acid gas filter life? [5375-86] A. J. Dallas, L. Ding, J. Joriman, B. Hoang, J. G. Parsons, K. Seguin, Donaldson Co., Inc. (USA)

Page 10: Metrology, Inspection, and Process Control for ...

819 Automated defect cross-sectioning with an in-line DualBeam [5375-88] S. Blanc-Coquand, B. Hinschberger, E. Rouchouze, STMicroelectronics (France); E. Sicurani, CEA-LETI (France); M. Castagna, M. Weschler, L. Dworkin, D. Renard, A. Panyasak, FEI Co. (USA)

827 Fine tune W-CMP process with alignment mark selection for optimal metal layer overlay and yield benefits [5375-89] Y. Cui, A. So, S. Louks, Infineon Technologies AG (USA)

839 Metrology exposure-induced resist and ARC damage [5375-90] J. Yu, Timbre Technologies, Inc. (USA); A. Viswanathan, M. Miyagi, Tokyo Electron America, Inc. (USA); J. Uchida, L. Lane, K. A. Barry, Timbre Technologies, Inc. (USA); M. Kajitani, T. Kikuchi, Tokyo Electron, Ltd. (Japan); К. С Chan, F. E. Stanke, ThermaWave, Inc. (USA)

849 Optical characterization of defects on patterned wafers: exploring light polarization [5375-92] B. H. Lee, S.-B. Chin, D. H. Cho, C.-L. Song, Samsung Electronics Co., Ltd. (South Korea); J.-H. Yeo, D. Some, S. Reinhorn, Applied Materials (Israel)

859 Electrical defect SEM review under the various electric circumstances on SAC layer [5375-93] T. Y. Lee, N.-K. Whan, B. H. Lee, S.-B. Chin, D. H. Cho, Samsung Electronics Co., Ltd. (South Korea); J. I. Choi, S. S. Hur, K. H. Ко, Applied Materials (South Korea); J.-H. Yeo, Applied Materials (Israel)

865 Influence of line-edge roughness on MOSFET devices with sub-50-nm gates [5375-94] K. Shibata, N. Izumi, K. Tsujita, Semiconductor Leading Edge Technologies, Inc. (Japan)

874 Detection method for a T-topped profile in resist patterns by CD-SEM [5375-95] A. Yamaguchi, H. Fukuda, Hitachi, Ltd. (Japan); O. Komuro, S. Yoneda, T. lizumi, Hitachi High-Technologies Corp. (Japan)

881 Test of a new sub-90-nm DR overlay mark for DRAM production [5375-96] S. Gruss, A. Teipel, C. Fülber, Infineon Technologies AG (Germany); E. Kassel, M. E. Adel, M. Ghinovker, P. Izikson, KLA-Tencor Corp. (Israel)

893 Robust and efficient image processing scheme for electron beam LSI wafer pattern inspection [5375-99] T. Hiroi, M. Fukunishi, Hitachi, Ltd. (Japan)

903 Alignment offset analyzer against wafer-induced shift (WIS) [5375-100] T. Matsumoto, H. Ina, К. Sentoku, Canon, Inc. (Japan)

912 Productivity and yield improvement through implementation of automated defect review SEM at 45° column tilt in a high-capacity production Fab [5375-101] J. Tan, S. Kulkami, Chartered Semiconductor Manufacturing, Ltd. (Singapore); S. L. Ng, A. Jain, V. Srinivasan, Applied Materials South East Asia, Ltd. (Singapore); N. Raccah, 0 . Rotlevi, Applied Materials Israel (Israel)

921 Inspection performances of the electron beam inspection system based on projection electron microscopy [5375-102] 1. Nagahama, A. Onishi, Y. Yamazaki, Toshiba Corp. (Japan); T. Satake, N. Noji, Ebara Corp. (Japan)

Page 11: Metrology, Inspection, and Process Control for ...

929 Measurement precision of CD-SEM for 65-nm technology node [5375-103] H. Abe, H. Motoki, T. Ikeda, Y. Yamazaki, Toshiba Corp. (Japan)

940 Investigation of UFO defect on DUV CAR and BARC process [5375-104] S. I. Yet, B. S. Ко, S. M. Lee, M. May, 1st Silicon Pte., Ltd. (Malaysia)

949 Advanced alignment optical system for DUV scanner [5375-105] T. Nagayama, M. Yasuda, Y. Kanaya, T. Masada, A. Sugaya, Nikon Corp. (Japan)

958 Barometric pressure compensation to control photoresist film thickness [5375-107] V. Vishnu, M. Randall, C. J. Pillette, IBM Corp. (USA); K. Katayama, K. Omura, R. Uemura, H. Tomita, R. Ando, K. Ogata, Tokyo Electron Kyushu, Ltd. (Japan); H. Maejima, A. DiDonato, J. Nicholson, Tokyo Electron America, Inc. (USA)

967 Toward a complete description of linewidth roughness: a comparison of different methods for vertical and spatial LER and LWR analysis and CD variation [5375-108] V. Constantoudis, G. P. Patsis, Institute of Microelectronics (Greece); L. H. A. Leunissen, IMEC (Belgium); E. Gogolides, Institute of Microelectronics (Greece)

978 Edge die focus-exposure monitoring and compensation to improve CD distributions [5375-109] B. J. Eichelberger, KLA-Tencor Corp. (USA); V. Subramony, TECH Semiconductor (Singapore); A. Chew, B. A. Dinu, KLA-Tencor Corp. (USA); D. Goh, P. С Lim, TECH Semiconductor (Singapore); К. M. Monahan, KLA-Tencor Corp. (USA)

987 Optimization method of edge shot yield for various wafer layouts [5375-110] A. Eidelman, A. Blau, I. K. Abramovich, Tower Semiconductor, Ltd. (Israel)

996 Enhancing film thickness metrology optical coefficient control [5375-111 ] I. Jekauc, E. Donohue, B. Roberts, Infineon Technologies AG (USA)

1004 Effect of inline dose and focus monitoring and control on post-etch CD [5375-112] B. A. Dinu, KLA-Tencor Corp. (USA); V. Subramony, P. C. Um, D. Goh, TECH Semiconductor (Singapore); B. J. Eichelberger, K. B. Chew, K. M. Monahan, KLA-Tencor Corp. (USA)

1010 Characterizing SiOx Ny ARC materials with laser ellipsometry and DUV reflectometry [5375-114] G. G. Jiang, T. Sun, D. Pelcher, J. Clerico, Rudolph Technologies, Inc. (USA); J.-P. Li, Y.-R. Chen, Silicon Integrated Systems Corp. (Taiwan)

1017 Edge printability: techniques used to evaluate and improve extreme wafer edge printability [5375-115] B. Roberts, C. Demmert, I. Jekauc, J. P. Tiffany, Infineon Technologies AG (USA)

1024 Application of scatterometry to shallow trench isolation monitoring [5375-117] I. Dudley, FASL, LLC (USA); A. Somadder, Timbre Technologies, Inc. (USA)

1033 Real-time optics contamination monitoring using surface acoustic wave technology [5375-118] S. Rowley, Particle Measuring Systems (USA)

Page 12: Metrology, Inspection, and Process Control for ...

1039 PSM alignment for Sigma7300: signal quality and resist effects from using the writing DUV laser light spatial light modulator and a CCD camera as measurement tool for 2:nd layer alignment metrology [5375-125] T. Öström, S. Lindau, M. Ekberg, H. A. Fosshaug, R. Zerne, Micronic Laser Systems AB (Sweden)

1050 Dual side wafer metrology for micromachining applications [5375-127] D. Schurz, W. W. Flack, D. Anberg, Ultratech, Inc. (USA)

1059 Characterization of integrated optical CD for process control [5375-128] J. Yu, J. Uchida, Timbre Technologies, Inc. (USA); Y. van Dommelen, R. Carpaij, ASML (Netherlands); S. Cheng, I. Pollentier, IMEC (Belgium); A. Viswanathan, L. Lane, K. A. Barry, N. Jakatdar, Timbre Technologies, Inc. (USA)

1069 A novel and robust method for the accurate magnification characterization and calibration of out-of-fab SEM cluster tools [5375-129] A. Sicignano, A. Nikitin, D. Yeremin, T. Goldburt, Nanometrology LLC (USA); B. Tracy, FASL, LLC (USA)

1081 Scatterometry for contact hole lithography [5375-130] K. A. Barry, Timbre Technologies, Inc. (USA); A. Viswanathan, Tokyo Electron America, Inc. (USA); X. Niu, J. Bischoff, Timbre Technologies, Inc. (USA)

1087 Simulation-based mask quality control in a production environment [5375-131 ] L Pang, J.-H. Chen, L Cai, Synopsys, Inc. (USA); D. Lee, B. Chu, V. Huang, T. Y. Fang, United Microelectronic Corp. (Taiwan)

1098 Approaching new metrics for wafer flatness: an investigation of the lithographic consequences of wafer non-flatness [5375-132] J. F. Valley, ADE Phase Shift (USA); N. Poduje, J. Sinha, N. Judell, J. Wu, ADE Corp. (USA); M. Boonman, S. Tempelaars, Y. van Dommelen, H. Kattouw, J. Hauschild, ASML (Netherlands); B. Hughes, A. Grabbe, L. Stanton, MEMC Electronic Materials, Inc. (USA)

1109 Nanocal calibration and pitch recertification of a Hitachi microscale standard [5375-133] D. Yeremin, A. Nikitin, A. Sicignano, M. Sandy, T. Goldburt, Nanometrology LLC (USA); B.Tracy, FASL, LLC (USA)

1112 Three-dimensional measurement by tilting and moving objective lens in CD-SEM (II) [5375-135] K. Abe, K. Kimura, Y. Tsuruga, S. Okada, H. Suzuki, N. Kochi, H. Koike, TOPCON Corp. (Japan); A. Hamaguchi, Y. Yamazaki, Toshiba Corp. (Japan)

1118 Investigation of systematical overlay errors limiting litho process performance of thick implant resists [5375-137] A. G. Grandpierre, R. Schiwon, J.-U. Bruch, С. Nacke, U. P. Schröder, Infineon Technologies AG (Germany)

1125 Electron beam inspection system for semiconductor wafer based on projection electron microscopy [5375-138] T. Satake, N. Noji, T. Murakami, M. Tsujimura, Ebara Corp. (Japan); I. Nagahama, Y. Yamazaki, A. Onishi, Toshiba Corp. (Japan)

XIII

Page 13: Metrology, Inspection, and Process Control for ...

1135 Capability of spectroscopic ellipsometry-based profile metrology for detecting the profile excursion of polysilicon gate [5375-139] M. Yen, S.-P. Fang, B.-J. Tsau, C.-C. Huang, B. S. Lin, United Microelectronics Corp. (Taiwan); S. Fu, J. С Chen, R. Freed, T. G. Dziura, M. D. Slessor, KLA-Tencor Corp. (USA)

1144 MPPC technique for gate etch process monitoring using CD-SEM images and its validity verification [5375-140] M. Tanaka, C. Shishido, Y. Takagi, Hitachi, Ltd. (Japan); H. Morokuma, Hitachi High-Technologies Corp. (Japan)

1156 Application of the rigorous treatment for the characterization of sub-micron structures on photomasks [5375-141] P. Triebel, P. Weissbrodt, JENOPTIK Laser, Optik, Systeme GmbH (Germany); S. Nolte, E. B. Kley, A. Tuennermann, Friedrich-Schiller Univ. Jena (Germany)

1164 Dielectric antireflection layer optimization: correlation of simulation and experimental data [5375-142] Y. Gu, A. Wang, D. Chou, Integrated Device Technology, Inc. (USA)

1173 OCD study of critical dimension and line-shape control of shallow-trench-isolation structures [5375-143] Y. Feng, X. Zhang, B. Cheung, Z. Liu, Nanometrics, Inc. (USA); M. Isao, M. Hayashi, Semiconductor Leading Edge Technologies, Inc. (Japan)

1183 Photomask disposition based on simulated device performance [5375-144] L. Pang, X. Xu, Synopsys, Inc. (USA)

1191 Qualification of a low-cost high-quality reticle process for 90-nm contact layers [5375-145] K. J. Strozewski, J. Perez, R. Carter, Motorola, Inc. (USA); R. Kiefer, С. Jackson, S. MacDonald, F. Kalk, DuPont Photomasks, Inc. (USA)

1199 Mask line monitor: process improvements and yield learning [5375-146] Y. J. Wang, A. J. Watts, IBM Corp. (USA)

1205 New method for the measurement of SEM stage vibrations [5375-150] B. N. Damazo, E. C. Jayewardene, A. E. Vladär, W. J. Keery, M. T. Postek, National Institute of Standards and Technology (USA)

1212 Height and sidewall angle SEM metrology accuracy [5375-151 ] R. Kris, O. Adan, A. Tarn, A. Y. Karabekov, O. Menadeva, R. Peltinov, A. Pnueli, O. Zoran, Applied Materials, Inc. (Israel); A. Vilenkin, Hebrew Univ. of Jerusalem (Israel)

1224 CD-SEM application for generic analysis of two-dimensional features on wafers and reticles [5375-157] R. Kris, A. Tarn, O. Menadeva, R. Peltinov, L. Segal, N. Wertsman, O. Adan, N. Shcolnik, G. Gottlib, Applied Materials, Inc. (Israel); A. Viienkin, Hebrew Univ. of Jerusalem (Israel)

1235 Improvement of aluminum interconnect overlay measurement capability through metrology and hardmask process development [5375-158] A. L Ihochi, M. E. Ross, Intel Corp. (USA)

Page 14: Metrology, Inspection, and Process Control for ...

1247 Prospects for using primary electron-based CD metrology [5375-159] B. J. Rice, G. L. Crays, Intel Corp. (USA); A. Danilevsky, Hitachi High-Technologies Corp. (USA); M. G. Grumski, Intel Corp. (USA); S. Koshihara, Hitachi Science Systems, Ltd. (Japan); T. Otaka, Hitachi High-Technologies Corp. (Japan); J. M. Roberts, Intel Corp. (USA)

1254 Total test repeatability: a new figure of merit for CD metrology tools [5375-160] H. Cramer, T. Kiers, P. Vanoppen, J. Meessen, F. Blok, ASML (Netherlands); M. V. Dusa, ASML (USA)

1265 Segmented alignment mark optimization and signal strength enhancement for deep trench process [5375-161] Y. Cui, F. Goodwin, Infineon Technologies AG (USA); R. van Haren, ASML (Netherlands)

1278 Aerial image measurement technique for fast evaluation of 193-nm lithography masks [5375-162] A. M. Zibold, T. Scherübl, W. Harnisch, R. Brunner, J. Greif, Carl Zeiss (Germany)

1286 Quasi-Brewster angle technique for evaluating the quality of optical surfaces [5375-163] J. Wang, R. L. Maier, Corning Tropel Corp. (USA)

1295 New methodology for evaluating and quantifying reticle line end shortening [5375-164] M. С Simmons, J. V. Jensen, R. Müller, A. M. Jost, LSI Logic Corp. (USA)

1302 Innovative rapid photogoniometry method for CD metrology [5375-166] P. Boher, M. Luet, T. Leroux, J. Petit, Electronics for Displays and Imaging Devices SA (France); P. Barritault, J. Hazart, P. Chaton, CEA-LETI (France)

1314 Scanning holographic scatterometer for wafer surface inspection [5375-167] A. Klooster, J. Marks, Sentec Corp. (USA); K. Hanson, Univ. of Wisconsin (USA); T. Sawatari, Sentec Corp. (USA)

1325 Characterization and control of sub-100-nm etch and lithography processes using atomic force metrology [5375-168] K. Miller, V. Geiszier, D. Dawson, Veeco Instruments, Inc. (USA)

1331 Linewidth measurement simulations for semiconductor circuits by scatterometry using FDTD and time shortening calculation method [5375-169] H. Shirasaki, Tamagawa Univ. (Japan); K. Ueta, Dainippon Screen Manufacturing Co., Ltd. (Japan)

1339 Arbitrary 3D linewidth form measurement simulations for the next-generation semiconductor circuits by scatterometry using the FDTD method [5375-170] H. Shirasaki, Tamagawa Univ. (Japan)

1346 Image quality monitoring for enhanced precision and tool matching of CD measuring tools [5375-176] A. Pnueli, A. Y. Karabekov, G. Eytan, Applied Materials, Inc. (Israel)

1356 Finite difference algorithm in real-time optical CD applications [5375-178] J. L Opsal, H. Chu, J. Leng, Therma-Wave, Inc. (USA)

XV

Page 15: Metrology, Inspection, and Process Control for ...

1364 Contact hole application for lithography process development using the Opti- Probe three-dimensional RT/CD technology [5375-179] Z. Jiang, O. Sorkhabi, H. Chu, X. Cao, G. Li, Y. Wen, J. L. Opsal, Therma-Wave, Inc. (USA); Y. C. Chang, Univ. of Illinois/Urbana-Champaign (USA)

1374 Application of spectroscopic ellipsometry-based scatterometry for ultrathin spacer structure [5375-180] R. C.-J. Chen, F.-C. Chen, Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan); Y.-Y. Luo, KLA-Tencor Corp. (USA); B.-C. Perng, Y.-H. Chiu, H.-J. Tao, Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan)

1382 Laser sample stage-based image resolution enhancement method for SEMs [5375-181 ] A. E. Vladär, E. C. Jayewardene, B. N. Damazo, W. J. Keery, M. T. Postek, Jr., National Institute of Standards and Technology (USA)

1389 Carbon nanotube atomic force microscopy cantilevers [5375-182] Y. N. Emirov, J. D. Schumacher, B. Lagel, N. Nguyen, Univ. of South Florida (USA); Z. F. Ren, Boston College (USA); Z. P. Huang, NanoLab, Inc. (USA); B. B. Rossie, R. Schlaf, Univ. of South Florida (USA)

1393 Author Index

XVI