Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be...

22
Lecture 25: Lithography Contents 1 Introduction 1 2 Process overview 2 3 Photoresists 5 4 Mask making 7 5 Photoresist application 9 6 Alignment and exposure 15 6.1 E-beam lithography ........................ 15 7 Developing 18 8 Lithography advances 18 1 Introduction Lithography (or patterning) refers to the series of steps that establish the shapes, dimensions, and location of the various components of the integrated circuit (IC). The current progress in IC design, with the decreased dimensions (miniaturization) of the chip and increased density of transistors, is possible only if smaller areas on the wafer surface can be patterned. This is primarily the function of lithography. Thus, the success of modern IC design is due largely to lithography. This can be summarized in the process goals 1. Create a pattern with the dimensions established by the circuit design. 2. Place the pattern correctly with respect to the crystal orientation and other existing patterns. 1

Transcript of Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be...

Page 1: Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be uni-formly spread on the surface since any thickness variations can cause prob-lems

Lecture 25: Lithography

Contents

1 Introduction 1

2 Process overview 2

3 Photoresists 5

4 Mask making 7

5 Photoresist application 9

6 Alignment and exposure 156.1 E-beam lithography . . . . . . . . . . . . . . . . . . . . . . . . 15

7 Developing 18

8 Lithography advances 18

1 Introduction

Lithography (or patterning) refers to the series of steps that establish theshapes, dimensions, and location of the various components of the integratedcircuit (IC). The current progress in IC design, with the decreased dimensions(miniaturization) of the chip and increased density of transistors, is possibleonly if smaller areas on the wafer surface can be patterned. This is primarilythe function of lithography. Thus, the success of modern IC design is duelargely to lithography. This can be summarized in the process goals

1. Create a pattern with the dimensions established by the circuit design.

2. Place the pattern correctly with respect to the crystal orientation andother existing patterns.

1

Page 2: Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be uni-formly spread on the surface since any thickness variations can cause prob-lems

MM5017: Electronic materials, devices, and fabrication

After the pattern is created, either the defined part of the wafer surface isremoved (trench creation) or left behind (island creation) or new materialis deposited. Lithography is also used to expose certain parts of the wafersurface for doping (either with a hard mark for thermal diffusion or with asoft mask for ion implantation).The correct placement of the circuit pattern involves alignment or registrationof various masks. An IC wafer fabrication process can require forty or morepatterning steps. Alignment of these individual steps is critical to form aworking IC.

2 Process overview

For lithography processing, a hard copy of the pattern has to be first gen-erated. This is called a reticle or mask. The design on the mask has to betransferred to the wafer, as shown in figure 1. The transfer can be 1:1 (i.e.with no reduction in size) but usually the size is reduced so that the patternis transferred to a smaller region on the wafer. This is done by using suitablelens to demagnify the pattern. Lithography can be broadly divided into twostages, each of which consists of several steps.

1. First, the pattern is transferred to a photoresist layer on the wafer.Photoresist is a light sensitive material whose properties change onexposure to light of specified wavelength. This process is called de-veloping . The pattern formed in this step is temporary and can beremoved easily. This is especially important if the pattern is not prop-erly alignment with the wafer or with any existing patterns on thewafer, improper registry.

2. The transfer of the pattern takes place from the photoresist to thewafer. Exposed wafer surfaces can be etched (removal of material) orlayers deposited on it. Dopant materials can also be added to sectionsof the wafer through the pattern. This stage is final and it is veryhard to remove the formed patterns without causing damage to theunderlying wafer.

The overall lithography process is summarized in figure 2. After the patternis formed on the photoresist and the wafer surface is exposed (developingprocess) the exposed wafer surface is etched. It is also possible to depositmaterial on the exposed surface.

2

Page 3: Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be uni-formly spread on the surface since any thickness variations can cause prob-lems

MM5017: Electronic materials, devices, and fabrication

Figure 1: Typical IC fabrication process showing the different features onthe die with increasing magnification from (a) - (c). A mask can be madeof many chips, each chip will also have a variety of device features. Thesepatterns will be transferred to the wafer during lithography. Adapted fromFundamentals of semiconductor manufacturing and process control - Mayand Spanos.

3

Page 4: Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be uni-formly spread on the surface since any thickness variations can cause prob-lems

MM5017: Electronic materials, devices, and fabrication

Figure 2: Overview of the lithography process. In this example, lithographyis used to remove material (etching) from the wafer surface by selectivelyexposing part of it. Adapted from Microchip fabrication - Peter van Zant.

4

Page 5: Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be uni-formly spread on the surface since any thickness variations can cause prob-lems

MM5017: Electronic materials, devices, and fabrication

3 Photoresists

The use of photoresists in the wafer fabrication industry was started in the1950s. The technology was adapted from the photo industry. There areboth general purpose resists and resists for specific applications. They areusually tuned to a specific wavelength. The components of a photoresist areas follows.

1. Polymer - this is a light sensitive polymer whose structure changes onexposure to light. The desired property is usually change in solubilityin a specific solvent.

2. Solvent - The solvent is used to thin the resist so that is can be appliedon the wafer by a spin on process. The solvent is usually removed byheating to around 100 ◦C, called soft bake process.

3. Sensitizers - these are used to control the chemical reaction duringexposure.

4. Additives - various chemicals that are added to achieve specific processresults, like dyes.

Photoresists usually react to UV or visible light and hence these are calledoptical resists. There are also specific resists for other type of radiations likex-ray and e-beam.Overall, photoresists are divided into two main types.

1. Positive resists - on exposure to UV light these become more soluble.

2. Negative resists - on exposure to UV light these resists becomes lesssoluble.

The difference in working of the two resist types are summarized in figure 3.Positive resists directly transfer the pattern from the mask onto the wafer.This is because the mask protects the portion of the resist below it fromexposure to UV radiation. The rest of the resist, that is exposed, becomesmore soluble and can be easily removed. Negative resists, on the other hand,transfer the negative of the mask pattern to the wafer. This is similar to thenegative process in film photography. For negative resists, the portion thatis protected by the mask pattern is more soluble, since it is not exposed toUV radiation, while the radiation hardens the rest of the resist.SU-8 is an example of a commonly used epoxy-based negative photoresist.The structure of the molecule is shown in figure 4. It is a viscous polymerbased resist. When exposed to UV light of wavelength 365 nm, the polymer

5

Page 6: Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be uni-formly spread on the surface since any thickness variations can cause prob-lems

MM5017: Electronic materials, devices, and fabrication

Figure 3: (a) - (e) Steps in exposure of a wafer using positive and negativephotoresists with the same mask. The positive resist directly transfers themask pattern on the wafer while the negative resist transfers a negative ofthe pattern on the wafer. Adapted from Fundamentals of semiconductormanufacturing and process control - May and Spanos.

6

Page 7: Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be uni-formly spread on the surface since any thickness variations can cause prob-lems

MM5017: Electronic materials, devices, and fabrication

Figure 4: Structure of the SU-8 photoresist. It is a negative photoresist andhas maximum absorption for UV light of wavelength 365 nm. On exposure,the long chains crosslink, causing polymerization and making the photoresistless soluble. Source http://en.wikipedia.org/wiki/SU-8 photoresist.

chains cross-link making the resist insoluble. The cured cross-linked chainsare stable in vacuum, which is important when using the resist for vapordeposition. Typical photo resist thickness on the wafer is around few hundrednm to tens of µm depending on the size of the mask pattern. There are alarge number of resists and developer groups that are used not only in the ICindustry but also for MEMS (micro electro mechanical systems) applications.

4 Mask making

The mask contains the hard copy of the pattern that has to be transferred tothe different wafers during lithography. For a given integrated circuit, thereare multiple masks, which have to be aligned for proper device fabrication.Masks have alignment markers included with the pattern, which can be usedfor this purpose. Figure 5 shows three masks used for a MEMS device callednanocalorimeter. The device required three masks, which have to be aligned.This is done by using alignment markers, seen in the center of figure 5 (a)and (b). The alignment markers are usually much smaller than the typicaldimensions of the pattern.The mask material is made of borosilicate glass or quartz with a sputterdeposited chrome layer on top. The chrome layer is 100 nm thick. There isalso a photoresist layer deposited on top of the chrome. A laser writer is usedto ‘write’ the pattern on the mask. Different laser wavelengths (365, 248 or193 nm) and lenses are used to write the pattern on the mask. The choiceof the wavelength depends on the smallest dimension on the pattern. The

7

Page 8: Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be uni-formly spread on the surface since any thickness variations can cause prob-lems

MM5017: Electronic materials, devices, and fabrication

Figure 5: Masks for a nanocalorimeter. (a) Front (b) back (c) top and (d)combined. There are alignment markers in (a) and (b) seen in the center.Thecolors are inverted to show contrast and the wafer boundary is shown onlyin (a). Alignment markers are usually much smaller than the pattern dimen-sions and are seen in the center of (a) and (b).

8

Page 9: Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be uni-formly spread on the surface since any thickness variations can cause prob-lems

MM5017: Electronic materials, devices, and fabrication

laser writing process is sequential (line by line) and can take hours dependingon the complexity of the pattern. The mask pattern shown in figure 5 tookapproximately 7 hours to write, using a 365 nm laser wavelength. Afterthe pattern is written, a suitable developer is used to remove the unexposedphotoresist. After that, the exposed chrome layer is removed (using an acidbath etch) and then the remaining photoresist is removed to leave behind thechrome desired pattern on glass. There are also cleaning and drying stepsto remove any excess solvent and keep the mask free of dust particles. Themajor steps in mask making are summarized in figure 6. The integrationof the mask making steps, in the overall process flow for forming an IC, isshown in the flowchart in figure 7.

5 Photoresist application

Before the lithography step, the wafer surface should be clean and defectfree. Presence of defects, before and after lithography, can affect the pat-tern transfer process and produce a non-working device. The various waysin which dust particles can interfere with the lithographic mask are shownin figure 8. The dust particles are removed prior to lithography, by washingwith de-ionized water, spin drying (rotating the wafer at few thousand rpm),hot nitrogen blow-off and a dehydration bake to remove any excess water.The wafers are then inspected for defects and the process repeated, if needed.The photoresist layer is then applied of the wafer. The resist should be uni-formly spread on the surface since any thickness variations can cause prob-lems during developing and subsequent resist removal. Typical resist thick-ness is around 0.5-1.5 µm. Resist application is done by a process called spincoating , summarized in figure 9.

The photoresist is initially dispensed onto the wafer at rest, called staticspin coating. Usually the wafer is held on a vacuum chuck to prevent motion.The chuck is then slowly rotated to spread the photoresist on the surface.This layer is not uniform. After that, the rotation speed is increased to afew thousand rpm and the wafer is spun for few tens of seconds, so thatexcess resist is removed, and there is a uniform film over the entire surface.The right amount of resist should be added, so that coverage is uniform butnot excessive, as shown in figure 10. The final resist thickness depends onthe amount of resist, spin speed, viscosity, surface tension, and drying char-acteristics (solvent dependent). The relation between resist thickness andspin speed is shown in figure 11. There are other variations to the photore-sist dispersion. The wafer is rotated at slow speed, while resist is dispersed,called dynamic disperse. The dispersion arm is moved on the wafer surface

9

Page 10: Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be uni-formly spread on the surface since any thickness variations can cause prob-lems

MM5017: Electronic materials, devices, and fabrication

Figure 6: Process flow for the mask making process. The resist exposure canbe through optical system or scanning e-beam system. The mask makingprocess is similar to the lithography process except for the scanning optical(laser) or e-beam system. Adapted from Microchip fabrication - Peter vanZant.

10

Page 11: Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be uni-formly spread on the surface since any thickness variations can cause prob-lems

MM5017: Electronic materials, devices, and fabrication

Figure 7: Mask process integrated in the IC fabrication. Usually the firststep in fabrication is making the mask. This process is carried out externalto the fab and the masks are shipped for use. The number and type of masksdepend on the circuit design. Adapted from Microchip fabrication - Petervan Zant.

11

Page 12: Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be uni-formly spread on the surface since any thickness variations can cause prob-lems

MM5017: Electronic materials, devices, and fabrication

Figure 8: Dust particles can interfere with the lithography process and causeerrors in the pattern transfer. Some of the dust particles can act as killerdefects i.e. degrade the IC performace. Adapted from Fundamentals ofsemiconductor manufacturing and process control - May and Spanos.

Figure 9: Steps in spin coating to get a uniform layer of resist. (a) A layerof resist is first applied on the wafer (b) The wafer is rotated at low rpm tospread the resist (c) The wafer is spun at high rpm so that an uniform coatingis obtained and excess resist removed. Adapted from Microchip fabrication- Peter van Zant.

12

Page 13: Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be uni-formly spread on the surface since any thickness variations can cause prob-lems

MM5017: Electronic materials, devices, and fabrication

Figure 10: Resist coverage before and after ’spinning’ for (a) insufficientresist (b) Correct amount of resist and (c) excess resist. Resist dispensing isusually an automated process. Adapted from Microchip fabrication - Petervan Zant.

Figure 11: Resist thickness vs. spin speed for different volumes of resistdispersed on the wafers. The resist thickness is increases with the volumeof material dispensed. Also, as spin speed increases the thickness decreases.Adapted from Microchip fabrication - Peter van Zant.

13

Page 14: Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be uni-formly spread on the surface since any thickness variations can cause prob-lems

MM5017: Electronic materials, devices, and fabrication

Figure 12: (a) Dynamic disperse (b) Moving arm disperse. Both are used toachieve uniform coverage, especially for large wafers used in commercial ICfabrication. Adapted from Microchip fabrication - Peter van Zant.

while dispersion, called moving arm disperse. All these different techniquesare used to achieve uniform coverage, especially for large wafers. The abovementioned techniques are summarized in figure 12.

The photoresist application process is automated in commercial IC man-ufacturing. In most research based facilities, for small (3”-4”) wafers, thedispersal is usually manual. After spinning, the wafer is subjected to a softbake process. This heats the wafer to 100-120 ◦C to remove the solvent fromthe resist. After spin on process, the wafer surface should be protected fromambient light (typically UV light) to prevent unintentional exposure of theresist. This is done by keeping the photoresist application under special light-ing conditions. The alignment and exposure system is usually kept close tothe spin on process equipment to minimize exposure.

14

Page 15: Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be uni-formly spread on the surface since any thickness variations can cause prob-lems

MM5017: Electronic materials, devices, and fabrication

Figure 13: Alignment marks for the mask shown in figure 5 (a). The markeris located at the center of the mask region.

6 Alignment and exposure

The alignment and exposure process transfers the pattern from the mask tothe photoresist on the wafers. Alignment markers are used to align the maskwith the wafer and also to align one more masks with each other. Figure 13shows alignment markers for the mask shown in figure 5 (a). The patternis transferred from the mask to the photoresist using steppers . The transfercan be 1:1 i.e. direct transfer of the pattern onto the wafer. There are alsoreduction steppers, where the reticles can be 5-10 times larger than the finaldimensions on the wafer. In such cases, the reticle is projected onto one areaof the wafer and then stepped to the next area. The advantage is that smallerdimensions can be achieved by using a larger mask.The stepper can be of a contact type, where the mask actually touches thewafer or a proximity type, where there is a gap. These types are shown infigure 14. Contact aligners can cause damage to the mask (since they haveto repeatedly used on different wafers) and have contamination issues. Soproximity aligners are preferable, though there is a slight loss of resolutiondue to scattering of light in the gap. Some sort of soft contact contact alignersare also available. There are different modes of projection, as shown in figure15.

6.1 E-beam lithography

In conventional lithography, a laser writer is used to create a hard copy ofthe pattern i.e. mask, which is then transferred to the wafers. The sizelimitation comes from the smallest features that can be written and thisdepends on the wavelength of light used (few hundred nm). One way tocircumvent this limitation is to use an electron beam, since this has a much

15

Page 16: Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be uni-formly spread on the surface since any thickness variations can cause prob-lems

MM5017: Electronic materials, devices, and fabrication

Figure 14: Types of stepper (a) contact (b) proximity. Contact steppes canachieve high registry but there is a chance of the mask getting contaminated.Non-contact steppers cannot achieve the high resolution of contact steppersbut the wafer and mask are both protected from contamination. Adaptedfrom Fundamentals of semiconductor manufacturing and process control -May and Spanos.

Figure 15: Types of projection systems (a) Scan (b) 1:1 step and repeat (c)reduction step and repeat. The choice of projection system depends on thedimensions of the mask and the desired dimensions of the pattern on thewafer. Adapted from Microchip fabrication - Peter van Zant.

16

Page 17: Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be uni-formly spread on the surface since any thickness variations can cause prob-lems

MM5017: Electronic materials, devices, and fabrication

Figure 16: Electron beam lithography setup. The system works similar toa scanning electron microscope. Electron beam produced by a source israstered on a surface by using deflection coils, to produce a specific pattern.The wafer already has the resist layer coated. Adapted from Fundamentalsof semiconductor manufacturing and process control - May and Spanos.

smaller wavelength (few nm depending on energy) and hence can theoreticallyachieve a much higher resolution. In e-beam lithography, the electron beamis used to scan and write the design directly on the wafer. This is calleddirect writing. The setup is shown in figure 16. It is similar to a scanningelectron microscopy setup, with an electron source and lens and deflectorcoils to scan the beam on the surface. Resolution better than conventionaloptical lithography can be achieved, but the disadvantage is that each waferhas to be written individually and the process is time-consuming. Also, e-beam lithography is a scanning system while conventional lithography is aone shot exposure system.

17

Page 18: Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be uni-formly spread on the surface since any thickness variations can cause prob-lems

MM5017: Electronic materials, devices, and fabrication

7 Developing

After the alignment and exposure process, the wafers have to be developed .The terminology is similar to that used in film photography. The wafers arereacted with a suitable chemical (developer) that reacts with the exposedphotoresist. The type of developer chosen depends on the resist. For apositive photoresist, the exposed areas are removed (more soluble) while fora negative resist, the unexposed areas are removed (less soluble). SU-8 is anegative photoresist, whose structure shown in figure 4. After exposure, themain developer used to remove the unexposed resist is 1-methoxy-2-propanolacetate.Developing is usually a wet chemical process. The wafers are immersed in thedeveloping solution for a fixed time, until the resist is completely removed.They are then cleaned and dried. After that, the wafers are baked to 200-250 ◦C, called hard bake, to harden the remaining resist. At this stage, thepattern that needs to be transferred to the wafer is still only temporary. Itis possible to remove the resist easily, usually by dry etching. The developedwafers are then further processed to get the final pattern on the wafer. Thesecould include steps like

1. Doping - ion implantation only. For thermal diffusion, oxide layers areused as masks.

2. Deposition - usually a physical vapor deposition process like sputteringor e-beam evaporation. Chemical vapor deposition can react with thewafers.

3. Etching - plasma or reactive ion etching. Wet etching can damage theremaining resists.

The resist protects the portion of the wafer that lies below it. After the finalpattern is obtained on the wafer, the remaining resist is removed, this is calledresist stripping. This can be a wet process, by using an acid mixture or adry process, plasma etching with oxygen. The wafers are then cleaned anddried and are ready for the next process. If there are multiple lithographysteps, the wafers then go back to the photoresist application process.

8 Lithography advances

The smallest feature size that can be patterned is related to the wavelengthof the light used. This relation is given by

σ = kλ

NA(1)

18

Page 19: Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be uni-formly spread on the surface since any thickness variations can cause prob-lems

MM5017: Electronic materials, devices, and fabrication

Figure 17: Numerical aperture of a lens. The semi-angle of the aperture andthe refractive index of the medium determine the numerical aperture. Sourcehttp://en.wikipedia.org/wiki/Numerical aperture

where σ is the feature size, k is Rayleigh constant (value of 0.5), λ is thewavelength and NA is the numerical aperture of the lens system. For amercury source of λ 436 nm, with NA = 1, the resolution obtained is 218nm (0.218 µm). This is the smallest feature size that can be patterned butthis much bigger than the current device technology (22 nm technology).One way to reduce σ, is to reduce the wavelength. With 135 nm light, calledextreme UV lithography, the resolution is 68 nm (NA = 1). It is possibleto reduce wavelength even further by using x-rays, X-ray lithography.Wavelengths of a few nm are possible, but a whole new mask system isrequired. This is because x-rays have high penetrating power so that glass-chrome masks are not effective. Gold masks are usually required. Also, x-raylenses are not well developed, so new aligner systems need to be designed,which will increase overall cost.Looking at equation 1, another way to decrease feature size, is to increaseNA. The numerical aperture is given by

NA = µ sinα (2)

where µ is the refractive index of the medium between the lens and the waferand α is the semi-angle of the exit lens, as shown in figure 17. To increaseNA, the value of µ, i.e. refractive index, can be increased. This is calledimmersion lithography. If water is used as the medium, then µ is 1.44so that the new σ reduces by 0.70 to 47 nm. The setup is shown in figure18. But immersion lithography comes with its own wafer cleanliness issues.There are few other techniques for further reducing the resolution from 47nm to 22 nm.Double patterning is one such technique for overcoming the limits of con-ventional lithography. The process is summarized in figure 19. Here, pattern-

19

Page 20: Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be uni-formly spread on the surface since any thickness variations can cause prob-lems

MM5017: Electronic materials, devices, and fabrication

Figure 18: Immersion lithography system using purified water asthe medium to increase NA and increase the resolution. Sourcehttp://www.nikon.com/about/technology/rd/core/optics/immersion e/index.htm

20

Page 21: Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be uni-formly spread on the surface since any thickness variations can cause prob-lems

MM5017: Electronic materials, devices, and fabrication

Figure 19: Steps in double patterning. Side-wall spaces and an etching stepis used to create patterns that are half of what can be achieved by the lithog-raphy setup. Source http://en.wikipedia.org/wiki/Multiple patterning

21

Page 22: Lecture 25: Lithography...The photoresist layer is then applied of the wafer. The resist should be uni-formly spread on the surface since any thickness variations can cause prob-lems

MM5017: Electronic materials, devices, and fabrication

ing is carried out in two steps. The first pattern is formed by conventionallithography. This is used to define side-wall spacers, by a process of deposi-tion and dry etching. These spacers are then used as hard masks to etch thelayer below it. Since there are two side walls, the spacing between them ishalf of what could be originally achieved using lithography, see figure 19. Forachieving even smaller dimensions, (14 nm and beyond) double patterningcan be extended to multiple patterning. There are other techniques as well totry and beat the limits of lithography. But all of these add extra steps to thefabrication process and increases cost and reduces yield. Thus, lithographyadvances are most critical for continued IC miniaturization.

22