EUV systems prepare to ship EUV infrastructure makes ... · PDF filemakes progress towards...

16
ASML’s customer magazine | 2010 Summer Edition EUV systems prepare to ship EUV infrastructure makes progress towards manufacturing TWINSCAN extendibility continues to drive value

Transcript of EUV systems prepare to ship EUV infrastructure makes ... · PDF filemakes progress towards...

Page 1: EUV systems prepare to ship EUV infrastructure makes ... · PDF filemakes progress towards manufacturing TWINSCAN extendibility continues ... the Netherlands, December 3, 2009 ...

ASML’s customer magazine | 2010 Summer Edition

EUV systems prepare to ship

EUV infrastructure makes progress towards

manufacturing

TWINSCAN extendibility continues to drive value

Page 2: EUV systems prepare to ship EUV infrastructure makes ... · PDF filemakes progress towards manufacturing TWINSCAN extendibility continues ... the Netherlands, December 3, 2009 ...

3 Editor’s note

4 ASML in the News

6 TWINSCAN NXE systems

prepare to ship

8 EUV Lithography progress toward

manufacturing

12 TWINSCAN NXT:1950i –

one system, multiple nodes

14 TWINSCAN H systems drive higher

value of ownership

2

images | Colofon

Editorial Board

Lucas van Grinsven, Peter Jenkins

Managing Editor

Ryan Young

Contributing Writers

Hans Meiling, Christian Wagner,

Angelique Nachtwein, Marco Pieters, Kars Troost,

Frank Bornebroek, Christophe Fouquet,

Stuart Cherry, Ed Korczynski

Circulation

Emily Leung, Michael Pullen, Shirley Wijtman

For more information, please see:

www.asml.com/images

© 2010, ASML Holding BV

ASML, ASM Lithography, TWINSCAN, PAS 5500,

PAS 5000, SA 5200, ATHENA, QUASAR, IRIS, ILIAS,

FOCAL, Micralign, Micrascan, 3DAlign, 2DStitching,

3DMetrology, Brion Technologies, LithoServer,

LithoGuide, Scattering Bars, LithoCruiser, Tachyon

2.0, Tachyon RDI, Tachyon LMC, Tachyon OPC+,

LithoCool, AGILE, ImageTuner, EFESE, Feature Scan,

T-ReCS and the ASML logo are trademarks of ASML

Holding N.V. or of affiliate companies. The trademarks

may be used either alone or in combination with

a further product designation. Starlith, AERIAL,

and AERIAL II are trademarks of Carl Zeiss. TEL is

a trademark of Tokyo Electron Limited. Sun, Sun

Microsystems, the Sun Logo, iForce, Solaris, and the

Java logo are trademarks or registered trademarks of

Sun Microsystems, Inc. in the United States and other

countries. Bayon is a trademark of Kureha Chemical

Industry Co. Ltd. Nothing in this publication is intended

to make representations with regard to whether any

trademark is registered or to suggest that any sign

other than those mentioned should not be considered

to be a trademark of ASML or of any third party.

ASML lithography systems are Class 1 laser products.

6 8 14

Page 3: EUV systems prepare to ship EUV infrastructure makes ... · PDF filemakes progress towards manufacturing TWINSCAN extendibility continues ... the Netherlands, December 3, 2009 ...

3

ASML Images, Summer Edition 2010

Editor’s note

…that seems to be the question everyone

in semiconductors is asking these days.

Chris Mack, Gentleman Scientist and

Vivek Bakshi, President of EUV Litho,

Inc. have a well-known wager about

the number of EUV papers that will be

presented at SPIE Advanced Lithography

2011 (Chris predicts zero EUV papers and

his Lotus is up for grabs).

If this year’s symposium held in February,

in San Jose is any indication, Chris should

start preparing his car’s title transfer. The

2010 SPIE Advanced Litho symposium

featured more than 100 EUV papers and

presentations including a panel discussion

titled “The Trial of EUV and DPT ArF for

the 22nm 1/2 Pitch Node” presented in

mock trial format. Symposium chairs

Donis Flagello and Chris Progler served

as judges complete with British court

robes and powdered wigs.

So, what is ASML’s position on EUV?

As litho engineers, fab managers and

semiconductor executives you have to

balance interests and make important

decisions, especially so in the current era

of low-K1 manufacturing and emerging

new chip architectures. One thing is clear

however, and that is that Moore’s Law

drives our industry and feature shrink

drives Moore’s Law as it continues to cut

costs effectively and consistently.

At ASML we are focused on giving you

the opportunity to shrink feature size and

increase productivity, thus bringing down

the cost of your devices. This is why we

are both invested in and committed to

seeing EUV becoming reality in coming

years. It is simply the most cost effective

way for you to produce semiconductors

during the next decade.

Of course, EUV is not without challenges.

Source power has to improve, mask

inspection needs more work, and resist

needs further development (no pun

intended). However, substantive progress

has been made and the remaining

challenges are engineering hurdles, not

show stoppers. ASML’s two EUV Alpha

Demo Tools (ADT) at imec and CNSE

have contributed greatly to the research

of EUV processing and the development

of ASML’s NXE production platform.

Samsung, TSMC, Global Foundries and

Hynix, among others have demonstrated

record imaging results using these tools.

All this learning gets put to good use later

this year when ASML begins shipping six

TWINSCAN NXE:3100 pre-production

systems. These six systems will go to

memory, logic, foundry and research

customers for continuing EUV process

development. More importantly, these

systems will also be instrumental in

driving the remaining infrastructure

required to bring EUV to full production.

You will read more about our EUV

program and the rapidly expanding

infrastructure in this issue.

Our work on EUV amounts to the biggest

research and development activity we have

undertaken in our 26 years of company

history, but we realize that not everyone can

or wants to jump on the EUV bandwagon

and this is why we continue to invest heavily

in the shrink and production capabilities

of current ArF immersion production

lines. Our latest TWINSCAN:NXT 1950i

is fast becoming the Double-Patterning

scanner of choice, thanks to its high

productivity, unparalleled overlay and CD

uniformity. What’s more, is that we extend

the capabilities of ArF immersion for many

years to come by expanding our portfolio of

Holistic Lithography products that help you

increase the tolerances of your chip designs

and improve your control over production

systems while manufacturing chips. Without

a doubt, Double Patterning will remain a

feature in the semiconductor manufacturing

landscape, and we are committed to

making it more stable and cost-effective.

I hope you will enjoy this issue and feel

supported in the important work that lies

ahead of you.

Ryan Young

To EUV or not to EUV By Ryan Young, Senior Manager Communications

Page 4: EUV systems prepare to ship EUV infrastructure makes ... · PDF filemakes progress towards manufacturing TWINSCAN extendibility continues ... the Netherlands, December 3, 2009 ...

ASML in the News

4

ASMLin the News

ASML solid projectASML and Brion Technologies extend partnership with STMicroelectronics for integrated lithography solutions for advanced chip

Veldhoven, the Netherlands, December 3, 2009 - ASML, along with its subsidiary

Brion Technologies, announced a broad-scoped joint development project with

STMicroelectronics (ST) to accelerate 28-nm node deployment and 22-nm node

development. This joint development project, code-named SOLID (Silicon printing

Optimization with Lithography control and Integrated Design), seeks to optimize the

patterning process from design to manufacturing, extend characterization tools and

methods to develop new correction/compensation techniques for reducing variability

and explore breakthrough lithography solutions for manufacturing complex chips at

sub-30-nm nodes.

ST will work with TachyonTM SMO source-mask co-optimization in tandem with

ASML’s advanced illumination sources, including the recently announced FlexRayTM

programmable illuminator. Together Tachyon SMO and FlexRay will provide ST

faster development cycles in R&D and faster ramp to production. Till now, ST has

successfully used Brion’s Tachyon OPC+ optical proximity correction and LMC

lithography manufacturability check in its 45-nm production.

Chartered adopts Brion’s computational lithography solutions Santa Clara, California, USA, November 2, 2009 – Brion Technologies, an

ASML company, reached a multi-year agreement with Chartered Semiconductor

Manufacturing Ltd., one of the world’s top dedicated semiconductor foundries,

to implement a broad suite of computational lithography products. Chartered will

use TachyonTM OPC+ (optical proximity correction), Tachyon LMC (lithography

manufacturability check), and Tachyon resolution enhancement products to design

and manufacture 45-nanometer (nm) and below technology node devices.

Brion’s Tachyon products will help Chartered optimize its ASML scanners to provide a

comprehensive lithography solution with optimal cost of ownership. Brion will work with

Chartered to implement Tachyon computational products to deliver larger manufacturing

process windows resulting in improved line width control for higher yield.

4

EUVTSMC to take delivery of an ASML EUV lithography system for research and development on future technology generations. Agreement underscores TSMC’s continuing investment in the European semiconductor community.

Veldhoven, the Netherlands and

Hsinchu Taiwan, R.O.C. — February

22, 2010 - ASML Holding NV (ASML)

announced that Taiwan Semiconductor

Manufacturing Company (TWSE: 2330,

NYSE: TSM) will take delivery of a

TWINSCAN NXE:3100 extreme ultra-

violet (EUV) lithography system. This

tool represents one of six NXE:3100 EUV

systems for ASML’s worldwide partners

and customers.

TSMC is expected to be the first

dedicated foundry conducting on-site

EUV development and will install the

new system on its Fab 12 GigaFab™ for

development of future technology nodes.

EUV technology employs a much shorter

wavelength and has the potential to

reduce costs associated with current

techniques used to stretch 193-nm

immersion lithography, making it a

promising lithography technology for

manufacturing IC’s for future advanced

technology nodes. TSMC is evaluating

EUV and other lithography technologies

for their potential to optimize cost-

effective manufacturing at future

technology nodes.

Page 5: EUV systems prepare to ship EUV infrastructure makes ... · PDF filemakes progress towards manufacturing TWINSCAN extendibility continues ... the Netherlands, December 3, 2009 ...

5

ASML Images, Summer Edition 2010

For complete information regarding these press announcements, please refer to the press section of www.asml.com.

Flexray enjoys market succesASML FlexRay Illuminators Successfully Enter Market as Chip Makers Seek Design Flexibility for Continued

Veldhoven, the Netherlands, February

22, 2010 - ASML Holding NV (ASML)

announced its new FlexRay programmable

illumination system is finding strong

acceptance by providing chip makers

with virtually unlimited illumination source

tuning. Faster, more flexible source tuning

is essential for customers making full use

of ASML’s powerful source and mask

optimization (SMO) software aimed at

continued chip feature shrink, initially in

R&D and later proliferation in production.

As chip designs shrink, more and more

source-mask tuning is required to maintain

a workable process window, resulting in the

need of many complex pupil shapes. ASML’s

FlexRay freeform illuminator makes it easier

and faster to create and implement those

custom shapes in volume manufacturing.

FlexRay offers a higher-level of control and

tighter pupil specifications than previous

solutions, enabling better tool-to-tool

matching and improved critical dimension

uniformity (CDU), or chip structure accuracy.

The first FlexRay unit was shipped to a Logic

customer in December 2009 and is already

in use for both development work and low

volume production. ASML has received

orders for multiple units from leading Logic,

Memory and Foundry customers, and

will begin shipping in volume this quarter

on TWINSCAN XT:1950i and NXT:1950i

immersion systems.

ASML Ships 100th TWINSCAN XT 19x0 series immersion system while ramping deliveries of new NXT immersion platform

Veldhoven, the Netherlands, February 22, 2010 – ASML Holding NV (ASML)

announced the shipment of its 100th TWINSCAN XT:1900 series lithography system,

capable of imaging industry-leading chip features as small as 38 nanometers.

The XT:19x0 series includes the XT:1900Gi and XT:1950Hi systems, both featuring the

industry’s largest numerical aperture of 1.35. In total, ASML has shipped more than 160

TWINSCAN immersion systems, all capable of imaging sub-60 nm chip features.

TWINSCAN XT:19x0 series immersion systems are the enabling technology behind

the most advanced memory and logic chips in volume production. Semiconductor

manufacturers around the world, including 18 of the world’s top 20, use TWINSCAN

immersion scanners to produce an estimated 350 million chips every month.

ASML Receives Intel’s Preferred Quality Supplier Award

Veldhoven, the Netherlands,

March 3, 2010 – ASML was recognized as one of 16 suppliers to receive Intel

Corporation’s Preferred Quality Supplier (PQS) award for their performance in 2009.

ASML is recognized for their significant contributions, providing Intel with lithography

process tools, deemed essential to Intel’s success.

“ASML is honored to be selected by Intel as a recipient of their Preferred Quality Supplier

award for 2009. This recognition validates a significant mutual effort between our two

companies to achieve world-class quality and performance with systems that are on the

leading edge of innovation and value. Both our companies believe such close alignment is

fundamental to achieving the optimal combination of product performance, manufacturing

cost and time-to-market,” said Frits van Hout, executive vice president and chief marketing

officer, ASML.

“Intel congratulates ASML on earning the Preferred Quality Supplier award for

2009,” said Janice Golda, Lithography Capital Equipment Development director,

Intel Corporation. “ASML has delivered leading edge lithography technology while

continuously improving their quality and customer service. We look forward to building

on this success in the future.”

Page 6: EUV systems prepare to ship EUV infrastructure makes ... · PDF filemakes progress towards manufacturing TWINSCAN extendibility continues ... the Netherlands, December 3, 2009 ...

6

TWINSCAN NXE systems prepare to ship By Hans Meiling and Christian Wagner, Directors Product Management EUV

Abstract | ASML will ship the first of its

TWINSCAN NXE systems in the fall of this

year. The first system will be the NXE:3100

with a resolution of 27 nm. It will be followed

in 2012 by the NXE:3300B which targets

volume production at the 22-nm, half-pitch

node. Further TWINSCAN NXE generations

are planned to support the industry’s

migration to 16 nm and beyond.

In the fall of this year, the first systems

from our new TWINSCAN NXE platform

will be shipped. As regular readers of

Images will know, TWINSCAN NXE is

the industry’s first production platform

for EUV lithography. The release of the

first systems will mark an important

step towards mass-production for the

next-generation lithography technology.

The TWINSCAN NXE platform draws on

the technological achievements of our

proven TWINSCAN system platform and

our EUV prototype tools located at imec

in Belgium and CNSE in Albany, NY.

It features our familiar TWINSCAN

dual-stage architecture, which increases

throughput by allowing one wafer to

be exposed while the next one is

being measured.

This is combined with a six-mirror lens

developed by our optics partner Carl Zeiss

SMT AG. The optical column design is

extendible in NA, supporting continued

feature shrink beyond 16 nm. Meanwhile,

the EUV radiation is produced by tin-based

plasma sources, with multiple suppliers

committed to boosting source power to

match our productivity roadmap.

Page 7: EUV systems prepare to ship EUV infrastructure makes ... · PDF filemakes progress towards manufacturing TWINSCAN extendibility continues ... the Netherlands, December 3, 2009 ...

7

ASML Images, Summer Edition 2010

in imaging, overlay and throughput, the

NXE:3300B will target volume production

at the 22-nm half-pitch node.

It will feature a projection lens with an

NA of 0.32 using the same six-mirror

concept as in the NXE:3100 to maintain

high system transmission. As standard,

the NXE:3300B will offer conventional

illumination with a variable partial

coherence up to 0.9. A commercial

option will make six additional discrete

settings for off-axis illumination available.

More on the horizon

The creation of an EUV system platform will

allow us to reduce costs while maximizing

reliability and cutting development times for

future systems. Further NXE generations

are planned to support the industry’s

migration to 16-nm half-pitch and beyond.

This will allow semiconductors to

continue using single-exposure, optical

lithography techniques for a number

of future product generation, enabling

significant productivity and cost of

ownership benefits.

Production this year

The first TWINSCAN NXE systems to be

delivered will be the NXE:3100, a 0.25-NA

production tool with a resolution of 27 nm.

Six of these systems will be produced

– all of which have already been

ordered. First light and wafer exposure is

expected in June, allowing EUV process

development to start this year.

The NXE:3100 will deliver a throughput

of up to 60 wafers per hour (wph). Its

plasma-based EUV source operates

in a burst mode, with a burst length of

400 ms supporting full-field exposure

at full throughput with a resist dose of

10 mJ/cm2. Burst lengths of 2 seconds

and longer have been demonstrated to

support higher dose resists.

Zeiss has already delivered 5 projection

lens units for the NXE:3100. These units

show good and consistent flare and

aberration performance ensuring

excellent imaging and overlay capabilities.

Volume manufacturing coming soon

Following on from the NXE:3100, we will

launch a second-generation EUV tool in

2012. Delivering improved performance

System specifications

Industry’s first production platform for EUV lithography

NXE:3100 NXE:3300B

NA 0.25 0.32

Illumination Conventional, 0.8σ Conventional, 0.2-0.9σ

Off-axis as an option

Resolution ≤ 27 nm ≤ 22 nm

Field size 26 x 33 mm 26 x 33 mm

Single-machine overlay (SMO) 4.5 nm 3.5 nm

Matched machine overlay (MMO) 7.0 nm 5.0 nm

Throughput 60 wph 125 wph

Resist dose 10 mJ/cm2 15 mJ/cm2

Brion Technologies ready to support

NXE based EUV mask corrections

With EUV, as with all newly introduced

optical lithography wavelengths, a key

industry challenge will be to reach

manufacturing yields as quickly as

possible and at lowest cost by limiting

R&D cycles and achieving the best imaging

and CDU performance. ASML’s Brion

Technologies provides an accurate OPC

model which will allow customers to push

their TWINSCAN NXE EUV scanners to

their k1 shrink limits.

Due to the ever shrinking feature sizes,

the model accuracy requirement will only

be tighter for EUV simulation and OPC.

To ensure tight model accuracy, it is

important to consider as many physical

factors with impact on CD as possible.

Flare, shadowing effects, and scanner

fingerprint data (such as source map,

aberrations, and apodization) have been

shown to be important for EUV model

quality. Early access to ASML’s NXE

program enables the analysis of these

effects and their impacts on model

accuracy in detail using the Tachyon

computational lithography platform

utilizing NXE specific models.

Brion will be publishing more on

computational litho support for EUV in the

coming months, including in future editions

of this magazine.

Page 8: EUV systems prepare to ship EUV infrastructure makes ... · PDF filemakes progress towards manufacturing TWINSCAN extendibility continues ... the Netherlands, December 3, 2009 ...

8

EUV Lithography Progress Toward Manufacturing By Kurt Ronse, imec, Leuven, Belgium

Abstract | Due to minimum resolution limits

of 193nm-immersion (193i) tools, there has

been increasing interest in developing EUV

lithography. EUV lithography uses extreme

UV light (13.5nm wavelength), which allows

for much finer resolution patterning,

but which also requires new tools and

techniques. Currently, imec is patterning

wafers and refining the EUV technique

with the help of ASML’s EUV alpha demo

tool (ADT); its successor, the EUV pre-

production tool (NXE:3100), is scheduled

to be up and running at imec in early 2011.

Our research on EUV lithography really

took off in 2008, when the ADT became

available for imec and its partners

to begin investigating infrastructure

issues intended to get EUV ready for

manufacturing. At that time, we focused

on ~40nm target CDs, for which the ADT

was specified. Now almost two years

later, the typical linewidth that we target

is 25-30nm, which illustrates the progress

that has been made in EUV resist quality.

One critical issue with EUV lithography

has always been the power of the light

source. Long the major obstacle, the

source power outlook has been gradually

improved, such that the industry now has

a roadmap to arrive at a stable high-power

source needed for cost-effective industrial

IC production.

Finding suitable EUV resists is another

challenge. As for the source power, in the

years that we have been working on EUV

resists there has been a lot of progress.

We now have resists to pattern 28nm

features on the ADT, and I am confident

that with effort and time, suitable resists

for 22nm and later on 16nm will be within

reach when used with future exposure

tools. One of the strong points of EUV

lithography is that it can be extended,

even towards the 11nm technology node.

Today’s EUV resists are showing line edge

roughness (LER) <4nm, and also showing

sensitivity of 15 mJ/cm2 or slightly better.

The most promising resists have 25-26nm

resolutions when exposed on the ADT,

which represents a major step forward

from where we started. So there has been

steady progress due to the availability

of some exposure tools for the resist

companies to test their samples, and,

based on feedback, improve formulations

and then test again.

A few years ago there was concern that

chemically-amplified resists (CAR) might

never be able to resolve feature sizes

below 30nm. Now we pattern features

<30nm on a daily basis on the EUV ADT.

If you look at micro-exposure tools around

the world, they are approaching CDs of

~22nm or even 20nm resolution. This is

because these R&D tools have higher

NA—typically 0.3NA—and some off-axis

illumination (OAL) capabilities that are

not available on the ADT. So, the real

question today for EUV resists has shifted

from, ‘Can we do sub-30nm features in

chemically-amplified resist?,’ to,

Page 9: EUV systems prepare to ship EUV infrastructure makes ... · PDF filemakes progress towards manufacturing TWINSCAN extendibility continues ... the Netherlands, December 3, 2009 ...

9

ASML Images, Summer Edition 2010

EUV Lithography Progress Toward Manufacturing By Kurt Ronse, imec, Leuven, Belgium

Page 10: EUV systems prepare to ship EUV infrastructure makes ... · PDF filemakes progress towards manufacturing TWINSCAN extendibility continues ... the Netherlands, December 3, 2009 ...

10

‘Can we do sub-20nm features?’ so it

means that in the last few years at least

10nm of CD improvement has been

shown. We are confident that continued

work should also produce resists capable

of resolving sub-20nm features.

Line-edge roughness (LER) is still on the

high side, but has made steady progress.

The sensitivity burden is now a bit more

at source suppliers. The sensitivity

requirements for future tools are no longer

5 mJ/cm2, but more like 10-15 mJ/cm2,

and we are operating in that regime

already today. Alternative resists are being

investigated at universities and also at

companies, and these resists will become

available for testing on real EUV tools in

the near future.

EUV Mask Development

By far the most pressing issues today

for EUV lithography integration into

manufacturing are associated with the

masks. On the wafers that we have

exposed with EUV, we see a lot of

defects that originate from the masks.

Imec has been one of the first to look

into this issue, and we have collected

and published the most relevant data.

The IC industry is keenly interested

in our research, because the mask

issue remains a key challenge for

EUV lithography.

The main concern has been and continues

to be reticle defectivity. The number of

defects made during mask making is too

high, and there is not a clear downward

trend that we can see. In general, the

average number of defects/reticle varies

excessively from one mask shop to another.

What is particularly concerning about

mask defects is that the exact origin

is not completely understood at this

moment. While we know that there are

already a number of defects on the EUV

mask blank, we are not exactly clear

what percentage of these defects will print

on wafers. However, there are also defects

Figure 1 : EUV ADT installed at imec

Figure 3 : HamaTech’s EUV Mask Track Pro

installed at imec

Figure 2 : Cross-section SEM pictures of highest

resolution EUV resists exposed on ADT.

Page 11: EUV systems prepare to ship EUV infrastructure makes ... · PDF filemakes progress towards manufacturing TWINSCAN extendibility continues ... the Netherlands, December 3, 2009 ...

11

ASML Images, Summer Edition 2010

arising not due to the mask-blank itself

but due to the absorber layer deposited

on the blank, and these latter should not

be too different from optical photomask

making. In the coming year we should be

able to differentiate between these two

defect types on EUV masks. If so, then the

absorber layer defects that are similar in

feature size to standard optical photomasks

should be much easier to control.

Of course we have a general limitation

today to find defects on EUV lithography

reticles. It is well known today that most

of the standard reticle inspection tools are

not able to find all the printable EUV mask

defects. In particular, the inherently obscure

blank-related defects require a level of

“very high art” to be found with existing

tools. Thus, it is important that SEMATECH

is leading the Extreme Ultraviolet Mask

Infrastructure (EMI) task force, devoted to

the development of dedicated EUV blank

and mask inspection tools.

New actinic inspection tools should

provide 100% detection of EUV printable

defects, but everybody realizes that it

will take 3-5 years in the best case for

such tools to be developed and available,

and EUV lithography cannot wait for that.

So in the meantime, with the existing

infrastructure, we have to determine what

percentage of defects can be found, and

establish workarounds that can allow us

to do 22nm node EUV lithography.

Working with inspection tool suppliers

such as KLA-Tencor and Applied Materials,

imec works to make the correlation

between the defects that can currently

be found and the defects that print on

wafers on the ADT at imec. With the

existing inspection infrastructure we can

find 85-90% of defects that are printing.

This is an important achievement, and

allows us to continue EUV research.

We can start to build smaller devices

and functional circuits that will yield well,

provide necessary learning, and allow us to

continue scaling down to sub-20nm nodes.

Another key infrastructure item that imec

has invested in and that has become

operational now, is an EUV reticle cleaning

track. An obvious issue with EUV reticles

is that they do not have pellicles, so it

is relatively likely that particles will be

continuously added during handling,

transport, and storage. Typical defects

could be particles that deposit or organic

contamination that gradually grows on

the mask; from first principles this type

of contamination should be cleanable.

The important work now starting at imec

is to develop cleaning processes-of-record

(POR) to remove particles and potential

organic contamination from EUV masks,

to keep the defect numbers stable, and to

not have defect adders over the lifetime of

the mask. The challenge is that multiple

cleans will be needed over the lifetime of

the mask. The cleans must be effective,

but not too aggressive in order not to

attack the various layers of materials

on the mask.

Pre-production EUV scanners

The EUV ADT continues to work for us,

and it’s now running much more reliably

with better uptime than it did in the first

year. Greater uptime leads to more wafers

exposed, and with more wafers we can get

more learning cycles, and the pace of all

progress just accelerates.

Nonetheless, we are eagerly anticipating

the installation of an ASML NXE:3100

pre-production EUV Step&Scan system at

imec this year. At that point, we expect an

almost digital jump from work on the ADT

to all work on the NXE:3100.

We are currently focusing on 25-30nm

feature sizes with the ADT. Since the

NXE:3100 will have off-axis illumination

(OAI) capability, we expect to be able to

push the minimum feature size a bit lower.

Since working with OAI allows for the

ability to lower the k1 factor, our target

with that tool will be to go to 22nm and

smaller resolutions.

To be clear, one tool is not enough to

handle all of the work needed to fully

develop EUV lithography for volume

manufacturing. Once multiple NXE:3100

systems are operational in the world, we

can expect a serious tune-up of the entire

EUV lithography infrastructure. Put simply,

the more tools that are operational the

more learning that can be done.

Imec’s IC fab partners who, in some

cases, will obtain an NXE:3100 slightly

before imec look at putting these tools

into something like pilot-production mode.

In doing so, they will begin to learn about

subtleties in tool behavior and look at tool

reliability. Meanwhile, imec will continue

to perform R&D to screen the ultimate

resolution capability, with less focus upon

throughput and tool stability.

Anticipating follow-up tools with slightly

higher NA and reduced lens-flare,

minimum resolution will just continue to

improve. Better resists, more aggressive

OAI, and more aggressive OPC should

break the 20nm threshold, which we

believe is going to be the real insertion

point for EUV lithography. Since work on

the ADT cannot occur in this regime due to

limited resolution capability, the NXE:3100

scanner is the first step toward sub-20nm

patterning for volume production.

Page 12: EUV systems prepare to ship EUV infrastructure makes ... · PDF filemakes progress towards manufacturing TWINSCAN extendibility continues ... the Netherlands, December 3, 2009 ...

12

TWINSCAN NXT:1950i one system, multiple nodesBy Angelique Nachtwein and Marco Pieters, Product Managers TWINSCAN NXT

Abstract | The TWINSCAN NXT:1950i was

designed for cost-effective semiconductor

manufacturing at the 32-nm half-pitch node

and below. Through a roadmap of system

enhancements, you can tailor your system

to your needs today and then improve

defectivity, productivity, imaging and overlay

performance well beyond the requirements

of that node. That means this ultra-

precise-overlay, ultra-high-throughput ArF

immersion scanner will continue to deliver

excellent value of ownership across multiple

production nodes.

The TWINSCAN NXT:1950i is an ultra-

precise-overlay, ultra-high-throughput

ArF immersion lithography system

designed for cost-effective semiconductor

manufacturing at the 32-nm half-pitch node.

With overlay below 2.5 nm, it makes double

pattering techniques feasible. Meanwhile

throughputs up to 175 wafers per hour (wph)

under 125 shot ATP condition – 190 wph

under full field conditions – ensure a rapid

return on investment.

But the TWINSCAN NXT:1950i’s value

doesn’t stop when you start transitioning

through the 2x nodes. Our roadmap of

system enhancement packages gives you

the flexibility to tailor your NXT:1950i to

suit your needs today, and then extend

its performance as technology, your

requirements and your business evolve.

In that way, they ensure your TWINSCAN

NXT:1950i continues to deliver cutting-

edge performance and cost-effective

manufacturing over an extended period.

We will be offering options to improve

system performance in all the key areas,

including defectivity, productivity, imaging

and overlay. As standard, the TWINSCAN

NXT:1950i features a novel immersion hood

design that is proven to reduce defects and

we are continually working on new ways to

take defectivity to even lower levels.

For productivity, we currently have two

PEP packages in the pipeline. Due for

release in next year, the PEP NXT:1950i

will push 125 shot ATP throughput up

to 200 wph, and full-field throughput

up to 230 wph. It will be followed by the

PEP High Dose package which increases

the critical dose from 30 to 45 mJ/cm2,

improving productivity by up to 10%.

Flex your imaging muscles

On the imaging front, we’ve already

released the FlexRay programmable

illuminator (see Images Fall 2009). FlexRay

gives you the freedom to create any pupil

shape you can imagine in a matter of

seconds. Besides improving R&D cycle

time and machine-to-machine matching,

it can reduce k1, and hence feature size,

by enabling freeform illumination and

source-mask optimization. The first

FlexRay modules have been delivered

to customers where they are delivering

extremely stable and reliable performance.

Following on from the success of FlexRay,

we are planning another novel imaging

enhancement called FlexWave. This lets

you take the next step in both imaging and

overlay by controlling the wavefront inside

the projection optics with a high degree of

freedom. That’s good for two reasons.

Improve your

on-product overlay

towards 6 nm

Page 13: EUV systems prepare to ship EUV infrastructure makes ... · PDF filemakes progress towards manufacturing TWINSCAN extendibility continues ... the Netherlands, December 3, 2009 ...

13

ASML Images, Summer Edition 2010

First, it extends the potential for lens

correction far beyond what is possible

today, bringing your system much

closer to the theoretical perfect lens.

Such flexible aberration control lets you

correct for residual distortion differences

between layers exposed using different

illumination modes. That can help improve

your on-product overlay towards 6 nm. It

also allows you to maintain your process

window in high-volume manufacturing by

minimizing lens heating effects.

Second, you can use FlexWave for

Advanced Imaging Enhancement to

improve imaging for individual features in

your design. FlexWave lets you introduce

any aberration profile you like into your

wavefront. Consequently, you can use

local phase changes to create and control

focus offsets per feature and pitch to

compensate for mask 3D effects and

significantly improve your CD uniformity.

On-product improvement

To improve on-product overlay even

further, we will also be releasing a new

TOP upgrade. TOP Reticle Control targets

layers, such as contact layers, that use

high doses and very low transmission

reticles. Typically, these conditions can

cause reticle heating and expansion,

causing an overlay penalty that increases

with each wafer exposed.

TOP Reticle Control features a new

sensor that measures the temperature

profile in the reticle throughout the first

lot for each new reticle. The system then

predicts the reticle expansion per shot,

and calculates corrections to the lens and

stage parameters to compensate for it.

The corrections are then applied as part

of your standard exposure recipe for all

subsequent lots using that reticle.

Improving both your customized-machine

overlay (CMO) and your process-dependent

overlay contributions, TOP Reticle Control

can reduce your overall on-product overlay

by up to 2 nm.

Ongoing value

The upgrades mentioned above are the

first products on our system enhancement

roadmap. Further enhancements are also

being planned to ensure your TWINSCAN

NXT:1950i continues to deliver outstanding

production flexibility and maximum value

of ownership across multiple production

nodes and for many years to come.

Full-field throughput

up to 230 wph

Page 14: EUV systems prepare to ship EUV infrastructure makes ... · PDF filemakes progress towards manufacturing TWINSCAN extendibility continues ... the Netherlands, December 3, 2009 ...

14

TWINSCAN H systems drive higher value of ownershipBy Kars Troost, Frank Bornebroek, Christophe Fouquet, Product Marketing Managers

was so high that we started shipping

the 0.8-NA XT:860H KrF scanner three

quarters ahead of our original schedule.

Better by design

Our H systems feature numerous

technological benefits over their

predecessors. These include lighter

wafer stages with more powerful motors,

delivering higher stage accelerations and

scan speeds up to 800 mm/s. The reticle

stages have also been redesigned for

higher acceleration as well, to improve

dynamic behaviour and reduce vibration,

enabling tighter overlay.

Further overlay gains come from improved

interferometer conditioning through tighter

flow and temperature control, enhanced

thermal control to minimize wafer grid

deformation, and acoustic measures to

prevent reticle stage vibrations being

transferred to the projection lens.

With multiple units now in the field, the H

specification systems are demonstrating

excellent reliability to match their

outstanding performance and productivity.

For example, one customer who has

Back in Q2 2009, we started shipping the

TWINSCAN XT:1000H – the first of our H

specification systems. This high-resolution

KrF system has a numerical aperture of

0.93, extending KrF resolution down to

80 nm and allowing manufacturers to

keep more layers in the lower-cost KrF

processes. Moreover, the system took

productivity to new levels, with an ATP

throughput of 165 wafers per hour (wph)

enabling faster returns on investment.

The launch of the TWINSCAN H

specification was a key part of our

ongoing commitment to improve the

economics of manufacturing using our

dry TWINSCAN systems. Since then, the

higher productivity of the H specification

has been rolled out across our dry and

immersion portfolios, and is proving very

popular in the market. In fact, demand

Abstract | ASML’s TWINSCAN H

specification systems set a new standard

for productivity. Thanks to our platform

approach to system development, the

H specification has now been rolled

out across our TWINSCAN range. And

in keeping with our spirit of continuous

improvement, further developments are

planned that will improve overlay and

productivity even further to maximize your

value of ownership.

165 wafers per hour (wph)

enabling faster returns

on investment

Page 15: EUV systems prepare to ship EUV infrastructure makes ... · PDF filemakes progress towards manufacturing TWINSCAN extendibility continues ... the Netherlands, December 3, 2009 ...

15

ASML Images, Summer Edition 2010

taken delivery of a number H specification

systems (including the XT:1000H, XT:860H

and XT:1450H) has reported average

system availabilities above 98%.

Thanks to the modular TWINSCAN

platform architecture, technological

enhancements from our dry systems can

be fed into our immersion systems and

vice versa. Consequently, we have already

shipped more than 20 XT:1950Hi scanners

– an ArF immersion system capable of

processing 148 wph under ATP conditions.

Continuous improvement

Continuous improvement has always been

fundamental to our system development

philosophy, and it underpins our drive to

improve value of ownership. Accordingly,

our H systems are designed to be

easily extendible. For instance, we are

currently developing a new TOP package

for both dry scanners to extend the

overlay performance. Another example

of how the TWINSCAN platform enables

cross-fertilization between lithography

technologies, this TOP package for dry

systems leverages improvements that

were originally developed for immersion.

Fig. 1: Customer data showing average availability above 98% across multiple dry TWINSCAN H specification systems over an 8 month period

100

3 reporting machines; Uptime = 98%

95

98.0

90

Supplier Uptime

2009

-40

2009

-41

2009

-42

2009

-43

2009

-44

2009

-45

2009

-46

2009

-47

2009

-48

2009

-49

2009

-50

2009

-51

2009

-52

2009

-53

2010

-01

2010

-02

2010

-03

2010

-04

2010

-05

2010

-06

2010

-07

2010

-08

2010

-09

2010

-10

2010

-11

2010

-12

2010

-13

2010

-14

2010

-15

2010

-16

2010

-17

2010

-18

2010

-19

Upt

ime

(%)

85

Performance overview: Availability – Uptime

Supplier Uptime Target

Naturally, the H specification is not the

end of our productivity improvements.

The next step forward will come with

the release later this year of our K

specification systems. Once again the

TWINSCAN platform means that owners

of H specification systems will be able

to upgrade their systems in the field.

Importantly, the transition from H to K will

be largely through software upgrades,

minimizing upgrade time and maximizing

the value of your investment in an H

specification system. The upgrade will

boost throughputs for dry scanners by

around 20%, making 220 wph possible in

full-field mode.

Page 16: EUV systems prepare to ship EUV infrastructure makes ... · PDF filemakes progress towards manufacturing TWINSCAN extendibility continues ... the Netherlands, December 3, 2009 ...

www.asml.com

Corporate Headquarters

De Run 6501

5504 DR Veldhoven

The Netherlands

Phone +31 40 268 30 00

U.S. Main Office

8555 South River Parkway

Tempe, AZ 85284 USA

Phone +1 480 383 4422

Asia Main Office

Suite 1702-3 17th Floor

100 Queen’s Road Central

Hong Kong, SAR

tel: +852 2295 1168