EffiTest: Efficient Delay Test and Statistical Prediction for … · 2018. 8. 19. · Results of...

18
EffiTest: Efficient Delay Test and Statistical Prediction for Configuring Post-silicon Tunable Buffers Grace Li Zhang, Bing Li, Ulf Schlichtmann Institute for Electronic Design Automation Technical University of Munich (TUM)

Transcript of EffiTest: Efficient Delay Test and Statistical Prediction for … · 2018. 8. 19. · Results of...

Page 1: EffiTest: Efficient Delay Test and Statistical Prediction for … · 2018. 8. 19. · Results of test iterations 10 Circuit Path-wise test Aligned test with prediction Test reduction

EffiTest: Efficient Delay Test and Statistical Prediction for Configuring Post-silicon Tunable Buffers

Grace Li Zhang, Bing Li, Ulf SchlichtmannInstitute for Electronic Design AutomationTechnical University of Munich (TUM)

Page 2: EffiTest: Efficient Delay Test and Statistical Prediction for … · 2018. 8. 19. · Results of test iterations 10 Circuit Path-wise test Aligned test with prediction Test reduction

Post-silicon delay test and buffer configuration§ Delay alignment and statistical prediction§ Post-silicon configuration

Overview

2

Summary

Motivation

Experimental results

Page 3: EffiTest: Efficient Delay Test and Statistical Prediction for … · 2018. 8. 19. · Results of test iterations 10 Circuit Path-wise test Aligned test with prediction Test reduction

D Q

CP

FF2

Timing Check

Chips with post-silicon tuning

3

T T

Logic delays Timing

✖✖

D Q

FF3

logic

Timing Check

D Q

CP

FF1

CLK

logic

CP

Fast FastFast SlowSlow FastSlow Slow

Yield improvement

clock periodT

Yiel

d

yT

Page 4: EffiTest: Efficient Delay Test and Statistical Prediction for … · 2018. 8. 19. · Results of test iterations 10 Circuit Path-wise test Aligned test with prediction Test reduction

Post-silicon clock tuning

4

D Q

CP

FF2

Timing Check

D Q

FF3

logic

Timing Check

D Q

CP

FF1

CLK

logic

CP

td

CLKd

§ Challenges in post-silicon clock tuning– Buffer insertion during design phase: area

vs. yield*

– Post-silicon test after manufacturing: test cost vs. yield

6

-2.5

00.5

0

1

3

2

CLK 8

4

5

3

T: 8è5.5 *Design, Automation and Test in Europe 2016, Dresden

Page 5: EffiTest: Efficient Delay Test and Statistical Prediction for … · 2018. 8. 19. · Results of test iterations 10 Circuit Path-wise test Aligned test with prediction Test reduction

Hold time

bounds

Test and configuration flow

5

Scan test with delay alignment

Path delay range estimationPath test multiplexing

Delay ranges small

enough?

Yes

No

Paths to test

Buffer value configuration

Path selection for prediction

Pass/fail test

Paths to predict

Page 6: EffiTest: Efficient Delay Test and Statistical Prediction for … · 2018. 8. 19. · Results of test iterations 10 Circuit Path-wise test Aligned test with prediction Test reduction

Delay range test with frequency stepping

6

launching capturing

§ Combinational delays can be approximated by partitioning delay ranges iteratively, i.e., frequency stepping.

D Q

CP

FF j

D Q

CP

FF i

CLK

logic

td

CLKd

CLK

CLKd

upper bound

lower bound

freq. step

fail

pass

Page 7: EffiTest: Efficient Delay Test and Statistical Prediction for … · 2018. 8. 19. · Results of test iterations 10 Circuit Path-wise test Aligned test with prediction Test reduction

freq_1

freq_2

Delay range alignment with tuning buffers

7

Delay test batch: maximum two ranges can be tested by a frequency.

Aligned delay ranges by tuning buffers: three ranges can be tested at the same time.

freq_1

freq_2

Timing budget for the path is reduced by moving clockedges

Page 8: EffiTest: Efficient Delay Test and Statistical Prediction for … · 2018. 8. 19. · Results of test iterations 10 Circuit Path-wise test Aligned test with prediction Test reduction

Statistical delay prediction

8

delay_t

dela

y_p

delay_tPr

obdelay_p

Prob

§ Highly-correlated delays resemble each other in manufactured chips.

§ Test information about one delay narrows the ranges of other correlated delays.

§ Delay prediction is performed in path clusters individually.

Page 9: EffiTest: Efficient Delay Test and Statistical Prediction for … · 2018. 8. 19. · Results of test iterations 10 Circuit Path-wise test Aligned test with prediction Test reduction

Buffer configuration after test

9

delay_t

delay_p

Prob

tested lower bound

tested upper bound

predicted lower bound

predicted upper bound

§ Delays after test are small ranges.§ Real delays may take any value in the

ranges.§ Buffer configuration:

– Upper bounds of all ranges are used to configure buffers, if a valid configuration can be found to meet the given clock period;

– Else: assume delays as large as possible è risk of yield loss vs. feasibility

upper bound

delay

′Di , jµi , j

Page 10: EffiTest: Efficient Delay Test and Statistical Prediction for … · 2018. 8. 19. · Results of test iterations 10 Circuit Path-wise test Aligned test with prediction Test reduction

Results of test iterations

10

Circuit Path-wisetest

Aligned test with prediction

Test reduction

s9234 700 37 94.71%s13207 4001 39 99.03%s15850 3684 76 97.94%s38584 3093 62 98.00%mem_ctrl 27415 195 99.29%usb_funct 4569 114 97.51%ac97_ctrl 7340 288 96.08%pci_bridge32 29061 298 98.97%

Page 11: EffiTest: Efficient Delay Test and Statistical Prediction for … · 2018. 8. 19. · Results of test iterations 10 Circuit Path-wise test Aligned test with prediction Test reduction

Results of yield improvement

11

75

80

85

90

95

100Reference yield (=84.13%) Ideal yield Yield after test

§ No more than 1% of flip-flops have buffers

Page 12: EffiTest: Efficient Delay Test and Statistical Prediction for … · 2018. 8. 19. · Results of test iterations 10 Circuit Path-wise test Aligned test with prediction Test reduction

Summary

§ Post-silicon tuning deals with the effect of process variations in each chip individually.

§ Delay test can be performed efficiently, with– delay alignment using existing tuning buffers during tests– statistical delay prediction– post-silicon configuration with delay tolerance in ranges

§ Our ongoing work includes post-silicon tuning considering reliability and noise.

12

Page 13: EffiTest: Efficient Delay Test and Statistical Prediction for … · 2018. 8. 19. · Results of test iterations 10 Circuit Path-wise test Aligned test with prediction Test reduction

Thank you for your attention!

Page 14: EffiTest: Efficient Delay Test and Statistical Prediction for … · 2018. 8. 19. · Results of test iterations 10 Circuit Path-wise test Aligned test with prediction Test reduction

Post-silicon delay test problem

14

Sample chip after manufacturing

§ Logic delays need to be measured for buffer configuration.

§ Narrower delay ranges better clock tuning

higher yield

1

3

2

CLK

4

Page 15: EffiTest: Efficient Delay Test and Statistical Prediction for … · 2018. 8. 19. · Results of test iterations 10 Circuit Path-wise test Aligned test with prediction Test reduction

§ Delays after test are small ranges.§ Real delays may take any value in the

ranges.§ Buffer configuration:

– Upper bounds of all ranges are used to configure buffers, if a valid configuration can be found to meet the given clock period;

– Else: assume delays as large as possible è risk of yield loss vs. feasibility

Buffer configuration after test

15

delay_t

delay_p

Prob

tested lower bound

tested upper bound

predicted lower bound

predicted upper bound

upper bound

delay

′Di , jµi , j

Minimize: Subject to:

: buffer values

ξ

T ≥ ′Di , j + xi − x j

ξ ≥ µi , j − ′Di , j

xi ,x j

Page 16: EffiTest: Efficient Delay Test and Statistical Prediction for … · 2018. 8. 19. · Results of test iterations 10 Circuit Path-wise test Aligned test with prediction Test reduction

Statistical delay prediction

16

delay_t

dela

y_p

§ Mean and variance of the predicted delay

: original delay distribution

: (co)variance matrix

variance decrease

′µk = µk +Σk ,tΣt−1(dt − µt )

′σ 2k =σ k

2 − Σk ,tΣt−1Σt ,k

µk ,σ k

Σk ,t ,Σt ,k ,Σt

delay_tPr

obdelay_p

Prob

′σ k

′µk

Page 17: EffiTest: Efficient Delay Test and Statistical Prediction for … · 2018. 8. 19. · Results of test iterations 10 Circuit Path-wise test Aligned test with prediction Test reduction

Hold time constraints§ Circuit tuning for performance may

affect hold time constraints.

§ Hold time are constraints by lower bounds as

§ are set to guarantee a small yield loss

capturing

D Q

CP

FF jD Q

CP

FF i

CLK

logic

td

CLKd

CLK

CLKd

launching

Hold time

T ≥ ′Di , j + xi − x j

xi − x j ≥ ′di , j

xi − x j ≥ ′λi , jxi − x j

xi x j

′λi , j

Page 18: EffiTest: Efficient Delay Test and Statistical Prediction for … · 2018. 8. 19. · Results of test iterations 10 Circuit Path-wise test Aligned test with prediction Test reduction

Runtime

Tp : runtime for path grouping and selection, test multiplexing and hold time bound computation;Tt : average test time of a chip for post-silicon tuning;Ts : runtime to determine the final buffer values.

Circuit Tp(s) Tt(s) Ts(s)s9234 6.58 0.09 0.00s13207 16.75 0.06 0.00s15850 50.51 0.17 0.01s38584 90.45 0.15 0.01

mem_ctrl 622.63 0.36 0.02usb_funct 118.48 0.17 0.02ac97_ctrl 81.63 0.30 0.01

pci_bridge32 749.31 1.19 1.59