DOE Center for Control of Plasma Kinetics: and Bounded...

48
DOE Center for Predictive Control of Plasma Kinetics: MultiPhase and Bounded Systems 7th Annual Meeting May 2627, 2016 University of Maryland, College Park, MD

Transcript of DOE Center for Control of Plasma Kinetics: and Bounded...

Page 1: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

DOE Center for

Predictive Control of Plasma Kinetics:

Multi‐Phase and Bounded Systems

7th Annual Meeting

May 26‐27, 2016

University of Maryland, College Park, MD

Page 2: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

2

Participating Institutions

We gratefully acknowledge the funding from

The U.S. Department of Energy Office of Science

Fusion Energy Sciences Program

Grant # DE‐SC0001939

Page 3: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

3

Schedule

Thursday, May 26, 2016 7:45 – 8:00 am Registration (coffee, refreshments)

Zupnik Lecture Hall (room 1110) 8:00 – 8:15 Mark J. Kushner (University of Michigan)

Introduction to the Annual Meeting: Advancing Low Temperature Plasma Science from Near Vacuum to Liquids

8:15 –10:15 Session I. Diagnostics

Zupnik Lecture Hall (room 1110) Moderator: Romain Le Picard, University of Minnesota

8:15 – 8:45 Ed Barnat (Sandia National Labs)

Ultrafast Laser Diagnostics to Interrogate High Pressure, Highly Collisional Plasma Environments

8:45 – 9:15 Vincent Donnelly (University of Houston) Time-Resolved Emission from the VUV to near IR of Atmospheric Pressure He Discharges into Open Air

9:15 – 9:45 Yevgeny Raitses (PPPL) Challenges and Possible Solutions for Accurate Measurements of Plasma Potential in Low to Moderate -Pressure Magnetized Plasma Discharges

9:45 – 10:15 Igor Adamovich (Ohio State University) Electron Density and Electric Field in High Pressure Ns Pulse Discharges

10:15 - 10:30 Coffee break

Page 4: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

4

Thursday, May 26, 2016 10:30 am – 12:30 pm

Session II. Kinetics Zupnik Lecture Hall (room 1110) Moderator: Amanda Lietz, University of Michigan

10:30 – 11:00 Igor Kaganovich (PPPL)

Update on Kinetic Modeling at PPPL for Plasma Applications

11:00 – 11:30 Vladimir Kolobov (CFDRC/University of Alabama at Huntsville) Development of Adaptive Kinetic-Fluid Solver for Plasma Simulations

11:30 – 12:00 Kentaro Hara (PPPL) Grid-based Kinetic Simulations of Nonlinear Plasma Waves

12:00 – 12:30 Alexander Khrabrov (PPPL) Validation and Benchmarking of Two Particle-In-Cells Codes for a Glow Discharge

12:30 – 1:30 Lunch

Kay Boardroom 1:30 – 2:15 Poster Session I

Rotunda / Innovation Hall of Fame 2:15 – 3:00 Poster Session II

Rotunda / Innovation Hall of Fame 3:00 – 3:20 Coffee break

Page 5: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

5

Thursday, May 26, 2016 3:20 – 5:50 pm Session III: Liquid and Multiphase Plasmas

Zupnik Lecture Hall (room 1110) Moderator: Andrew Knoll, University of Maryland

3:20 – 3:50 David Graves (University of California-Berkeley)

Plasma-Water Interactions

3:50 – 4:20 Peter Bruggeman (University of Minnesota) Plasmas Interacting with Liquids: OH Kinetics, Evaporation, Gas Flow and Interfacial Dynamics

4:20 – 4:50 John E. Foster (University of Michigan) Towards Large Scale, Plasma Based Water Purification

4:50 – 5:20 Steven Girshick, Eray Aydil and Uwe Kortshagen (University of Minnesota) Nanodusty Plasmas

5:20 – 5:50 Brandon Smith (University of Michigan) Hybrid Fluid/Kinetic Modeling of Plasma Flow over Aerosol Microparticles

5:50 – 6:00 Group Photo 6:00 – 7:00 pm Reception

Rotunda / Innovation Hall of Fame

Page 6: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

6

Friday, May 27, 2016 8:15 – 8:30 am Coffee, refreshments 8:30 – 10:30 Session IV. Atmospheric Pressure Plasmas

Zupnik Lecture Hall (room 1110) Moderator: Ken Hara (PPPL)

8:30 – 9:00 Demetre Economou (University of Houston)

Simulation of a Non-equilibrium High Pressure (250-760 Torr) Helium Plasma Jet

9:00 – 9:30 Michael Lieberman (University of California-Berkeley) Particle-in-cell Simulations of Atmospheric Pressure, Narrow Gap Discharges

9:30 – 10:00 Gottlieb Oehrlein (University of Maryland) Role of Vacuum Ultraviolet Photons (VUV) and H2O Additives in Atmospheric Pressure Plasma Treatments of Polymers

10:00 – 10:30 John Verboncoeur (Michigan State University) Tools for Modeling Kinetic Atmospheric Pressure Bounded Plasmas

10:30 - 10:45 Coffee break 10:45 – noon Group Discussion

Moderator: Mark J. Kushner

Page 7: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

7

Poster Session I

1 Anton Stepanov (PPPL) Dynamics of Charge Neutralization of High-Perveance Pulsed Ion Beams

2 Matthew Hopkins (SNL) Challenges to Kinetic Modeling of Atmospheric Pressure Discharge

3 Andrew Knoll (University of Maryland) Atmospheric Pressure Plasma Role of Local Gas Environment and Source Design on VUV Interactions with Surfaces

4 Romain Le Picard (University of Minnesota) Synthesis of Silicon Nanoparticles in Nonthermal Capacitively-Coupled Plasmas: Processes and Transport

5 Chen Li (University of Maryland) Fluorocarbon Based Atomic Layer Etching of Si3N4 and Etching Selectivity of SiO2 over Si3N4

6 Amanda Lietz (University of Michigan) Argon Dielectric Barrier Discharges Over Water at Moderate Pressure

7 Yuchen Luo (University of Minnesota) Kinetics Study of Nanosecond Pulsed Argon-Water Plasma Jet

8 Chenhui Qu (University of Michigan) Customizing Arrays of Microplasmas for Controlling Properties of Electromagnetic Waves

9 Toshisato Ono (University of Minnesota) Plasma Diagnostics and Modeling of Lithium-Containing Plasmas for Deposition of Solid Electrolytes

10 Marien Simeni Simeni (Ohio State University) Electron Density Measurements in High Pressure Ns Pulse Discharges over Liquid Water

Page 8: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

8

Poster Session II

1 Juliusz Kruszelnicki (University of Michigan) Properties Influencing Plasma Discharges in Packed Bed Reactors

2 Kenneth Engeling (University of Michigan) Characteristics of Plasma Discharges in Packed Bed Reactors with Varying Media

3 Sophia Gershman (PPPL) Unstable Behavior of Atmospheric Pressure Arc Discharge with Ablating Anode

4 Santosh Kumar Kondeti (University of Minnesota) DC Atmospheric Pressure Air Glow Discharge with Liquid Electrode

5 Janis Lai (University of Michigan) Probing Interfacial Induced Flows and Instabilities Induced by Plasma Action at the Gas-Liquid Interface

6 Liang Xu (PPPL) Particle-In-Cell Simulations of High-Voltage Breakdown in Helium

7 Pingshan Luan (University of Maryland) Polymer Surface Etching and Modification by a Time Modulated RF Plasma Jet: the Effect of Molecular Feed Gas Admixture and Treatment Distance

8 Adam Pranda (University of Maryland) The Role of the Dense Amorphous Carbon (DAC) Overlayer in Photoresist Etching

9 Benjamin Goldberg (Princeton University) Electric Field Measurements in Atmospheric Pressure Ns Pulse Discharge in Air

Page 9: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

9

Abstracts: Oral Presentations

Introduction to the Annual Meeting: Advance Low Temperature Plasma Science from Near Vacuum to Liquids

Mark J. Kushner

University of Michigan, Electrical Engineering and Computer Science, Ann Arbor, MI 48109-2122 ([email protected])

The Department of Energy Center for the Predictive Control of Plasma Kinetics: Multi-

Phase and Bounded Systems was established in the Fall of 2009 in response to recommendations of the National Research Council Plasma 2010 Decadal Study. The Center selected as its unifying theme the control of plasma kinetics as being the optimum approach to address both fundamental science issues and providing improved understanding that rapidly leads to technological advances. During the 6th year of the Center, research was reoriented to place more emphasis on higher pressure plasmas and their interaction with liquids. Now, nearing the end of Year 7, the Center has made tremendous progress in addressing the fundamental science of low temperature plasmas across an impressive range of pressures, from sub-mTorr to liquid densities. This progress is being made against the background of the Office of Fusion Energy Science (OFES) strategically reorienting its general plasma science programs into Frontiers of Plasma Science. This reorganization reflects the unifying themes of plasma science and how addressing those themes can enhance societal benefit.

In this talk, a brief overview of the Center will be provided from these perspectives, and the role low temperature plasmas will play in the Frontiers. Examples will be presented of how the advances in plasma science being made by the Center can rapidly translate to societal benefit.

Page 10: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

10

Ultrafast Laser Diagnostics to Interrogate High Pressure, Highly Collisional Plasma Environments

Edward V. Barnat and Benjamin T. Yee

Sandia National Laboratories ([email protected]) As interest in higher pressure, higher

collisionality plasmas advance, there is an increased need for diagnostic methods that are capable of accessing these challenging environments. In this presentation, a survey of diagnostic pathways based on the use of broadband (~10 nm) and short pulse (~ 100 fs) laser diagnostics that show good promise for plasma and plasma-surface interrogation will be presented.

For example, the straight forward extension of nanosecond based laser induced fluorescence (LIF) and laser-collision induced fluorescence (LCIF) [1,2] methods into shorter pulse excitation will be demonstrated on high pressure (400 Torr) helium filaments (Figure 1). Discussion on calibration, implementation and observations will be discussed.

Next, extensions of the simple proof-of-principle demonstration to pump-probe based concepts that offer picosecond or better resolution will be discussed for interrogating not only the plasma state, but perhaps more interestingly, the surface interfacing the plasma. Particular emphasis will be places on time-resolved transient reflectivity (TTR) for assessing surface composition and second harmonic generation (SHG) for assessing electric fields. References [1] E. V. Barnat and K. Frederickson, “Two-dimensional mapping of electron densities and temperatures using laser-collisional induced fluorescence”, Plasma Sources Sci. Technol. 19, 055015 (2010). [2] E. V. Barnat and B. R. Weatherford, “Two dimensional laser-collision induced fluorescence in low-pressure-argon discharges”, Plasma Sources Sci. Technol. 24, 055024 (2015).

Figure 1 – Implementation and application of ultrashort pulse (~ 100 fs) LIF and LCIF on a 400 Torr helium filament.

Page 11: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

11

Time-Resolved Emission from the VUV to near IR of Atmospheric Pressure He Discharges into Open Air

Vincent M. Donnelly, Eduardo Hernandez, Tam Nguyen and Demetre J. Economou

University of Houston ([email protected], [email protected], [email protected], [email protected])

Many applications of atmospheric pressure, non-equilibrium plasmas involve their impinging upon and modifying surfaces of materials. Fluxes of neutral radicals, charged species and photons to the surface cause chemical reactions and other phenomena to occur. Therefore identification of these reactive species and their relative abundances is of prime interest. We have investigated He plasmas sustained in a small quartz tube surrounded by a grounded and an rf-powered (typically 200 kHz) electrode. The plasma jet emerges into open air and impinges on either a MgF2 window coupled to a vacuum ultraviolet (VUV) spectrometer with a photomultiplier tube (as shown in Fig. 1), or the flat face of a hemispherical UV grade quartz prism. Behind the quartz prism is an optical fiber coupled to either a monochromator equipped with a UV-enhanced, intensified CCD detector (ICCD) or four spectrometers with CCD detectors. Optical emission spectra are recorded either time-resolved or time-averaged with these systems for various geometries.

Using the CCD spectrometers, time-averaged spectra were recorded as a function of angle through the quartz hemispherical prism. Varying the angle of refraction inside the prism (r) allowed light to be collected along the direction defined by the angle of incidence (i). At r = i = 0, the full plasma extending from the discharge inside the quartz tube is probed, while at r = 42o, light is collected along an angle i = arcsin (n sin r) = 76o from the surface, probing close to (~1 mm) the surface of the prism. Spectra contained the expected He and O emission in the red as well as those from N2, N2

+, H, O, OH, and NO. Using the ICCD system, He 706 nm intensity was recorded vs. r. At r = 0, the (mainly)

discharge tube exhibits an intense feature at peak negative voltage and a less intense feature at peak positive voltage. For r = 10-42o, emission outside the tube is only detected during the positive voltage period and peaks 700 ns after the max voltage, about the time it takes the ionization wave (“plasma bullet”) to travel 1.2 cm from the end of the discharge tube to the quartz surface.

With the VUV spectrometer and MgF2 surface, additional emissions are easily detected from O, N, and H (Fig. 1). Even when traveling through 1 cm of air, the O2 diffusing into the He stream does not reduce the emission intensities to undetectable levels. Time resolved O 130.2 nm emission recorded along the discharge tube is only slightly modulated and peaks at the positive voltage only. Looking off axis, no emission is detected during the negative voltage period, and the emission during the positive voltage period peaks before the ionization front reaches the MgF2 surface, and instead seems to mirror the He 706 nm emission recorded during the positive voltage period along the discharge axis and mainly from inside the discharge tube. One explanation is that O 130.2 nm emission near the surface is excited by photo-dissociative excitation of O2 by He 50.6-58.4 emission from the discharge tube.

120 140 160 180 200 220 240 260 2800

20

40

60

80

100

120

140

160

180

HeVUV Spectrometer

MgF2 window

O I

(13

5.6,

135

.9 n

m)

N I

(17

4.3,

174

.5 n

m)

N I

(12

0.0

, 120

.1 n

m)

H L

y-

(1

21.

6 nm

)

N I

(14

9.2

nm)

NO(A-X)

Inte

nsity

(a.

u.)

Wavelength (nm)

OH(A-X)

O I (130.2, 130.5, 130.6 nm)

Figure 1 – He atmospheric pressure plasma spectrum in the UV-VUV range.

Page 12: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

12

Challenges and Possible Solutions for Accurate Measurements of Plasma Potential in Low to Moderate -Pressure Magnetized Plasma Discharges

Brian Kraus, Andy Alt, and Yevgeny Raitses

Princeton Plasma Physics Laboratory ([email protected])

Accurate measurements of steady state and fluctuating values of plasma potential are necessary to understand transport in magnetized discharges. The measurement accuracy of sweeping bias electrostatic probes can be affected by various plasma oscillations, which are common for magnetized discharges. The use of floating emissive probes is usually limited to low-density plasmas ~ 1012 cm-3. We investigated a floating magnetically insulated baffled probe (MIB) for direct low-perturbative measurements of the plasma potential in both anomalous and quiescent magnetized plasmas at low (<1 mtorr) and moderate (>1 mtorr) pressures. The principle of operation of this probe is based on the dependence of the sheath voltage drop on the magnetic field [1]. The probe consists of a tube with a recessed collector. The tube acts as a baffle limiting the flux of magnetized electrons to the collector [2]. Unlike previous MIB probes [1,2], the tube shields the collector from plasma perturbations induced by E × B rotating spoke. The probe designs include a conductive screen and openings in the tube to minimize undesired electron flux to the collector induced by Hall effect.

In this work, we compare results of electric potential measurements made with three different probes, including electrostatic Langmuir probe (d<<RLe, where d is the probe diameter, RLe is the electron Larmor radius, respectively), floating emissibe probe and the MIB probe made in a E×B beam-plasma discharge (Xenon, 1-10 mtorr, n ~ 1012, Te 5 eV) with magnetized electrons and non-magnetized ions. All three probes were placed perpendicular to the magnetic field. Results of steady state measurements show that electron current to the MIB probe collector drops by two orders of magnitude inside the tube, while the MIB floating potential can saturate to a value above the emissive probe floating potential, indicating measurement of an electric potential near the plasma potential (Figure 1). A theoretical analysis of the MIB probe revealed complex electron trajectories, which may explain why in experiments, the electron currrent to the MIB collector did not depend on the magnetic field. In addition to these results, analysis of measurements of electric potential using different emissive probe techniques [3], and their comparison with the plasma potential deduced from V-I characteristics (2nd derivative) of electrostatic Langmuir probe measured in the same magnetized plasma discharge will be also presented.

References [1] V. I. Demidov, M. E. Koepke, and Y. Raitses, Rev. Sci. Instrum. 81, 10E129 (2010). [2] V. I. Demidov, S. M. Finnegan, M. E. Koepke, and E. W. Reynolds, Contrib. Plasma Phys. 44, 689 (2004). [3] J. P. Sheehan, Y. Raitses, N. Hershkowitz, I. Kaganovich, and N. J. Fisch, Phys. Plasmas 18, 073501 (2011).

Figure 1 – Floating potential of the MIB probe collector. Far inside the tube, the collector floats at a potential above that measured by the floating emissive probe.

Page 13: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

13

Electron Density and Electric Field in High Pressure Ns Pulse Discharges

I.V. Adamovich(a), Benjamin Goldberg(b), and Marien Simeni Simeni(a)

(a) Ohio State University ([email protected]) (b) Princeton University ([email protected] )

Time-resolved electric field is measured in a nanosecond pulse discharge in atmospheric air, sustained between a knife edge electrode and a plane dielectric plate (quartz, 100 μm thick) placed over a grounded electrode, with 1 mm gap. The results are obtained using a picosecond four-wave mixing technique using a stimulated Raman shifting (SRS) cell, which has been extended to use nitrogen, rather than hydrogen, as probe species, for the first time. The knife edge electrode is powered by a square shaped high voltage pulse with ~50 ns rise time. The results, shown in Fig. 1, demonstrate that the electric field before the pulse is very high, 25-30 kV/cm, due to charge accumulation on the dielectric surface. When the voltage pulse is applied, the electric field (i) reverses eth sign (changes direction), (ii) increases until breakdown limit (nearly 60 kV/cm at the present conditions), (iii) decays rapidly during breakdown, charge separation, and plasma self-shielding, and (iv) levels off at an asymptotic value during surface charge accumulation. This technique can be used for accurate measurements of electric field in pulsed discharges in air, with sub-ns pulse resolution, to provide quantitative insight into pulse breakdown kinetics and discharge energy partition.

Thomson / Raman scattering is used to measure time-resolved electron density, electron temperature, and gas temperature in a ns pulse discharge in helium and oxygen-helium mixtures, sustained as a diffuse filament discharge between a spherical high-voltage electrode and liquid water surface. A sharp metal pin is attached to the grounded electrode on the bottom of the water reservoir to enhance the electric field in the center and help stabilize the discharge filament. The electron density and electron temperature increase rapidly during ns pulse breakdown breakdown, peaking at ne ≈ 4.5·1014 cm-3 and Te ≈ 2.5 eV, at gas temperature of T = 300-400 K. After the discharge pulse, both electron density and electron temperature decay rapidly, over a few tens of ns, much faster compared to the discharge without water present. At the present conditions, the dominant electron decay mechanism is three-body electron attachment to water vapor. These measurements provide insight into discharge energy partition and rates of reactive oxygen species generation (such as O atoms) in plasmas sustained near liquid surfaces.

Figure 1 – Absolute value of the electric field in a knife-edge-to-dielectric plane, ns pulse discharge in air, plotted together with pulse voltage and current waveforms.

Figure 2 – Thomson / Raman scattering spectrum in 10% O2 - He mixture at P=100 Torr, 100 ns after the onset of the discharge current.

Page 14: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

14

Update on Kinetic Modeling at PPPL for Plasma Applications

I. D. Kaganovich(a), D. Sydorenko(b), A. V. Khrabrov(a), J. Carlsson(a), H. Wang(a), L. Xu(a), V. S. Soukhomlinov(c), A. S. Mustafaev(d)

(a) Princeton Plasma Physics Laboratory Princeton, New Jersey 08543, USA ([email protected]) (b) University of Alberta, Edmonton, Canada ([email protected])

(c) Department of Physics, St. Petersburg State University, St. Petersburg, 198504, Russia ([email protected])

(d) National Mineral Resources University, St. Petersburg 199106, Russia ([email protected])

In FY 2016 we have continued kinetic modeling for various plasma applications enabled by funding of the DOE Center for Control of Plasma Kinetics. Our studies of collective interaction of electron beam with the plasma [1] aides understanding and optimization of plasma etching process. Another example is modeling of high power plasma switch for electric grid system recently being proposed by General Electric [2]. To this end we have upgraded and modified 3D LSP code and validated it against experimental data for glow discharge [3].

We have also performed detailed comparison of numerical simulation results and experimental data for ion velocity distribution functions (IVDF) in argon and helium discharges using Monte Carlo Collisions (MCC) methods, taking into account both elastic and charge exchange collisions of ions with atoms in uniform electric fields. The recently published experimental data for the first seven coefficients of the Legendre polynomial expansion of the IVDFs are used to validate simulation results as shown in Fig.1. Good agreement between measured and simulated IVDFs show that the developed simulation model can be used for accurate calculations of IVDFs.

References [1] D. Sydorenko, APS DPP 2015 invited talk, “Generation of anomalously energetic suprathermal electrons by an electron beam interacting with a nonuniform plasma” http://scitation.aip.org/upload/AIP/POP/pdfs/collections/57th_DPP/TI2_Sydorenko.pptx . [2] Liang Xu, Alexander V. Khrabrov, and Igor D. Kaganovich, “Particle-in-cell simulations of high-voltage breakdown in helium” Proceedings of 7th annual meeting of DOE Plasma Science Center on Predictive Kinetics (2016). [3] Johan Carlsson, Alexander V. Khrabrov, Igor D. Kaganovich, and Timothy Sommerer, “Validation and benchmarking of two particle-in-cells codes for a glow discharge”, ibid. (2016). [4] Huihui Wang, Vladimir S Soukhomlinov, Igor D Kaganovich, Alexander V Khrabrov, Alexander S Mustafaev, “Ion velocity distribution functions in argon and helium discharges: detailed comparison of numerical simulation and experimental data”, to be submitted to Plasma Sources Scie. and Technol. (2016).

(a)

(b) Figure 1 – Comparison of simulation results with experimental data for the ion energy dependence of the IVDF Legendre polynomials expansion coefficients (a) Argon, (b) Helium.

Page 15: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

15

Development of Adaptive Kinetic-Fluid Solver for Plasma Simulations

Vladimir Kolobov(a,b) and Robert Arslanbekov (a)

(a) CFD Research Corporation ([email protected]) (b) The University of Alabama in Huntsville

We will present an overview of our efforts to develop a new simulation tool using adaptive

kinetic-fluid transport models for partially ionized plasmas. The tool has a) automatic mesh generation and adaptive mesh refinement algorithms, b) a variety of kinetic and fluid solvers, c) ability to locally switch between fluid and kinetic solvers for different plasma species, and d) parallel algorithms adapted for heterogeneous CPU-GPU computing. The Adaptive Mesh and Algorithm Refinement (AMAR) methodology combines adaptive mesh refinement (AMR) with the ability to select fluid and kinetic solvers on a cell-by-cell basis. This AMAR methodology was first developed for gas flows in mixed rarefied-continuum regimes, later extended for hybrid simulations of radiation transport, and is currently being advanced for plasma simulations [1].

We will describe the basic capabilities of different modules in the AMAR framework (Figure 1). The Kinetic Module can solve Boltzmann, Vlasov, and Fokker-Planck equations using Discrete Velocity Method (DVM). The Adaptive Mesh in Phase Space (AMPS) solver can adapt mesh in both physical and velocity spaces. The Direct Siulation Monte Carlo (DSMC), Photon Monte Carlo (PMC), and the Particle-in-Cell (PIC) modules are based on particle algorithms. The mesoscopic Lattice Boltzmann Method (LBM) uses a minimal set of discrete velocities as a subset of the DVM kinetic solvers. The Fluid Module contains density-based multi-species Euler and Navier-Stokes solvers for reacting gas mixtures and a chemistry module for simulations of chemical reactions. The Plasma module solves fluid transport equations for charged species (electrons and ions) and the electron energy balance equation coupled to Poisson solver. The latest additions to the AMAR toolset are an electrostatic PIC module [2] and a Discontinuous Galerkin Time Domain Maxwell solver [3]. We will discuss specific criteria for selecting kinetic and fluid solvers for different plasma components. For ions, neutral atoms and photons, these criteria are based on the local mean free path. For electrons, the problem is more complicated due to the large difference between the momentum and energy relaxation lengths and their strong dependencies on electron energy. We will present general guidelines for selecting different solvers for electrons. References [1] S. Zabelok, R Arslanbekov and V Kolobov, J. Comput. Phys. 303, 455 (2015) [2] V Kolobov and R Arslanbekov, J. Phys: Conference Series, to appear (2016) [3] C-P Lin, S Yan, R. R. Arslanbekov, V Kolobov, and J-M Jin, A DGTD Algorithm with Dynamic h-Adaptation and Local Time-Stepping for Solving Maxwell’s Equations, submitted for publication (2016)

Figure 1 – The AMAR framework.

Page 16: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

16

Grid-based Kinetic Simulations of Nonlinear Plasma Waves

Ken Hara

Princeton Plasma Physics Laboratory ([email protected])

Nonlinear plasma waves play an important role in collisionless systems, including laser- and beam-plasma interactions. However, such plasma waves may also be relevant in low temperature plasmas. Some examples include ion acoustic turbulence as a candidate of anomalous electron transport in Hall thrusters [1], helicon sources where RF waves are used to heat up the discharge plasma [2], and plasma sheaths in the presence of a strong emission from a plasma-immersed surface [3].

Kinetic simulations are required for investigating plasma-wave interactions since charged particles may experience trapping in the potential wells of a traveling wave and the velocity distribution functions (VDFs) become non-Maxwellian. Particle-in-cell (PIC) method is useful but the statistical noise due to the use of macroparticles may alter the physical instabilities and oscillations. A grid-based kinetic simulation, in which the Vlasov equation is solved directly in a discrete phase space, is developed and tested for various nonlinear plasma wave phenomena. A grid-based kinetic simulation, originally developed to model the Hall thruster discharge plasma [4], is applied to investigate (1) trapped-particle bunching instability and (2) the mechanism of electron acceleration induced by two-stream instability due to a neutralized ion beam.

Figure 1 shows the evolution of the VDFs of electrons due to trapped-particle bunching instability [5]. For the initial condition, a distribution close to the Bernstein-Greene-Kruskal (BGK) mode and a ring distribution are assumed for untrapped and trapped particles, respectively. The growth rate obtained from the Vlasov simulation shows good agreement with theoretical estimate. Recent investigations on the two-stream instability due to a neutralized ion beam will be also discussed. References [1] B. A. Jorns, I. G. Mikellides, and D. M. Goebel, Phys. Rev. E. 90, 063106 (2014) [2] E. Ahedo, Progress in Propulsion Physics 4, pp.337-354 (2013) [3] M. D. Campanell, A. V. Khrabrov, and I. D. Kagaonovich, Phys. Plasmas 19, 123513 (2012) [4] K. Hara, M. J. Sekerak, I. D. Boyd, and A. D. Gallimore, J. Appl. Phys. 115, 203304 (2014) [5] K. Hara, T. Chapman, J. W. Banks, S. Brunner, I. Joseph, R. L. Berger, and I. D. Boyd, Phys. Plasmas 22, 022104 (2015)

Figure 1 – Bunching of trapped electrons in a nonlinear

plasma wave

Page 17: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

17

Validation and Benchmarking of Two Particle-In-Cells Codes for a Glow Discharge

Alexander V. Khrabrov(a), Johan Carlsson(a), Igor D. Kaganovich,(a) and Timothy Sommerer(b)

(a) Princeton Plasma Physics Laboratory, P.O. Box 451, Princeton, NJ 08543 ([email protected]) (b) General Electric Global Research, 1 Research Circle, Niskayuna, NY 12309

The two particle-in-cell codes EDIPIC [1] and LSP [2] were benchmarked and validated for a parallel-plate glow discharge in helium, in which the axial electric field had been carefully measured [3], primarily to investigate and improve the fidelity of their collision models. The scattering anisotropy of electron-impact ionization, as well as the value of the ion-impact secondary-electron emission yield, are not well known in this case. The experimental uncertainty for the emission yield corresponds to a factor of two variations in the cathode current. If the emission yield is tuned to make the cathode current computed by each code match the experimental values, the computed electric fields are in excellent agreement with each other, and within about 10% of the experimental value as evident in Fig.1. Figure 2 shows that simulations reached the steady state. The non-monotonic variation of the width of the cathode fall with the applied voltage seen in the experiment is reproduced by both codes. The electron temperature in the negative glow is within experimental error bars for both codes, but the density of slow trapped electrons is underestimated. A more detailed code comparison done for several synthetic cases of electron-beam injection into helium gas showed that the codes are in excellent agreement for ionization rate, as well as for elastic and excitation collisions with isotropic scattering pattern. The remaining significant discrepancies between the two codes are due to differences in their electron binary-collision models, and for anisotropic scattering due to elastic and excitation collisions.

References [1] D. Sydorenko, “Particle-in-cell simulations of electron dynamics in low pressure discharges with magnetic fields”, Ph. D. thesis University of Saskatchewan Saskatoon 2006. [2] R. Clark and T. Hughes, Mission Research Corporation, Santa Barbara, CA 2005. [3] E. A. den Hartog, D. A. Doughty and J. E. Lawler, Physical Review A 38, 2471 (1988).

Figure 1 - Electric field for the 600 V case. Black circles are experimental values, blue, green and red graphs are LSP results with decreasing time steps (5, 2 and 1 ps, respectively). The magenta graph is the EDIPIC result with 1 ps time step.

Figure 2 - Integral of ionization rate (blue graph) and ion flux (green graph) at end of EDIPIC simulation of 173 V case. Approximate overlap is indicative of near steady-state solution, except in negative-glow region (> 0.35 cm).

Page 18: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

18

Plasma-Water Interactions

D. B. Graves (a), R. Gopalakrishnan(a), C. Anderson(a), A. Lindsay(b), E. Kawamura(a) and M. A. Lieberman(a)

(a) University of California at Berkeley ([email protected])

(b) North Carolina State University ([email protected])

Cold atmospheric plasma (CAP) interactions with water involve a complex set of coupled phenomena and many phenomena occur near the plasma-water interface. In one study, a transient spark discharge in air adjacent to water was used to demonstrate the importance of near-surface reactions in decomposition of indigo carmine dye. Major stable species that are formed in water under these conditions include hydrogen peroxide (H2O2), nitrate (NO3

-) and nitrite (NO2-). Nitrite

and H2O2 are known to react under acidic conditions to form peroxynitrous acid (O=NOOH) and this compound decomposes rapidly to form OH• radicals, thus decomposing the dye through the bulk of the liquid. By contrast, OH• arriving from the surface react with indigo carmine in a depth of ~ 10-100 m from the surface, based on comparison of reaction and diffusion rates. A combination of experiments and simple models demonstrate the key role of near-surface reactions compared to bulk reactions. [1] In a separate study of the effects of electrons entering water (acting as anode to a dc discharge in argon), simulation show that generation of near-surface OH- following electron-water decomposition in the presence of bulk acid creates a highly basic region very near the surface. In the presence of bulk solution acidity, pH can vary from 2 away from the surface to a 11 over a distance of ~ 200 nm. (cf. Fig. 1).[2] In another set of simulations coupling a dc argon discharge with a water solution, we tested the effects of varying surface loss coefficient on gas phase interfacial electrons. The gas phase electron density at the anode can vary by orders of magnitude depending on the assumed value of the water surface recombination probability. We conclude that in order to make more confident model predictions about plasma-liquid systems, finer scale simulations and/or new experimental techniques must be used to elucidate the near-surface gas phase electron dynamics.[3]

References [1] C. Anderson, N. Cha, A. Lindsay, D.S. Clark, and D.B. Graves, in preparation, (2016). [2] R. Gopalakrishnan, E. Kawamura, A.J. Lichtenberg, M.A. Liebermanb and D. B. Graves, submitted (2016). [3] A. Lindsay, D.B. Graves, and S. Shannon, submitted, (2016).

Figure 1 – The top panel is a plot of OH- and H+ concentrations as a function of position for the case of 20 mM H+ added as a scavenger; the bottom panel shows the corresponding pH spatial profile.

Page 19: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

19

Plasmas Interacting with Liquids: OH kinetics, Evaporation, Gas Flow and Interfacial Dynamics

V.S. Santosh K. Kondeti, Shurik Yatom, Yuchen Luo, Qing Xiong and Peter J. Bruggeman

Department of Mechanical Engineering, University of Minnesota, 111 Church Street SE, Minneapolis, MN 55455, USA ([email protected])

Gas phase non-equilibrium plasmas containing water vapor or interacting with a liquid water phase are of growing interest for many applications. While many studies focus on the applications of these plasmas, the plasma kinetics and the plasma-liquid interface are poorly understood [1].

In this context we have studied three different plasma sources as shown in Figure 1: an atmospheric pressure nanosecond pulsed Ar + 0.26% H2O plasma jet (APPJ), an RF driven argon plasma jet interacting with a liquid and a DC glow discharge in air generated between a metal electrode and a liquid surface. Our studies focused on the different plasma kinetics, effects of evaporation and transport. We will present an overview of our most recent results with a focus on the following highlights:

(1) We performed broadband UV absorption in the positive column of the DC glow discharge and obtained OH densities in excess of 1023 m-3 [2]. These high densities can be explained through an O radical production mechanism of OH. This production mechanism requires a water concentration of 5% which is consistent with the experimental findings.

(2) H densities measured by two-photon absorption laser induced fluorescence in the nanosecond pulsed APPJ yield a dissociation degree of water of approximately 25%. The corresponding OH density is one order of magnitude smaller. The results suggest a delayed production mechanism of OH and H radicals most likely due to ionic recombination and charge exchange reactions [3].

(3) The well-characterized RF APPJ shows complex interactions with gas flow that can be attributed to gas heating effects [4]. We showed that these interactions can have a profound impact on the gas phase kinetics such as the production of O3 [5].

(4) We also studied an RF APPJ impinging on a water surface. The plasma strongly impacts the gas-liquid interface dynamics. This interfacial dynamics is caused by a complex interplay between charge/heat transfer at the interface, gas heating of the jet and surface waves reflected from the liquid reservoir wall.

References [1] P. Bruggeman and C. Leys, J. Phys. D: Appl. Phys. 42, 053001 (2009). [2] Q. Xiong, Z. Yang and P.J. Bruggeman, J. Phys. D: Appl. Phys. 48, 424008 (2015) [3] S. Yatom, Y. Luo, Q. Xiong and P. J. Bruggeman (in preparation) [4] S. Zhang, A. Sobota, E.M. van Veldhuizen and P.J. Bruggeman, J. Phys. D: Appl. Phys. 48, 015203 (2015) [5] S. Zhang, A. Sobota, E.M. van Veldhuizen and P.J. Bruggeman, Plasma Sources Sci. Technol. 24, 045015 (2015)

Figure 1 – Left: nanosecond pulsed APPJ; Middle: APPJ in argon interacting with a liquid surface; Right: DC glow discharge in contact with water anode with halo.

Page 20: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

20

Towards Large Scale, Plasma Based Water Purification

John E. Foster, Janis Lai and Selman Mujovic

University of Michigan, Ann Arbor, MI, 48109-21122 USA ([email protected])

Currently there are no set EPA regulations on so-called microcontaminants or contaminants of emerging concern in drinking water. These contaminants include everything from personal care products to pharmaceuticals (both drugs and hormones) to pesticides. Presently, there is a great deal of concern and debate regarding the public health effects of these compounds, particularly regarding synergistic effects that can arise from these chemicals in combination even at low concentration. Additionally, the introduction of viral components into rivers via waste water plant release pose additional threats to drinking water. Current water treatment plants lack the infrastructure to remove these contaminants, let alone address unexpected, uncontrolled releases of new contaminants either by accident or nefarious means.

Plasma-based water purification is being researched because of its ability to rather indiscriminately purify water of even the most recalcitrant, organic contaminants. In this regard, plasma reactors are a potential design solution for the removal of these emerging contaminants. To date, there have been numerous laboratory-scale experiments demonstrating plasma driven mineralization of organic compounds and microbial contaminants in water. Here, the plasma-liquid water interaction results in the production of OH and peroxide in conjunction with a host of other advanced oxidation species along with other decomposition drivers such as UV (photoinactivation) and ultrasound. In general, such experiments have taken place on a small scale, typically involving ml to 100 of mls treated over fixed, stagnant volumes for of order minutes. Such treatment volumes pale in comparison to treatment volumes required for practical point of use, which require greater than 20,0000 ml/min and for small water treatment plants ~millions of liters/day.

The actual application of plasma based water purification reactors in real systems has stymied by the scale-up problem. The scale up problem is linked essentially to two problems: 1) the difficulty in producing large volume plasmas in water and 2) the need for greater insight into the nature of radical transport from the plasma liquid interface to the bulk. These two issues control the contact time of contaminated water to the advanced oxidation species produced by the plasma. In this presentation, the minimum performance requirements for a plasma based water purification system are described.

The importance of understanding physical process taking place at the plasma liquid interface from a water purification context is also discussed along with a description of ongoing experiments aimed at elucidating the nature of the interface. Challenging water purification problems ranging from the agriculture sector to the drinking water sector is summarized along with a discussion of promising toxic algae treatment results. Two design methologies that are under current investigation at Michigan that would have the capacity to address the throughput problem are also discussed.

Figure 1- Time evolution of plasma treated dye solution

Baseline 15 mins processing

20 mins processing

30 mins processing

Tap Water

Page 21: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

21

Nanodusty Plasmas

Steven L. Girshick, Eray Aydil and Uwe R. Kortshagen

University of Minnesota, Minneapolis, MN ([email protected])

We report several studies conducted during the past year of nonthermal plasmas in which nanoparticles nucleate and grow. Systems and phenomena studied include (1) silane-containing RF plasmas flowing through a narrow tube at pressures of 1-2 Torr, used for synthesis of silicon nanocrystals; (2) pulsed RF argon-silane and hydrogen-silane plamas, at pressures ranging from 100 mTorr to 2 Torr, used to deliver controlled nanoparticle fluxes to a substrate; (3) the effect on nanoparticle charge distributions of single-particle charge limits and plasma electronegativity; and (4) synthesis of zinc oxide nanoparticles in an atmospheric-pressure glow discharge.

In a collaboration between Girshick (UMN) and Kushner (UM), we developed a detailed 2-D numerical model of silicon nanoparticle synthesis in an argon-helium-silane plasma flowing through a narrow tube with RF ring electrodes at a pressure of 1.5 Torr [1]. Simulations were conducted for similar geometry and conditions as in experiments conducted by Kortshagen and Aydil (UMN) [2]. Plasma chemistry and nanoparticle formation were self-consistently treated. Figure 1 shows an example of model predictions, which support the hypothesis that nanoparticle crystallization is enabled by heating of nanoparticles by exothermic reactions on their surfaces.

A 1-D numerical model was used to study pulsed RF plasmas for production of controlled fluxes of nanoparticles to a substrate. Systems studied included both argon-silane plasmas at pressures around 100 mTorr and hydrogen-silane plasmas at 2 Torr.

Monte Carlo simulations of nanoparticle charging in a plasma were conducted to explore the effects on particle charge distributions of both single-particle charge limits [3] and plasma electronegativity. Both of these phenomena increase the fraction of nanoparticles that are not negatively charged, and that therefore are not trapped in the plasma in parallel-plate systems.

An atmospheric-pressure glow discharge (APGD) was built for zinc oxide nanocrystal synthesis. The reactor features a non-uniform gap spacing that encourages localized preionization and avoids plasma filamentation. Thus, the plasma is relatively uniform at large volume. Similar to low-pressure plasmas, the APGD-synthesized zinc oxide nanocrystals exhibited photoluminescence and localized surface plasmon resonance due to oxygen vacancies.

References [1] R. Le Picard, A. H. Markosyan, David H. Porter, S. L. Girshick and M. J. Kushner, Plasma Chem. Plasma Process, submitted Mar. 2016. [2] L. Mangolini, E. Thimsen, and U. Kortshagen, Nano Lett. 5, 655 (2005). [3] R. Le Picard and S. L. Girshick, J. Phys. D 49, 095201 (2016).

Figure 1 – Predicted distributions of gas temperature (left) and temperature of 2-nm-diameter nanoparticles (right) in an RF plasma flowing through a tube with ring electrodes, for similar conditions as in [2]. From ref. [1].

Page 22: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

22

Hybrid Fluid/Kinetic Modeling of Plasma Flow over Aerosol Microparticles

Brandon D. Smith,(a) Iain D. Boyd(a) and Vladimir Kolobov(b)

(a) University of Michigan ([email protected]; [email protected])

(b) CFD Research Corporation ([email protected])

The flow of atmospheric-pressure plasmas over micro-scale particles is a phenomenon of key interest in several applications, such as plasma remediation of toxic gases in Earth’s atmosphere and the manufacture of semiconductor devices. The small scale of the particles in these systems (tenths to hundreds of micrometers) leads to relatively large local Knudsen numbers (~0.01–1.0) in spite of the high pressure and, consequently, small mean free path of the flow, indicating that kinetic phenomena have significant effects on the flow field. In order to adequately capture these effects numerically, a kinetic model must be used, particularly in the regions of large local Knudsen number.

The principal goal of this work is to develop a hybrid fluid/kinetic model to simulate the nonequilibrium flow of an atmospheric-pressure plasma over solid, micro-scale particles, similar to the flow shown in Figure 1. Similar hybrid models have been successfully developed for neutral gas flows [1–4]. One such model, the Unified Flow Solver (UFS), is capable of utilizing graphics processing units (GPUs) to accelerate its computations by a factor of ~10–100 compared to a single CPU core [5]. This capability is of particular interest for simulating plasma flows, as the multi-species nature and various spatial and temporal scales associated with such flows results in an extraordinarily high computational cost. Hence, UFS is a strong starting point for developing a hybrid fluid/kinetic plasma model.

In this project, we will expand the capabilities of UFS to enable simulation of weakly ionized, high-pressure plasmas. Then, we will assess the criteria we use to determine when and where a kinetic treatment of each plasma species (i.e., neutrals, ions, and electrons) is necessary. We will then begin analyzing test cases of increasing complexity, starting with plasma flow around a single aerosol particle and progressing towards multi-particle cases like those explored in [6]. Finally, we will perform a parametric analysis by varying quantities such as particle properties and the number of aerosol particles and use the results to quantify the effect of localized kinetic phenomena on the overall plasma flow.

References [1] Q. Sun, I.D. Boyd, and G.V. Candler, J. Comput. Phys. 194, 256 (2004). [2] T.E. Schwartzentruber, L.C. Scalabrin, and I.D. Boyd, J. Comput. Phys. 225, 1159 (2007). [3] V.I. Kolobov, R.R. Arslanbekov, V.V. Aristov, A.A. Frolova, and S.A. Zabelok, J. Comput. Phys. 223, 589 (2007). [4] R.R. Arslanbekov, V.I. Kolobov, and A.A. Frolova, AIP Conf. Proc. 1084, 495 (2008). [5] S. Zabelok, R. Arslanbekov, and V. Kolobov, J. Comput. Phys. 303, 455 (2015). [6] N.Y. Babaeva, A. N. Bhoj, and M. J. Kushner, Plasma Sources Sci. Technol. 15, 591 (2006).

Figure 1 - Example of a fully fluid simulation of a plasma streamer interacting with three particles of diameter 80 µm at 1 Atm [6].

Page 23: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

23

Simulation of a Non-equilibrium High Pressure (250-760 Torr) Helium Plasma Jet

Demetre J. Economou, Wen Yan and Vincent M. Donnelly

University of Houston ([email protected], [email protected], [email protected])

Non-thermal (cold) high pressure (notably 1 atm) plasmas are investigated intensely because of current and future applications ranging from surface modification and materials processing to plasma medicine. Various types of non-thermal plasma sources have been developed and studied. In particular, cold atmospheric pressure plasma jets (APPJ) have attracted considerable attention, compared to other plasmas in confined geometries, primarily due to their ability of delivering various active species to relatively remote locations for localized treatment. A two-dimensional axisymmetric fluid model was developed to investigate the discharge dynamics of a helium high pressure plasma jet emerging in oxygen ambient. Physical processes including discharge ignition and propagation inside the tube, streamer (plasma bullet) propagation in the open gap, and interaction with a substrate were studied. Particular emphasis was placed on the effect of the conductivity of the substrate surface (conductor vs. insulator) and system pressure (250-760 Torr).

Neutral gas flow and mass transport as well as plasma dynamics were included in the model. The spatiotemporal evolution of the discharge during a 150 ns, +5 kV trapezoidal pulse (10 ns each for rise and fall times) applied to a ring electrode encircling the dielectric tube was investigated. The discharge is initiated at the downstream edge of the ring electrode (Figure 1). Inside the dielectric tube the discharge starts as a surface wave along the wall of the tube. A streamer (plasma bullet) is then formed that is launched in the free space between the tube end and the substrate.

The streamer is donut-shaped (ionization peaks off axis) upon exiting the tube, but ionization peaks on axis further downstream. Upon impact on a conductive substrate, the streamer moves radially outwards, clinging on the surface, but with progressively reduced intensity compared to the streamer in the gap. The species flux to a conductive substrate decreased rapidly in the radial direction along the surface. The peak positive ion flux was ~ 1022 m-2s-1. The dominant positive ion and neutral species fluxes to the surface were He+ and He*, respectively. When the gap between the tube exit and the substrate surface was reduced from 5 mm to 3 mm the plasma jet-surface interaction area increased, while the peak species flux decreased, mainly for O and O2

+. For an electrically insulating substrate, the discharge spread along the radius of the dielectric surface. Species were produced by a surface ionization wave as it propagated along the radius, at some distance from the surface. As a consequence, the species flux peaked off-axis. The behavior of the plasma jet at pressures below 1 atm was also studied. The streamer propagation velocity, and the plasma-surface interaction area both increased when the gas pressure was decreased.

Figure 1 - Spatial profiles of electron density at t = 20 (left), 35 (middle), and 140 ns (right).

Page 24: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

24

Particle-in-cell Simulations of Atmospheric Pressure, Narrow Gap Discharges

E. Kawamura(a), M. A. Lieberman(a), A. J. Lichtenberg(a), D. B. Graves(b) and R. Gopalakrishnan(b)

jj

(a) EECS Dept, University of California, Berkeley, CA 94720 ([email protected]) (b) CBE Dept, University of California Berkeley, CA 94720 ([email protected])

Atmospheric pressure plasma discharges in contact with liquid surfaces are of increasing

interest, especially in the bio-medical field. We have modeled the gas phase plasma using 1D3v Particle-in-cell (PIC) simulations in both (1) a 2 mm gap DC argon discharge and (2) a 1 mm gap RF He/2%H2O discharge. Our DC argon discharge study is motivated by the experimental results of Rumbach et al. (2015) [1], who reported the presence of hydrated electrons just below the surface of water acting as anode to an atmospheric pressure argon dc discharge. We neglect any effects the liquid electrolyte has on the plasma, and treat the plasma-water boundary as a grounded anode. We found that for larger currents (J > 1000 A/m2), electron diffusion alone cannot satisfy current continuity under atmospheric pressure conditions, and a field forms to accelerate electrons into the surface. Figure 1 shows the PIC results for the electron energy distribution at the surface for J = 4 x 104 A/m2. Most electrons impact the water surface with energies between 5 and 12 eV due to the accelerating electric field. The relatively high electron energy suggests that there are few if any energetic barriers for electrons to enter the water and become solvated.

PIC simulations of a 1 mm gap, atmospheric pressure He/2%H2O capacitive discharge showed standing striations in the bulk plasma region (see Fig. 2) while previously conducted PIC simulations of a 1 mm gap, atmospheric pressure He/0.1%N2 capacitive discharge [2] showed no such instabilities. So, we successively modified the base He/H2O chemistry to make it more similar to the He/N2 chemistry in order to determine what was causing the striations. Setting the e-H2O scattering, attachment, vibrational and rotational excitation rates to zero did not suppress the striations. However, multiplying the base e-ion recombination coefficient Krec by a factor Crec<<1 did suppress the striations. We conducted a series of PIC simulations of the modified He/H2O discharge in which Crec was varied from 0.05 to 5. We found that striations were observable for Crec>=0.5, while they were not observable for Crec<0.5. A theoretical instability criterion for the modified He/H2O discharges was developed that showed excellent agreement with the PIC results. We find that narrow gap, atmospheric pressure discharges with large volume losses (compared to surface losses) tend to be unstable while those with small volume losses tend to be stable.

References [1] P. Rumbach, D.M. Bartels, R.M. Sankaran and D.B. Go, Nat. Commun., 6, 7248 (2015). [2] E. Kawamura, M.A. Lieberman, A.J. Lichtenberg, P. Chabert and C. Lazzaroni, Plasma Sources Sci. Technol., 23, 035014 (2014).

Figure 1 - EEDF at interface for J=4e4 A/m2.

Figure 2 - n(x) (m-3) for J=2300 A/m2 at f=27.12MHz.

Page 25: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

25

Role of Vacuum Ultraviolet Photons (VUV) and H2O Additives in Atmospheric Pressure Plasma Treatments of Polymers

A. Knoll(a), P. Luan(a), S. Kumar(b), P. J. Bruggeman(b), C. Anderson(c), D. B. Graves(c) and G. S. Oehrlein(a)

(a) University of Maryland, College Park ([email protected]) (b) University of Minnesota, Twin Cities ([email protected]) (c) University of California, Berkeley ([email protected])

The degree of surface modification produced by cold atmospheric plasma (CAP) sources

depends strongly on the flux and nature of reactive species and photons produced by the plasma, which is governed by source design and applied plasma parameters. High energy photons can cause reactive sites on surfaces and can play a critical role in biological systems. Understanding the role of H2O in CAP is important for tailoring reactive species fluxes to surfaces.

The relative importance of high energy photons in the vacuum ultraviolet (VUV) range and the influence of the local gas environment around the source on VUV transmission is an important aspect for surface treatments. We compared the VUV surface modifications from four different CAP sources by comparing treatments with and without VUV transparent windows: a kHz driven ring-APPJ, a kHz driven pin-APPJ, a MHz driven pin-APPJ, and a kHz driven surface microdischarge (SMD) source. The SMD source showed no thickness reduction for any conditions studied. While thickness loss is seen for the remaining sources, the MHz driven APPJ showed the least VUV-contribution to etching as compared to other species; oxygen addition to the Ar feed gas further reduced the VUV modification in this case, while increasing overall thickness loss. VUV modification of the polymer surface is dominant for the kHz driven ring-APPJ source. Comsol finite element modeling was conducted of the noble gas flow effect on the gas between the plasma and the sample for various ambient oxygen concentrations. The resulting VUV transmission for different oxygen concentrations is obtained from the Beer-Lambert transmission law and compared to experimental results for varied oxygen concentration in the chamber ambient. This demonstrates that oxygen is the VUV absorbing species in the ambient air and O2 displacement by Ar gas allows for VUV transmission to surfaces at atmospheric pressure.

For the MHz APPJ extensive characterization of gas phase species for various distances and gas chemistries, including H2O, has been performed by Bruggeman et al., making this source an excellent platform to investigate plasma-polymer surface interactions for various treatment conditions and determine the role of molecular gas admixture, including H2O, on surface modifications. This source shows polymer etching that is strongly dependent on the treatment distance and feed gas chemistry. Oxygen addition to the feed gas is particularly effective for etching, but is highly sensitive to the addition of small amounts of H2O to the feed gas (see Fig. 1). Surface chemical changes were investigated using X-ray photoelectron spectroscopy and Raman spectroscopy.

The authors gratefully acknowledge financial support from US Department of Energy (DE-SC0001939) and the National Science Foundation (PHY-1415353).

Figure 1 –Etching depth of MHz APPJ treated polymer as a function of admixture O2/H2O ratio (1% in total).

Page 26: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

26

Tools for Modeling Kinetic Atmospheric Pressure Bounded Plasmas

J. P. Verboncoeur, Guy Parsey and Janez Krek

Michigan State University ([email protected])

Michigan State University officially joined the project in Fall 2015, so results here will include a combination of results developed in this and related projects.

The focus of this work is development of tools for modeling high pressure, and in particular atmospheric pressure, low temperature kinetic discharges. The current tools include a kinetic global model and particle in cell models.

The particle in cell models are based on our well-documented existing suite of particle in cell codes, including oopd1 [1] and xoopic [2], which have been key codes in developing understanding of sheaths for strongly driven bounded collisional plasmas over the last two decades. An example of a PIC result is shown in Fig. 1, for a dielectric barrier micro-discharge at high pressure [4].

The kinetic global model is a zero-dimensional model in which an arbitrary distribution function is convolved in a set of continuity equations, with an energy equation which includes power absorption [3]. The model has been applied to plasma-pumped rare gas lasers [5], and can solve transient plasma evolution with many species and reactions in minutes. The model is able to use standard data such as LxCAT and NIST. References [1] B. Ragan-Kelley, J.P. Verboncoeur and M.C. Lin, “Optimizing physical parameters in 1-D particle-in-cell simulations with Python”, Comp/ Physics Comm. (2014). [2] J. P. Verboncoeur, A. B. Langdon and N. T. Gladd, “An Object-Oriented Electromagnetic PIC Code”, Computer Physics Communications 87, 199-211 (1995). [3] G. Parsey, J. Verboncoeur, A. Christlieb, and Y. Guclu, “Global Model Capability Study of EEDF Modification of Rare Gas Metastable Laser Reaction Kinetics,” 42nd IEEE ICOPS, Beleg, Turkey (2015). [4] J.Y. Lee, J. Verboncoeur, and H.J. Lee, “A transition of the electron energy distribution function through ratio of driving frequency to the energy relaxation frequency”, Bull. Am. Phys. Soc. 60:9 GT1-35 (2015). [5] G. Parsey, Y. Guclu, J. Verboncoeur, and A. Christlieb, “A Kinetic Plasma-Pumped Rare Gas Laser”, Bull. Am. Phys. Soc. 60:9, GT1-129 (2015).

Figure 1 – Ratio of driving period to energy relaxation time due to elastic collisions.

Page 27: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

27

Poster Presentations

Page 28: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

28

Dynamics of Charge Neutralization of High-Perveance Pulsed Ion Beams

A. D. Stepanov, E. P. Gilson, L. R. Grisham, I. D. Kaganovich and R. C. Davidson

Princeton Plasma Physics Laboratory ([email protected])

Space charge forces are important in many technological applications of ion beams [1], especially those requiring low beam divergence or effective focusing. Space charge can be neutralized by introducing electrons, which become trapped in the positive potential well of the ions, reducing its magnitude to a value on the order of the electron temperature Te. Low-energy ion beams with high perveance can have unneutralized transverse potentials of a few volts, so cold electrons are required for neutralization. Furthermore, electron confinement in such beams can be lost if electric fields are present anywhere in the beam propagation region.

In this work, charge neutralization of a 38 keV Ar+ pulsed (~200 μs duration) ion beam is studied experimentally. The unneutralized transverse potential of the ion beam was 15 V. Two methods of neutralization were investigated: autoneutralization with electrons produced by the ion beam via neutral ionization, and neutralization by volume plasma. The latter method was expected to provide a higher degree of charge neutralization [2]. Plasma was generated by a Ferroelectric Plasma Source (FEPS) [3]. FEPSs operate based on the surface discharge phenomenon, producing pulsed plasmas with lifetimes of 10s of μs suitable for charge neutralization of pulsed ion beams [4].

It was found that no electron accumulation occurred until a shielding mesh was installed to isolate the ion source from the propagation chamber. The lack of neutralization can be explained by electron removal by fringe electric fields in the absence of the shielding mesh. This effect can be used to control the neutralization (and divergence) of the beam. With autoneutralization, the time of electron accumulation versus neutral pressure decreased from ~200 μs at 10-6 Torr to <10 μs at 10-4 Torr. Based on the envelope model for space charge expansion, the maximum degree of charge neutralization was estimated to be 83% with autoneutralization and >98% with FEPS plasma. This degree of charge neutralization was maintained for ~35 μs. With FEPS neutralization, the transverse electrostatic potential of the ion beam was reduced from 15 V to 0.3 V, which implies that the beam was neutralized by electrons with Ekin < 0.3 eV. Although the FEPS plasma Te is likely higher (few eV), a subpopulation of electrons that are sufficiently cold to be trapped by a 0.3 V potential well can be supplied by the plasma. The residual potential well acts as a selective trap for cold electrons. The capture of a new cold electron reduces the trapping potential, possibly allowing the hottest electron to escape from the trap. References [1] I. A. Soloshenko, IEEE Trans. Plasma Sci. 27, 1097 (1999). [2] I. D. Kaganovich et al, Phys. Plasmas 17, 056703 (2010). [3] Y. E. Krasik et al, IEEE Trans. Plasma Sci. 31, 49 (2003). [4] E. P. Gilson et al, Laser Part. Beams 30, 435 (2012).

Figure 1 – Transverse density profiles of the ion measured with a slit-collimated Faraday cup (FC). The shape of the unneutralized profile corresponds to a flat-top radial profile with uniform density with 17.5 mm radius (green curve).

Page 29: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

29

Challenges to Kinetic Modeling of Atmospheric Pressure Discharge

Matthew M. Hopkins, Andrew S. Fierro and Christopher H. Moore

Sandia National Labs1 ([email protected], [email protected], [email protected])

In this poster, we present a number of techniques and challenges in simulating the transient behavior of discharge at atmospheric pressures. All of the following work is performed with the Aleph simulation tool, a massively parallel kinetic collisional plasma simulation tool using unstructured meshes and incorporating many atomic, molecular, and electron interactions (e.g., elastic collisions, ionization, excitation, attachment, three-body interactions, et al.), and newly added photonic process (spontaneous emission, absorption, excitation, and line broadening). Although all the work presented here involves discharge, we concentrate on different aspects depending on the application. One application is microscale discharge where the transient behavior is critical. Another application involves discharge at atmospheric pressure where the photonic and three-body processes are studied in detail. Many of our challenges are rooted in the requirement that we produce transient, and often three-dimensional, solutions.

Microscale discharge simulations take place in neon across a 10 μm gap at hundreds of volts, simulated in three dimensions. The transient initiation processes for such a discharge are quite complex and can be unexpectedly influenced by computational parameter selection. There are also computational parameter constraints that differ significantly from lower dimensioned simulation domains.

A 1D arc discharge between two free bodies in helium gas at atmospheric pressure is also presented. Because it is often important to consider heavy body reactions in high pressure plasmas, and fast photonic mechanisms may contribute strongly to transient plasma phenomena (especially due to the lower mobility of charge carriers at high pressures), our simulation tracks over 600 transitions for n <= 4 and includes both three-body effects and a discrete radiation transport method. The collisionality and radiation transport method create a challenging problem even with HPC resources. Time-resolved optical spectra of this non-equilibrium environment are produced as well as interaction rates of heavy particles to further improve our kinetic models at high pressures.

Finally, through our discharge simulations in high E/n regimes, we have begun incorporating a new simulation constraint that can be more restrictive than the usual ones (plasma frequency, Debye length, collisional mean free path, CFL). We have demonstrated significant differences due to under resolving the energy spectrum in cross sections and will discuss mitigation steps. 1Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy’s National Nuclear Security Administration under contract DE-AC04-94AL85000.

Page 30: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

30

Atmospheric Pressure Plasma Role of Local Gas Environment and Source Design on VUV Interactions with Surfaces

A. J. Knoll(a), P. Luan(a), S. Kumar(b), P. J. Bruggeman(b) and G. S. Oehrlein(a)

(a) University of Maryland, College Park ([email protected]); (b) University of Minnesota, Twin Cities ([email protected]);

Cold atmospheric pressure plasmas (CAPs) are popular sources of reactive species desired for material processing and biomedical applications such as wound healing, disinfection and decontamination. CAP sources are useful due to their ability to create large fluxes of reactive neutral species, ions, and high energy photons at low gas temperatures. This work specifically investigates the relative importance of high energy photons in the VUV range and how the local gas environment around the source influences this transmission. This importance is also investigated for four different CAP sources to see how generic these effects are; a kHz driven ring-APPJ, a kHz driven pin-APPJ, a MHz driven pin-APPJ, and a kHz driven surface microdischarge (SMD) source.

For the bulk of this work we utilize a kHz driven two ring atmospheric pressure plasma jet (APPJ) source, for which VUV photons are found to cause a significant effect on a 193 nm photoresist sample. The wavelength of these high energy photons is determined to be in the 125 nm range through use of various optical filters and is produced by an Ar2* excimer species.

We examine the influence of feed gas flow from the APPJ on the local environment and how this affects the transmission of VUV from the source to the surface. The noble gas flow from plasma source can significantly alter the local environment which has a decisive impact on polymer modification from VUV emission as O2 in ambient air readily absorbs the Ar excimer wavelength. Comsol finite element modeling was used to further investigate how the Ar flow from the APPJ impacts local oxygen concentration. Comparison between this model at different oxygen concentrations, which correspond to various time points in experiments, and experiments with varied starting chamber oxygen amounts shows that the VUV attenuation follows the Beer-Lambert transmission law.

We compare the contribution of VUV to surface modifications from the four different plasma sources described previously and is shown in Figure 1. The kHz-driven ring-APPJ showed the largest ratio of VUV surface modification relative to the total surface modification introduced, whereas the MHz APPJ showed the largest overall surface modification and a much smaller VUV induced component. Additionally the MHz APPJ shows increased total thickness reduction and reduced VUV effect as oxygen is added to the feed gas, a condition that is often used for practical applications. Polymers treated by the SMD operated using O2/N2 gas mixtures showed no thickness reduction and effects due to VUV.

The authors gratefully acknowledge financial support of this work by the US Department of Energy (DE-SC0001939) and National Science Foundation (PHY-1415353).

Figure 1 – Comparison of the kHz driven ring-APPJ, the MHz driven pin-APPJ, the kHz driven pin-APPJ, and the kHz driven SMD shown for effect on PR193 with and without a MgF2 filter in place.

Page 31: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

31

Synthesis of Silicon Nanoparticles in Nonthermal Capacitively-Coupled Plasmas: Processes and Transport

R. Le Picard(a), A. H. Markosyan(b), D. H. Porter(c), S. L. Girshick(a) and M. J. Kushner(b)

(a) University of Minnesota ([email protected], [email protected]) (b) University of Michigan ([email protected], [email protected])

(c) Minnesota Supercomputing Institute ([email protected])

Silicon nanoparticles (Si NPs) have unique properties that can be used in many applications including photovoltaics, biomedicine, and electronics. Controlling Si NP synthesis is therefore critical and has been subject to considerable research. This work focuses on Si NP formation in a nonthermal plasma, since this technique offers unique advantages compared to other techniques.

A two-dimensional numerical model was developed to investigate the mechanisms for particle growth in a narrow quartz tube, low-pressure, capacitively-coupled RF plasma. Algorithms for the kinetics of nanoparticle formation were self-consistently embedded into a plasma hydrodynamics simulation to account for nucleation, growth, charging, and transport of nanoparticles [1].

Plasma parameters for the base case are based on typical experimental parameters [2]. The inlet gas mixture is Ar/He/SiH4 in proportion 95/4.75/0.25. The gas flow is 50 sccm, the pressure 1.5 Torr, the input power 3 W, and the wall temperature is kept constant at 325 K. Results are presented in the following.

The electric wall potential is lower than the plasma potential, so that all negative species and nanoparticles are radially confined to the center of the tube. However, the plasma potential does not show any trapping in the axial direction. Therefore, we conclude that nanoparticles nucleate and grow as they flow to the exit of the tube, and that nanoparticles and the background gas have similar residence time. In this nonthermal plasma, the electron temperature is high (3 eV) and the electron density is close to the nanoparticle density, so that most nanoparticles are negatively charged. However, at such small sizes, particle charge limits have a significant effect, and results show a high fraction of neutral nanoparticles [3]. Therefore, coagulation can occur, and we show that this is the major growth mechanism under such conditions. The nucleation rate peaks between the two electrodes, where the production rate of radical species is the highest, but is also significant above the upper electrode. This can thus explain nanoparticle deposition on the wall above the electrodes.

Nanocrystal formation at room temperature under these conditions has been shown experimentally. The mechanisms for crystallization suggested are the exothermic reactions of ions and hydrogen that heat the nanoparticle on its surface. Our results show that the nanoparticle temperature can exceed the gas temperature by hundreds of Kelvin, and that ion recombination is the main heating mechanism under these conditions.

References [1] M. J. Kushner, J. Phys. D. Appl. Phys. 42, 194013 (2009). [2] L. Mangolini, E. Thimsen, and U. Kortshagen, Nano Lett. 5, 655 (2005). [3] R. Le Picard and S. L. Girshick, J. Phys. D. Appl. Phys. 49, 095201 (2016).

Figure 1 – Total nanoparticle density (left) and size distribution at difference location along the centerline.

Page 32: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

32

Fluorocarbon Based Atomic Layer Etching of Si3N4 and Etching Selectivity of SiO2 over Si3N4

Chen Li(a), Dominik Metzler(b), C. Steven Lai(c), Eric A. Hudson(c) , and Gottlieb S. Oehrlein(a, b)

(a) Department of Physics and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 ([email protected])

(b) Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742

([email protected]) (c) Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538

([email protected], [email protected])

Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO2 from the surface.[1] This work describes controlled etching of Si3N4 and SiO2 from one to several Angstroms using this cyclic ALE approach. Si3N4 etching and etching selectivity of SiO2 over Si3N4 were evaluated with regard to dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si3N4 were investigated by x-ray photoemission spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si3N4 has a lower physical sputtering energy threshold than SiO2, Si3N4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si3N4 to SiO2 etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g. low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO2 to Si3N4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si3N4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si3N4 as compared to SiO2.[2] The comparison of C4F8 and CHF3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions, precursor chemistry has a weak impact on etching selectivity. Surface chemistry analysis shows that substrate fluorination and FC reduction take place during a single ALE cycle for FC depleted conditions. For FC accumulation conditions, a fluorine rich carbon layer was observed on the Si3N4 surface after ALE.

The authors gratefully acknowledge the financial support of this work by the National Science Foundation (CBET-1134273), US Department of Energy (DE-SC0001939), Lam Research Foundation, and Semiconductor Research Corporation (Task 2603.001). They also thank C. Labelle, A. P. Labonte, C. Park, and G. Beique from GLOBALFOUNDRIES for helpful discussions and support. References [1] D. Metzler, R.L. Bruce, S. Engelmann, E.A. Joseph, and G.S. Oehrlein, J. Vac. Sci. Technol. A 32, 020603 (2014) [2] M. Schaepkens, T. Standaert, N. R. Rueger, P. G. M. Sebel, G. S. Oehrlein and J. M. Cook, J. Vac. Sci. Technol. A 17, 26 (1999)

Page 33: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

33

Argon Dielectric Barrier Discharges Over Water at Moderate Pressure

Amanda M. Lietz (a), Vitaly Petrishchev(b), Igor V. Adamovich(b) and Mark J. Kushner(a)

(a) University of Michigan, Ann Arbor, MI, USA ([email protected], [email protected]) (b) Ohio State University, Columbus, OH, USA ([email protected], [email protected])

Plasma-liquid interactions are essential to emerging applications such as wound healing, cancer treatment, and water treatment. Although the majority of these applications are performed at atmospheric pressure, multi-dimensional diagnostics and modeling are both challenged by these conditions. Insights to the complexities of the plasma-liquid interaction for all pressure ranges can be improved by synergistic diagnostics and modeling at moderate pressures that capture the fundamental processes occurring at atmospheric pressure. In this paper, results will be discussed from 2-dimensional modeling of surface-ionization waves (SIWs) sustained in moderate pressure argon (30 Torr) over water. Predictions for production of gas phase OH and H radicals will be compared to measurements of these densities using laser induced fluorescence (LIF) by Winters, et al. [1]. The SIWs are produced by a device resembling a dielectric-barrier-discharge (DBD) where electrodes are mounted below a quartz reaction cell which is partially filled with water. Argon flows through the cell parallel to the surface of the water while the gas is humidified. A 30 kV bias between the electrodes with a 5 ns rise time generates a SIW that propagates over the surface of the water, producing OH and H radicals by electron impact dissociation of water and excitation and charge transfer from Ar* and Ar+. Radicals produced in direct proximity to the water solvate into the liquid in proportion to their Henry’s law constants while those radicals produced significantly above the water flow out of the cell with the applied gas flow. Reactivity is also transferred to the water by ions and photons. The results from preliminary calculations of densities of electrons, H and OH are shown in Fig. 1 for a single pulse of the discharge. Results will be discussed for plasma properties following many pulses for comparison with LIF measurements. The mechanisms for propagation of the SIW through the humidified argon adjacent to the water and their relation to atmospheric pressure will also be discussed.

References [1] C. Winters, V. Petrishchev, Z. Yin, W. R. Lempert and I. V Adamovich, "Surface charge dynamics and

OH and H number density distributions in near-surface nanosecond pulse discharges at a liquid / vapor interface", J. Phys. D. Appl. Phys. 48, 424002 (2015).

Figure 1 – Properties of the over water discharge after a single pulse at 140 ns. (a) Geometry and electron density. The densities of (b) H and (c) OH radicals.

Page 34: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

34

Kinetics Study of Nanosecond Pulsed Argon-Water Plasma Jet

Yuchen Luo(a), Amanda Lietz(b), Shurik Yatom(a), Mark J. Kushner(b) and Peter J. Bruggeman(a)

(a) Department of Mechanical Engineering, University of Minnesota, 111 Church Street SE, Minneapolis, MN 55455, USA ([email protected])

(b) Department of Electrical Engineering and Computer Science, University of Michigan, 1301 Beal Avenue, Ann Arbor, MI 48109, USA ([email protected])

In this work, we present a chemical kinetics study of an atmospheric pressure nanosecond

pulsed Ar + 0.26% H2O atmospheric plasma jet. A He-H2O chemical reaction has been compiled and validated for a diffuse atmospheric pressure glow discharge [1]. Models of the more complicated chemical kinetics of high electron density filamentary water containing discharges have currently not been experimentally validated. The plasma jet operates in an atmospheric pressure air surrounding but is shielded with a coaxial argon flow to reduce the air diffusion into the jet effluent. The jet impinges on a metal plate electrode which is connected to ground through a 50 kΩ resistor to reduce the plasma current. The plasma is generated by a 200 ns pulsed voltage with an amplitude of 4.7 kV at a frequency of 5 kHz. A stable plasma filament is formed between the needle electrode in the jet and the metal plate allowing for spatially and time resolved laser diagnostics. The jet is characterized in detail including the gas temperature (Tg) by Rayleigh scattering, the electron density by Stark broadening of hydrogen lines, the OH density by laser induced fluorescence (LIF) and the H density by two-photon absorption LIF (TaLIF) [2]. Electron densities in excess of 1022 m-3 have been measured. Gas heating does not exceed 550 K in the core of the plasma.

We implemented a 0-D chemical kinetics model in Global-Kin [3]. The power density, used as input parameter for the model, is obtained by the measured energy input to the plasma and adjusting the plasma volume of the model to fit the experimentally obtained electron density. A detailed comparison of the model predicted properties with the measured plasma properties is performed. Figure 1 shows the comparison of the simulated and experimentally obtained H and OH density as a function of time. While the model predicts the absolute values of the OH and H densities exceptionally well (within 25%) significant discrepancies are found for the temporal profiles.

The OH and H density does not strongly depend on the expected concentrations of air impurities in the system. Significant discrepancies between modeled and experimentally obtained gas temperatures are found and could be responsible for the discrepancies shown in Figure 1. The effect of gas temperature is further investigated together with the pulse-to-pulse accumulation of species.

References [1] C.A.Vasko, D.X. Liu, et al, Plasma Chem. Plasma Process, 34 (5), 1081-1099 (2014) [2] S. Yatom, Y. Luo, Q. Xiong, P. Bruggeman (in preparation) [3] R. Dorai and M. Kushner, J. Phys. D: Appl. Phys. 36, 666 (2003).

Figure 1 - Comparison of the modelled H and OH density in a nanosecond pulsed Ar + 0.26% H2O discharge. The measured densities are obtained by TaLIF and LIF respectively.

Page 35: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

35

Customizing Arrays of Microplasmas for Controlling Properties of Electromagnetic Waves

Chenhui Qu, Peng Tian and Mark J. Kushner

University of Michigan, Dept. Electrical Engr. & Comp. Sci., Ann Arbor, MI 48109-2122 ([email protected], [email protected], [email protected])

Microplasma arrays are being investigated to achieve specific properties to manipulate the

propagation of electromagnetic waves.[1] Such applications require control of plasma properties over large dynamic ranges. Motivated by the tradeoff between fast response and high plasma density, the plasma operates at pressures of 10s to 100s Torr. At these pressures, pd scaling requires that plasma cavities shrink to hundreds of microns, which are then replicated in arrays. However, controlling cross-talk between microplasma units is challenging since normally discharges are not physically isolated in order to reduce absorption and diffraction by adding additional structural components.

In this work, plasma properties of 1-D and 2-D microplasma arrays excited by pulsed dc-unipolar waveforms were computationally investigated. Results will be discussed for investigations aimed at maximizing the time averaged electron density and dynamic range during pulses without physically isolated plasma units. Small arrays of microplasmas with hundreds of microns (3-6 microplasma units) were investigated in rare gas mixtures operating in tens of Torr pressure.

The basic geometry is shown in Fig. 1, and has four microplasma units operating in Ar at 60 Torr, powered by -300 V unipolar pulses having a 100 ns period at 10 MHz pulse repetition frequency. The width of individual plasma units is 100 m. The maximum electron density is 1.2 1014 cm-3 with a cathode fall region near the exposed cathode. Beam ionization by secondary emission electrons from the cathodes is the major source of electrons, with bulk electrons contributing only 30%. to the ionization. In the base case, computed results show that the influence of crosstalk between units on plasma behavior is weak, which makes it possible to separately control plasma cells even though they are not isolated physically. The predicted plasma properties of the arrays are used to evaluate the potential for controlling electromagnetic wave properties when propagating through large arrays of such microplasmas.

References [1] O. Sakai and K. Tachibana, Plasma Sources Sci. Technol. 21, 013001 (2012).

Figure 1 – Plasma properties in a 2 2 array for the base case (Ar, 60 Torr, -300 V). a) Electron density, and ionization by b) bulk electrons and c) secondary electrons.

Page 36: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

36

Plasma Diagnostics and Modeling of Lithium-Containing Plasmas for Deposition of Solid Electrolytes

Toshisato Ono(a), Shreyashi Ganguly(a,b), Eray S. Aydil(b), and Uwe R. Kortshagen(a)

(a) Department of Mechanical Engineering, University of Minnesota ([email protected], [email protected], [email protected])

(b) Department of Chemical Engineering and Materials Science, University of Minnesota ([email protected])

Lithium containing solid electrolytes find applications in both energy storage and energy conservation. For example, these electrolytes have potential uses in lithium-ion batteries and in electrochromic windows. Of particular interest are LixSiySz films, which have very high ionic

conductivity. We are depositing these types of films using an argon plasma that also contains lithium bis(trimethyl) amide, silane, and either sulfur vapor or di-tert-butyl disulfide as the sulfur source. The electron impact chemistry occurring in these plasmas is very complex. Using optical emission spectroscopy, modeling and ex situ film characterization we are attempting to distill the most important factors that govern the film composition during plasma deposition. To do this we have set up a tubular capacitively coupled plasma deposition system where the precursor gases in argon are fed from the top (Figure 1), flow through the capacitively generated plasma and exhaust from the bottom. Film is deposited on a substrate placed along the tube walls. The plasma composition changes as the gases flow through the tube and films deposited on the substrate have an axial composition gradient as shown in Figure 2. Using a combination of modeling, optical emission spectroscopy and ex situ film characterization we are studying the factors in the plasma that affect the film composition. Specifically, we solve the Boltzmann equation to determine the electron energy distribution function and use these distributions to calculate electron impact rate constants. We then use these rates to model the simplified chemistry in the plasma as a function of position. Preliminary results suggest that the Li

concentration in the films is higher near the top where the gases enter the reactor (Figure 2) because Li is generated and transported at higher rates towards the walls (and hence the substrate) than Si. To our knowledge this is the first plasma deposition of a LixSiySz solid electrolyte.

Figure 1 – Schematic of the plasma reactor to study deposition of LixSiySz films.

Figure 2 – Li-to-Si ratio in films deposited nearthe top (A), electrode (B) and bottom (C) of the tube, Composition of powder collected at the reactor exhaust is also shown.

Page 37: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

37

Electron Density Measurements in High Pressure Ns Pulse Discharges over Liquid Water

Marien Simeni Simeni, Vitaly Petrishchev, Kraig Frederikson and I.V. Adamovich

Ohio State University ([email protected])

Thomson / Raman scattering is used to measure time-resolved electron density, electron temperature, and gas temperature in a ns pulse discharge in helium and oxygen-helium mixtures, sustained as a diffuse filament discharge between a spherical high-voltage electrode and liquid water surface. A sharp metal pin is attached to the grounded electrode on the bottom of the water reservoir to enhance the electric field in the center and help stabilize the discharge filament. The electron density and electron temperature increase rapidly during ns pulse breakdown, peaking at ne ≈ 4.5·1014 cm-3 and Te ≈ 2.5 eV, at gas temperature of T = 300-400 K. After the discharge pulse, both electron density and electron temperature decay rapidly, over a few tens of ns, much faster compared to the discharge without water present. At the present conditions, the dominant electron decay mechanism is three-body electron attachment to water vapor. These measurements provide insight into discharge energy partition and rates of reactive oxygen species generation (such as O atoms) in plasmas sustained near liquid surfaces.

Figure 2 – Thomson / Raman scattering spectrum in 10% O2 - He mixture at P=100 Torr, 100 ns after the onset of the discharge current.

Figure 1 – Schematic of discharge geometry.

Page 38: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

38

Properties Influencing Plasma Discharges in Packed Bed Reactors

Juliusz Kruszelnicki, Kenneth W Engeling, John E. Foster, and Mark J. Kushner

University of Michigan, Ann Arbor, MI, 48109-21122 USA ([email protected])

Atmospheric pressure dielectric barrier discharges (DBDs) sustained in packed bed reactors (PBRs) are being investigated for remediation of toxic gases, CO2 removal and conversion of waste gases into higher value compounds. Though investigated extensively in experiments, few computational studies of PBRs have been performed to date [1]. For applications involving chemical reprocessing which require a high degree of reactant selectivity, the ability to control plasma properties is particularly important. In this paper, we report on the results of a computational investigation of PBR-DBD properties using the multi-fluid plasma hydrodynamics simulator nonPDPSIM [2]. These results are compared to experimental measurements.

The simulations were performed in 2-dimensions. Seven dielectric beads (rods or circles in 2D) were inserted between two coplanar electrodes, 1 cm apart. Humid air (N2/O2/H2O = 78/21/1) was the fill gas. A step-pulse of -30 kV was applied to the top electrode. Material properties of the beads (dielectric constant, secondary emission probability) and gas properties (photoionization and photo-absorption cross-sections, gas temperature) were varied. An example of the electron density during streamer propagation resulting from a single negative voltage pulse is shown in Fig. 1.

We found that photoionization plays a critical role in propagation of the discharge through the PBR, as it serves to seed charges in regions of high electric field. Increasing photo-ionization and decreasing photo-absorption cross-sections enabled increases in the discharge propagation velocity, ionization rates and production of radicals. Increasing gas temperature had minor influence on discharge properties, but did influence the ratios of radical species that were produced due to endothermic reactions. Increasing the dielectric constant of the beads resulted in increased average and peak electric fields in the gas, which translated to increased discharge propagation velocity and charge density. The average electric field in the gas asymptotically reached a maximum at ε/ε0≈100. Similar behavior was not achieved by the peak electric field until ε/ε0≈1000, and by the volume averaged electron density, until ε/ε0≈10,000, though the changes are small for the larger values of dielectric constant. Secondary electron emission affected the discharge intensity near the surface of the beads and so influenced production of radical species.

References [1] K. van Laer and A. Bogaerts, Plasma Sources Sci. Technol. 25, 015002 (2016). [2] S. A. Norberg, E. Johnsen and M. J. Kushner, Plasma Sources Sci. Technol. 24, 035002 (2015).

Figure 1 – Time evolution of electron densities (maximum= 2 1014 cm-3, 4 decade log scale).

Page 39: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

39

Characteristics of Plasma Discharges in Packed Bed Reactors with Varying Media

Kenneth W. Engeling, John E. Foster, Juliusz A. Kruszelnicki and Mark J. Kushner

University of Michigan, Ann Arbor, MI, 48109-21122 USA ([email protected])

Plasma formation in porous media has the potential to revolutionize chemical processing. Such an implementation has the potential to greatly improve the efficiency of flameless, homogenous combustion. Here, microdischarges formed in the interconnected pores of bulk media, activate or drive reactions in the injected working process gas. The formation and subsequent propagation of plasmas through porous media remains poorly understood. Plasmas are produced in the small interstitial pores with large surface area to volume ratios. The pore surface area plays a key role in establishing the nature of plasma propagation from pore to pore as well as determining the nature of plasma-driven chemistry, both gas phase and catalytic effects at the surface. Packed bed discharges are representative of a technology currently being investigated for chemical processing that relies on plasma propagation through interconnected pores established by the aggregate. In this work, we are investigating the nature of plasma formation in a packed bed geometry as a surrogate to the formation and propagation of plasma in porous media.

To visualize the time evolution and subsequent propagation of plasma through a packed bed discharge, we investigate a plasma interactions in single aggregate layer. This approach was implemented so that formation and propagation phenomena can be optically observed without the obscuring effects of intervening aggregate. Here, we observe plasma formation processes in a single cut plane of a typical packed bed discharge.

The nature of discharge formation and propagation is being investigated as a function of voltage pulse waveform. Packed bed dielectric barrier discharges (DBDs) are typically excited through the application of high AC voltage at 10s kHz. In this work, we investigate high frequency sinusoidal excitation as well as nanosecond, repetivively pulsed high voltage. The nature of the the resulting discharges as a function of aggregate dielectric constant (ranging from quartz /0=4.5 to barium titanate BaTiO3 /0 >1000) and packing fraction are being investigated. The discharges are characterized using a fast frame rate imaging camera and line filters, which allows for tracking the formation and diffusion of excited species such as OH and NOx. For example, a discharge propagating through a single layer BaTiO3 packed bed is shown in Fig. 1. Experimental observations are compared with computational predictions of discharge propagation performed with nonPDPSIM, a 2-dimensional plasma hydrodynamics model. * Work supported by the National Science Foundation and the DOE Office of Fusion Energy

Science through the Plasma Partnership Program Grant Number 1519117

Figure 1 – Discharge formation in single layer barium titanate

Page 40: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

40

Unstable Behavior of Atmospheric Pressure Arc Discharge with Ablating Anode

Sophia Gershman and Yevgeny Raitses

Princeton Plasma Physics Laboratory, Princeton, NJ 08543 ([email protected])

An arc discharge with an ablating anode (so-called anodic arc) is commonly used for synthesis of various nanomaterials, including carbon nanostructures such as different types of carbon fullerenes (buckyballs, nanotubes etc.), nanofibers and graphene flakes.[1,2] The carbon arcs with graphite anodes are particularly useful for high yield volumetric synthesis of single wall carbon nanotubes.[1] The characteristics of the synthesized nanotubes (e.g., size, aspect ratio, and chirality) depend on the plasma parameters and on the nanotube residence time in the synthesis region.[2] In this work, we show that a typical nodic carbon arc is highly unstable that may have an adverse effect on a quality of synthesized nanomaterials (e.g. low purity of synthesized nanotubes).

In the described experiments, we used a typical configuration of the carbon arc used in many synthesis studies by different groups.[1-3] In particular, the DC carbon arc was operated between a graphite anode (0.6 cm diameter rod) and a larger copper cathode (5 cm diameter disk) in a 500 torr helium atmosphere. The arc voltage and current were 20-30 V and 65 – 70 A respectively.[3] Under such operating conditions and the electrode configuration, this arc operates in so called high ablation mode (>10 mg/s).[3] A recent model predicts a positive anode sheath to be formed in this ablation mode.[4] A high speed imaging of the arc discharge revealed that the arc column and the arc anode attachment region move in a somewhat sporadic way with a characteristic time of ~ 2 ms around and along the sides of the anode (Figure 1). Analysis of the arc images and oscilloscope traces of the arc current show the same characteristic frequency of arc oscillations in the range of 200 – 300 Hz. For the same arc current, this frequency range could change depending on the electrode geometry, cathode material, and gas pressure. For example, for larger diameter anodes (~ 1 cm), when the anode ablation drops below 1 mg/s, experiments revealed a higher frequencies ( 1 kHz) oscillations.

We propose a physical mechanism based on the thermal processes in the arc plasma region interacting with the ablating anode which leads to the shift of the arc to the new anode region. An analysis of the transient heat transfer analysis show that the time needed to heat the new anode region is ~ 1-2 ms, i.e. comparable with experimental observation. Our model predicts that the injection of the ablating material into the plasma locally reduces the energy flux to the surface and leads to the arc shifting to the adjacent position.

References [1]A. Journet, et al., Nature 388, 756 (1997). [2] M. Keidar, J. Phys. D: Appl. Phys. 40, 2388 – 2393 (2007). [3] J. Ng and Y. Raitses, J. Appl. Phys. 117, 063303 (2015). [4] V. A. Nemchinsky and Y. Raitses, J. Phys. D: Appl. Phys. 48, 245202 (2015).

Figure 1- Carbon arc discharge with ablating graphite anode (0.6 cm diameter rod) and copper cathode (5 cm diameter disk) operating at 500 torr of He gas. The arc attachment to the cathode is through the carbonceous deposit [3]. Snapshots of high speed imaging (0.5 ms apart) show the curved arc column and the hot spot at the anode moving around the anode with a characteristic time of ~ 2 ms.

Page 41: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

41

DC Atmospheric Pressure Air Glow Discharge with Liquid Electrode

Vighneswara Siva Santosh Kumar Kondeti, Qing Xiong and Peter J. Bruggeman

Department of Mechanical Engineering, University of Minnesota, 111 Church Street SE, Minneapolis, MN 55455, USA ([email protected])

The multiphase interactions of plasmas with liquids provide an abundant source of short-lived and long-lived reactive species many of which are critical for biological and chemical applications. In this context, the DC air glow discharge with liquid electrode has been studied extensively [1]. These discharges generate considerable gas heating in excess of 2500 K with currents in the range of 5- 50 mA and voltages of the order of 1 kV. The electron density in the positive column of the discharge is estimated to be 1019 m-3 with and electron temperature of 1 eV. Reported properties in literature are mostly volume averaged and only 0-D kinetics models have been reported for this discharge [1, 2].

The OH radical is one of the important reactive species in this discharge. Due to a high gas temperature, an unknown amount of water evaporates into the plasma region which significantly challenges LIF measurements. In this work, we present spatially resolved OH density and gas temperature measurements by a combined measurement of broadband UV absorption [3] and laser induced fluorescence (LIF) [4]. The fluoresence intensity ratio of two OH lines, P1(2) and R2(13) lines was used to obtain the spatially resolved gas temperature. The relative profile of the OH density was measured by the spatially resolved P1(2) fluorescence intensity corrected with the Boltzmann factor of the ground state intensities. The small variation of the effective fluorescence lifetime is neglected. The results for a discharge with water cathode and current of 13.4 mA is shown in Figure 1.

OH densities in excess of 1023 m have been found with the broadband absorption measurements [3]. The ground state OH density is much broader than the active emitting plasma zone suggesting for these high densities that a radical mechanism instead of electron dissociation is responsible for the OH production. This is consistent with 0-D models that suggest an OH production mechanism through atomic oxygen [2]. Assuming the OH density is dominated by O kinetics one can estimate a water concentration in the discharge core of approximately 5%. This moderate amount of water is consistent with the relatively large measured effective lifetime of the OH(A) fluorescence signal (9.2+0.5 ns) in the core of the discharge that is most likely strongly influenced by a large dissociation degree of O2 and water in the discharge. References [1] P. J. Bruggeman and C. Leys, J. Phys. D: Appl. Phys. 42, 053001 (2009). [2] E. Bobkova, S. Smirnov, Y. Zalipaeva, V. Rybkin, Plasma Chem. Plasma Process. 34, 721-43 (2014). [3] Q. Xiong, Z. Yang and P. J. Bruggeman, J. Phys.D: Appl. Phys. 48 424008 (2015). [4] T. Verreycken, R. Mensink, et al , Plasma Sources Sci. Technol. 22(5) 055014 (2013)

Figure 1 – Radial profile of the OH density, plasma emission and gas temperature for a DC glow discharge with water cathode at 13.4 mA. The maximum OH density is 1023 m-3. Inset shows the experimental setup with a needle electrode and water column below.

Page 42: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

42

Probing Interfacial Induced Flows and Instabilities Induced by Plasma Action at the Gas-Liquid Interface

Janis Lai and John E. Foster

University of Michigan, Ann Arbor, MI, 48109-21122 USA ([email protected])

The interaction of plasma with liquids, water in particular, lies at the heart of many new and emerging technological applications. In plasma-based water purification, it is the production of OH radicals through the decomposition of water that drives advanced oxidation in solution. In plasma medicine, it is the flow of gas phase reactive oxygen and nitrogen species into the liquid, bio-medium that gives rise to curative effects. The nature of radical production and energy deposition at the interface as well as the subsequent transport of both energy and particles into the bulk is important to not only understanding plasma dose, but it is also critical in understanding how plasma-driven reactivity is transmitted, thereby giving rise to curative effects or contaminant decomposition. The nature of these physical processes occurring at the interface, while key to understanding plasma-driven mechanisms in solution, is still poorly understood. We investigate a 2-D bubble apparatus as a means to interrogate the plasma-liquid interface. The apparatus, essentially a horizontal Hele Shaw cell, allows for direct observation of the interface and surrounding region, thereby eliminating the obscuring effects of intervening liquid water which can otherwise confound optical diagnosis of the interface. Recently, we observed plasma-driven fluid flows in addition to interfacial instabilities in the Hele Shaw cell. The exact relationship between the plasma attachment and the resulting flows is not well understood. Dyes along with chemical probes were used to further elucidate the nature of these observed flows. The nature of these flows is important in that they imply that plasma-driven fluid effects might play a key role in the transport of reactive species from the interface into the bulk solution. Evidence of such plasma driven flows has been observed in experiments involving the interaction of plasma jets with liquid substrates. In order to better document and study these flows, a Schlieren imaging apparatus has been implemented (see Figure 1). The Schlieren apparatus allows one to directly image fluid flows, instabilities and density gradients at the interface in particular. The Schlieren approach has an advantage over dye probes in that the Schlieren indicates the actual flow of the liquid and not simply dye diffusion. In this work, we present, fluid flow induced by the discharge along with oscillations at the interface for two types of discharge modes (indirect DBD and direct streamer), thereby providing the opportunity to capture plasma induced flow effects for two key methods currently being investigated for a variety of technological applications. The goal here is first to simply elucidate/reveal the range of interfacial instabilities and associated flows induced by the plasma. The second phase of this work will involve modeling the flow field to elucidate the nature of these forces at the interface that give rise to the associated flows.

Figure 1- Schlieren flow oscillations

Page 43: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

43

Particle-In-Cell Simulations of High-Voltage Breakdown in Helium

Liang Xu, Alexander V. Khrabrov and Igor D. Kaganovich

Princeton Plasma Physics Laboratory, Princeton, NJ ([email protected])

High–voltage holding is of interest to many applications, i.e., high-power electrical switches [1] and beam injectors for particle accelerators. [2]. Therefore, the extreme left branch of the Paschen curve above 10kV, which has not been sufficiently studied experimentally and theoretically, needs to be determined unambiguously. The breakdown characteristics of the applied DC voltage in the range of 10-500 kV in helium has been studied thoroughly using particle-in-cell simulation code EDIPIC [4] taking into account fast-atom and photon-induced secondary electron emission [1, 3]. Interelectrode gaps ranged from 0.5 to 3.5cm, helium pressure 0.1-1Torr and maximum voltage up to 350kV have been considered. In the PIC-MCC simulations elastic, excitation and ionization elementary collision processes involving electrons, ions and fast atoms have been considered carefully for the high-voltage regime. Ions, fast atoms and photons yield the secondary electron emission. Electron backscattering at the anode and ion and fast atom backscattering at the cathode are also implemented in the model. Anisotropic scattering in collisions are required to take into account for accurate kinetic simulations of the breakdown process. We make use of our previous study [5] of accurate approximations for differential angular scattering cross sections for electrons, ions and fast atoms in collisions with neutrals. We show that fast atoms dominate the breakdown process due to their largest contribution to the integrated ionization rate as evident in figure 1. Based on the comparison with experimental data, it is found that accurate representation of surface interactions and anisotropic scattering for electrons, ions and fast atoms under these high voltage conditions is essential to correctly simulate the breakdown process.

References [1] Dan M. Goebel, Review of Scientific Instruments 67, 3136 (1996). [2] H. F. Ranea-Sandoval and N. Reesor, IEEE Transactions on Plasma Science PS-15, 361 (1987). [3] P. Hartmann, Z. Donko, Plasma Sources Sci. Technol. 9, 183 (2000). [4] D. Sydorenko, I. Kaganovich, Y. Raitses, and A. Smolyakov, Phys. Rev. Lett. 103, 145004 (2009). [5] Alexander V. Khrabrov and Igor D. Kaganovich, Phys. of Plasmas 19, 093511 (2012).

Figure 1 – integral of the ionization rate of electrons, ions, fast atoms and its sum for 100kV, 0.5 Torr helium pressure and gap 1.4cm.

Page 44: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

44

Polymer Surface Etching and Modification by a Time Modulated RF Plasma Jet: The Effect of Molecular Feed Gas Admixture and Treatment Distance

P. Luan(a), A. J. Knoll(a), C. Anderson(b), D. B. Graves(b), S. Kumar(c), P. J. Bruggeman(c) and G. S. Oehrlein(a)

(a) University of Maryland, College Park ([email protected]) (b) University of California, Berkeley ([email protected])

(c) University of Minnesota, Twin Cities ([email protected])

Cold atmospheric pressure plasma jets (APPJs) are able to generate a long but narrow plume which contains reactive species desired for material processing and biomedical applications such as wound healing, disinfection and decontamination [1]. From the perspective of processing 2-dimentional (2D) surfaces, however, it is difficult to produce uniform surface treatments using APPJs owing to their small lateral extent. In this work, a time modulated RF APPJ is mounted on a 2D scanning stage to uniformly treat surfaces. Three model polymers polystyrene (PS), polyvinyl alcohol (PVA) and poly(methyl methacrylate) (PMMA) are investigated to understand the interaction between RF jet and material surface. The effect of RF jet treatment on polymer film thickness and surface chemical composition change are studied with variable treatment distances and feed gas mixtures including different concentrations of water vapor.

Both in-situ and ex-situ polymer film thickness changes are studied by ellipsometry. With appropriate treatment distances (4, 6, 8 mm, from the end of the nozzle to the top of surface), etching of polymer films by the RF jet is generically observed for all feed gas compositions studied, namely Ar, Ar + 1% O2, Ar + 1 % air, Ar + 0.98 % H2O. Furthermore, if feed gas chemistry and plasma power are held constant, the shorter the treatment distance, the higher the etching speed. For the same treatment distance and plasma power, Ar + 1% O2 admixture showed the highest etching speed comparing to other feed gas compositions. Interestingly, the etching speed of Ar + 1% O2 plasma can be greatly quenched with a small amount (0.19 %) of additional water vapor.

Surface chemistry of polymers treated by the RF jet is studied by X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. From XPS, we find that RF jet-treated polymer surfaces show oxygen uptake and NO formation, while the amount of these modifications depends on the feed gas composition. From Raman spectroscopy, bulk modification of polymer films is not observed in any treatment conditions in spite that RF jet etches polymer by tens of nanometers.

The authors gratefully acknowledge financial support by the National Science Foundation (PHY-1415353) and US Department of Energy (DE-SC0001939).

References [1] E.A.J. Bartis et al., “On the Interaction of Cold Atmospheric Pressure Plasma with Surfaces of Bio-molecules and Model Polymers”, Plasma Chem Plasma Process 36, 121 (2016).

Figure 1 – (a) Thickness change comparison of polystyrene treated with variable distances and feed gas compositions; (b) XPS C1s surface composition comparison of pristine and Ar +1% O2 treated polytyrene surfaces.

Page 45: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

45

The Role of the Dense Amorphous Carbon (DAC) Overlayer in Photoresist Etching

Adam Pranda, Zuleykhan Tomova, Sandra Abigail Gutierrez Razo, John T. Fourkas, and Gottlieb Oehrlein

University of Maryland, College Park, Maryland 20742 ([email protected])

Multicolor photolithography is an alternative to extreme ultraviolet (EUV) lithography in attaining device feature sizes below 10nm. The use of this technique requires modification of existing acrylate-based photoresists with photosensitizers such as cyanine dyes in order to functionalize the photoresists for selective activation and deactivation with multiple wavelengths of light. Applying these new photoresist materials towards device manufacturing requires an evaluation of their plasma etching behavior. In the following work, we establish the viability of multicolor photoresists by comparing their plasma etching behavior to industry-standard 193nm and 248nm photoresists.

The 193nm and 248nm photoresist polymers commonly used in industry are abundant in carbon-hydrogen (C-H) bonds that scission when exposed to high energy ions that are characteristic of plasma etching. The rapid removal of volatile hydrogen- and oxygen-based carbon products results in the formation of a dense amorphous carbon (DAC) overlayer. Since steady-state etching of the bulk photoresist entails the constant removal and reformation of this overlayer, the DAC layer acts as an etch-inhibiting layer on top of the bulk layer. The overall density of the overlayer will determine the etching behavior of the underlying photoresist.

In this study, we define a baseline for comparing multicolor photoresists by investigating the relationships between chamber conditions, formation of the DAC overlayer, and the resultant etch yields for a poly(methyl methacrylate)-based 193nm photoresist polymer (PR193) and a polystyrene-based 248nm photoresist polymer (PR248) using an inductively-coupled plasma (ICP) reactor as well as an electron cyclotron wave resonance (ECWR) reactor. The thickness and refractive index of both the DAC overlayer and bulk photoresist layer were monitored in real-time using in-situ ellipsometry. We use the experimental data towards the development of a site balance model that describes the formation of the DAC overlayer in terms of surface and plasma parameters.[1]

We observe a correlation between the ambient chamber oxygen concentration, magnitude of the DAC overlayer refractive index (reflective of material density), and photoresist steady state etch rate. In the absence of significant ambient oxygen, the primary etching mechanism is physical sputtering. This results in a DAC overlayer with a greater refractive index compared to pristine photoresist. In the presence of ambient oxygen, the etching mechanism has contributions from physical and chemical sputtering, the latter mainly through adsorbed oxygen on the sample surface. Removal of carbon from the overlayer is enhanced by chemical sputtering, resulting in a less dense DAC overlayer. A less dense DAC overlayer yields a higher steady state etch rate compared to the oxygen-deficient condition. In addition to the chamber condition, the oxygen that is present in the composition of PR193 also impacts the formation of the DAC layer and the resulting steady state etch rate; the absence of oxygen in PR248 results in a lower steady state etch rate and shorter time to attain steady state etching relative to PR193. These observations are useful as a baseline for evaluating the behavior of multicolor photoresists and provide a benchmark to guide which photoresists to synthesize to achieve the desired etching behavior. The next step in this work will evaluate parameters such as the line edge and line width roughness of features that undergo pattern transfer using multicolor photoresists as it is ultimately these quantities which will define the critical feature size and industrial viability of multicolor photoresists.

The authors gratefully acknowledge the financial support of this work by the National Science Foundation (NSF CMMI-1449309). References [1] N. Fox-Lyon, G. S. Oehrlein, N. Ning, and D. B. Graves, J. Appl. Phys. 110, 10 (2011).

Page 46: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

46

Electric Field Measurements in Atmospheric Pressure Ns Pulse Discharge in Air

Benjamin M. Goldberg(a) and Igor Adamovich(b)

(a) Princeton University ([email protected]) (b) The Ohio State University ([email protected])

Time-resolved electric field measurements have been completed in atmospheric pressure, room air discharge sustained between a knife edge and a plane dielectric plate (quartz, 100µm) placed over a grounded electrode with a 500 µm gap. The results were obtained using a CARS like four-wave mixing technique where the typical probe beam is replaced by an externally applied electric field. The external field mixes with an induced coherent oscillation, due to the collinear pump and Stokes, allowing the molecules to radiate coherently in the IR. The intensity of the radiation is measured, and is found to be proportional to the square of the electric field. A stimulated Raman shifting (SRS) cell is filled with nitrogen to generate the phase matched Stokes beam, allowing for field measurements to be done in room air nitrogen for the first time.

The knife edge electrode is powered by a square shaped high voltage pulse with ~50 ns rise time. Initial ICCD images, shown in Figure 1, show that the discharge appears to be spatially diffuse over many accumulations, but exhibits filamentary structure on an individual shot-to-shot basis.

Initial results, shown in Figure 2, show that there is a large, initial offset field of ~25-30 kV/cm prior to the high voltage rise. The initial field is due to charge accumulation upon the dielectric surfaces from previous discharge cycles. When the high voltage rises, the field reverses orientation and increases until the breakdown limit, nearly 60 kV/cm. At this point, the field drops rapidly due to charge separation and plasma self-shielding, followed by a leveling off of the field at an asymptotic value during surface charge accumulation.

(A)

(B)

Figure 1 – Schematic of dischzrge test section (A). Discharge gap of 500 µm fills with spatially diffuse discharge over many accumulations (B). Image shown taken with 200 accumulations and 1 µs camera gate.

Figure 2 – Absolute value of the electric field in a knifedge-to-dielectric plane , ns pulse discharge in air, plotted together with voltage and current pulse waveforms.

Page 47: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

47

List of Participants

Name Institution Email

Adamovich, Igor Ohio State University [email protected]

Aydil, Eray University of Minnesota [email protected]

Barnat, Ed Sandia National Laboratories [email protected]

Bruggeman, Peter University of Minnesota [email protected]

Donnelly, Vincent University of Houston [email protected]

Economou, Demetre University of Houston [email protected]

Efthimion, Philip Princeton Plasma Physics Laboratory [email protected]

Engeling, Kenneth University of Michigan [email protected]

Foster, John University of Michigan [email protected]

Gershman, Sophia Princeton Plasma Physics Laboratory [email protected]

Girshick, Steven University of Minnesota [email protected]

Godyak, Valery University of Michigan [email protected]

Goldberg, Benjamin Princeton University [email protected]

Graves, David University of California-Berkeley [email protected]

Han, Longtao Princeton Plasma Physics Laboratory [email protected]

Hara, Kentaro Princeton Plasma Physics Laboratory [email protected]

Hebner, Greg Sandia National Laboratories [email protected]

Hopkins, Matthew Sandia National Laboratories [email protected]

Kaganovich, Igor Princeton Plasma Physics Laboratory [email protected]

Khrabrov, Alexander Princeton Plasma Physics Laboratory [email protected]

Knoll, Andrew University of Maryland [email protected]

Kolobov, Vladimir CFDRC/University of Alabama at Huntsville

[email protected]

Kondeti, Santosh Kumar University of Minnesota [email protected]

Kortshagen, Uwe University of Minnesota [email protected]

Krek, Janez Michigan State University [email protected]

Kruszelnicki, Juliusz University of Michigan [email protected]

Kushner, Mark J. University of Michigan [email protected]

Lai, Janis University of Michigan [email protected]

Le Picard, Romain University of Minnesota [email protected]

Li, Chen University of Maryland [email protected]

Lieberman, Michael University of California-Berkeley [email protected]

Lietz, Amanda University of Michigan [email protected]

Luan, Pingshan University of Maryland [email protected]

Luo, Yuchen University of Minnesota [email protected]

Oehrlein, Gottlieb University of Maryland [email protected]

Ono, Toshisato University of Minnesota [email protected]

Pranda, Adam University of Maryland [email protected]

Qu, Chenhui University of Michigan [email protected]

Raitses, Yevgeny Princeton Plasma Physics Laboratory [email protected]

Page 48: DOE Center for Control of Plasma Kinetics: and Bounded Systemsdoeplasma.eecs.umich.edu/files/Booklet_2016_v03.pdf · Predictive Control of Plasma Kinetics: ... In this talk, a brief

48

Name Institution Email

Santra, Biswajit Princeton Plasma Physics Laboratory [email protected]

Simeni Simeni, Marien Ohio State University [email protected]

Smith, Brandon University of Michigan [email protected]

Sommerer, Tim General Electric [email protected]

Stepanov, Anton Princeton Plasma Physics Laboratory [email protected]

Verboncoeur, John Michigan State University [email protected]

Xu, Liang Princeton Plasma Physics Laboratory [email protected]

DOE Colleagues

Name Institution Email

Bolton, Curt U.S. Department of Energy [email protected]

Finnegan, Sean U.S. Department of Energy [email protected]

Podder, Nirmol U.S. Department of Energy [email protected]

Synakowski, Edmund U.S. Department of Energy [email protected]

Van Dam, James U.S. Department of Energy [email protected]