DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the...

34
DFT Trends in the MorethanMoore Era Stephen Pateras Mentor Graphics [email protected] 1 Silicon Valley Test Conference 2011

Transcript of DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the...

Page 1: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

DFT Trends in the More‐than‐Moore Era

Stephen PaterasMentor [email protected]

1Silicon Valley Test Conference 2011

Page 2: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

Outline

Semiconductor Technology TrendsDFT in relation to:– Increasing Integration– Power Management– 3D Packaging– Mixed-Signal

2Silicon Valley Test Conference 2011

Page 3: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

Moore’s LawStill A Ways to Go!

3Silicon Valley Test Conference 2011

“…rearrange the transistors into a cube and apply the type of advanced manufacturing process expected in 2020, and you’d end up with a processor that occupies about the same volume as a blood cell.”

Mile Muller, ARM CTO, October 25, 2011

Source: Intel

Page 4: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

Moore is not the end

Exponential growth will continue with next paradigm– Graphene– Carbon nanotubes– Photonics

4Silicon Valley Test Conference 2011

Page 5: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

More-Than-Moore

5Silicon Valley Test Conference 2011

Source: ITRS 2010

Page 6: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

DFT in relation to

Increasing Integration

Silicon Valley Test Conference 2011 6

Page 7: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

IEEE P1687 - IJTAGWhat is it and what is it for?

An IEEE standard proposal– Allows plug and play connection and usage

(test/debug) of embedded instruments – Compatible with 1149.1 but goes well beyond

Standard includes three main components– Hardware rules for 1687 instruments/networks

• Including port functions, timing & connection rules

– An Instrument Connection Language (ICL)• Describes isolated nodes, partial or complete networks• Enables retargeting pin/register reads/writes to scan commands

– A Pattern Description Language (PDL)• Describes instrument usage at a given level• Facilitates automatic retargeting to any higher level

Page 8: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

IEEE P1687 At A Glance

P1687 compatible instruments

P1687 compatible network nodes

(E.g.: 1149.1 TAP, 1500 WSP)

ICL

ICLP1687 ports(E.g.: TCK, ScanIn)

ICLHardware description

of port

ICLPDL

ICLPDL

ICLPDL

ICLPDL

ICLPDL

Hardware description of

instrument

Description of instrument access and

control patterns

Page 9: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

IP IJTAG Certification

Two new files provided by IP vendor:–ICL to describe the IP in isolation–PDL routines to describe the IP usage

Tools incorporated into Tessent–ICL reader with automatic verification testbench

generation• Simulation testbenches• ICL visualizers of inferred behavioral model

–PDL certification and debugging• Convert PDL into verilog using ICL description• Simulation testbenches to verify IP

IP ICL IP PDL

1687 TestbenchGenerator

IPVerilog

VerilogTestbench

Automatic PDL retargeting

VerilogTestbench

VerilogSimulator

Created by IP Vendor

Page 10: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

IP IJTAG Integration and Verification

Integration and verification tools used by Chip Designers / DFT engineersIntegrates IP instruments and access infrastructure into designCreate chip level ICL using IP level ICL and RTL/NetlistCreates certification testbenches to verify final 1687 network

1687 NetworkAssembly

ICL ICL ICL

ChipICL

1687 Testbench Generator

ICLExtractor

Page 11: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

Hierarchical Test

Critical for handling growing design sizesBenefits– Reduced memory footprint– Reduced tool runtime– Core pattern reuse– Enables test by region for power management– Simplified ECO management

Silicon Valley Test Conference 2011 11

Page 12: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

Divide-And-Conquer

Silicon Valley Test Conference 2011 12

Block 1

Block 2

Block 3

Block 4

Block 5

Block 6

Block 7

Block 8

Block 9 Block 10Block 11 Block 12

Top Level Logic 2

Chain1 Chain2 Chain3 Chain4

Chain8Chain7Chain6Chain5

Top Level Logic 1

Test Control

Block 1

Block 2

Chain1 Chain2 Chain3 Chain4

Chain8Chain7Chain6Chain5

Test Control

Block 3

Block 4

Chain1 Chain2 Chain3 Chain4

Chain8Chain7Chain6Chain5

Test Control

Block 5

Block 6

Chain1 Chain2 Chain3 Chain4

Chain8Chain7Chain6Chain5

Test Control

Block 7

Block 8

Chain1 Chain2 Chain3 Chain4

Chain8Chain7Chain6Chain5

Test Control

Block 9 Block 10

Chain1 Chain2 Chain3 Chain4

Chain8Chain7Chain5

Test Control

Chain6

Block 11 Block 12

Chain1 Chain2 Chain3 Chain4

Chain8Chain6Chain5

Test Control

Chain7

“Blocks” typically correspond to physical design blocksEach block isolated by wrapper chainsTop level sharing & scheduling logic enables testing of blocks in phases with limited I/O

Page 13: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

Divide-And-Conquer

Blocks configured in External Mode to test top level glue & interconnectOnly wrapper chains and top level chains neededEach block can be represented by graybox

Silicon Valley Test Conference 2011 13

Chain1 Chain2 Chain3 Chain4

Chain8Chain7Chain6Chain5

Block 1

Block 2

Block 3

Block 4

Block 5

Block 6

Block 7

Block 8

Block 9 Block 10Block 11 Block 12

Top Level Logic 2

Top Level Logic 1

Test Control

Page 14: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

Hierarchical ATPG Flow

1. Core level DFT insertion2. Core-level ATPG3. Top-level DFT insertion4. Core pattern retargeting5. Top-level ATPG

Silicon Valley Test Conference 2011 14

Chain1 Chain2 Chain3 Chain4

Chain8Chain7Chain6Chain5

Block 1

Block 2

Block 3

Block 4

Block 5

Block 6

Block 7

Block 8

Block 9 Block 10Block 11 Block 12

Top Level Logic 2

Top Level Logic 1

Test Control

Block 1100110001010

Chain1 Chain2 Chain3 Chain4

Chain8Chain7Chain6Chain5

Block 1

Block 2

Block 3

Block 4

Block 5

Block 6

Block 7

Block 8

Block 9 Block 10Block 11 Block 12

Top Level Logic 2

Top Level Logic 1

Test Control

Block 1

Block 2

Chain1 Chain2 Chain3 Chain4

Chain8Chain7Chain6Chain5

Test Control

01111011010100

100110001010

Chain1 Chain2 Chain3 Chain4

Chain8Chain7Chain6Chain5

Block 1

Block 2

Block 3

Block 4

Block 5

Block 6

Block 7

Block 8

Block 9 Block 10Block 11 Block 12

Top Level Logic 2

Top Level Logic 1

Test Control

01111011010100

01111011010100

Page 15: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

Hybrid DFT SolutionATPG Compression and LogicBIST

Optimizes defect coverage vs test time– Random patterns provide quick basic coverage– Top-up compressed patterns efficiently complete

coverageReduces test pattern volumeReduces test pattern generation timeProvides key optimizations between parallel and serial test applications

Page 16: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

AG, Hybrid Test Methodology: High Quality Test, April 2011 Integrated TK and LogicBIST

Hybrid DFT SolutionCore test times

Page 17: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

AG, Hybrid Test Methodology: High Quality Test, April 2011 Integrated TK and LogicBIST

Hybrid DFT SolutionTest Time Optimization

TK

TK

TK

TK

TK TKLB LB

LB

+

Test Time = 460 + 250+ 240 = 950

Test Time =

Max(156, 216, 199) +Max(160, 110+100)

= 216 + 220 = 436

Page 18: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

DFT in relation to

Power Management

Silicon Valley Test Conference 2011 18

Page 19: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

Growing Power Challenges

Not only for growing number of mobile devices …

… but for all electronics including computing

Silicon Valley Test Conference 2011 19

Page 20: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

Power introduces Unique Challenges

Design teams adopting new techniques for power management– Power gating – Retention and isolation cells– Dynamic voltage & frequency scaling (DVFS)

Power-aware testing techniques required– Low-power ATPG– Low-power LogicBIST– Power-aware test generation

Silicon Valley Test Conference 2011 20

Page 21: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

Silicon Valley Test Conference 2011

1110

10

010

01

1

1

110

DECOMPRESSOR

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

Low-Power DecompressorReducing Switching Activity During Shift

21

Page 22: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

Load/Response Shift Switching Activity

22Silicon Valley Test Conference 2011

Page 23: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

Low-Power LogicBIST

Reduces both shift and capture powerMinimal or no impact on test coverage– Recover coverage by

increasing pattern count

Allows optimization between switching activity and pattern countMinimal silicon area overhead

Silicon Valley Test Conference 2011 23

Page 24: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

Low-Power LogicBISTTypical Results

Silicon Valley Test Conference 2011 24

HoldRegs

ToggleProb.Regs

HoldProb.Regs

# patterns Effective patterns TC (%) Load

ToggleUnloadToggle

Conventional LBIST 10,000 1,849 85.12 49.84 48.69

4 3 2 10,000 2,018 84.52 26.15 27.51

11,392 2,189 85.15 26.13 27.75

4 2 2 10,000 2,041 82.18 17.50 19.77

17,856 3,006 85.12 17.49 20.14

4 2 3 10,000 2,107 79.68 10.90 13.82

29,952 4,397 85.12 10.93 14.53

8 3 3 10,000 2,068 78.44 9.23 12.31

33,344 4,771 85.12 9.23 13.53

Design: 1.4M gates, Scan config: 160 x 541

Page 25: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

Power-Aware Test Generation

Test sequence automation for multiple power statesTest of low-power components– Level-shifters: test under difference

voltage corners– Retention cells: test with source

domain on then offDFVS support– Need to run tests at all legal voltage

and frequency combinations

Silicon Valley Test Conference 2011 25

Page 26: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

DFT in relation to

3D Packaging

Silicon Valley Test Conference 2011 26

Page 27: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

Why 3D-IC?

Form factor– Smaller, superior product

• CMOS Image Sensor• Memory• Memory on logic

Samsung 8GB DDR3 DRAM3D-IC with TSV*Stacked, Wire bonded IC’s

*Through Silicon Via

27

Performance– High bandwidth / bus width interfaces

• GPU/CPU devices• Memory• Overcome wire-bond “performance wall”

– Lower power• Shorter wires / connections• Eliminates high voltage/power I/O drivers

Silicon Valley Test Conference 2011

Page 28: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

3D Test Assumptions

DUT assumed to be stacked die with TSV connections– Any number and combination of

memory and logic die

Some form of access to test resources within each die available– E.g. IEEE P1838 and/or IEEE P1687

Test objectives– Test TSVs between logic die– Test TSVs between logic and memory

Silicon Valley Test Conference 2011 28

Page 29: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

Logic-to-Logic TSV Interconnect Test

TSVs covered by hierarchical test approach– TSVs between scan-isolated cores on neighboring die– Any supported fault models can be used

Silicon Valley Test Conference 2011 29

TSV

Scan chain

Page 30: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

Stacked Memory Test

External Memory BIST added to logic die– Provides full-speed testing of memory die and bus

Shared-bus capability supports multiple external memory diePost-silicon programmability supports changes in memory die

Silicon Valley Test Conference 2011 30

TSV

Page 31: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

DFT in relation to

Mixed-Signal

Silicon Valley Test Conference 2011 31

Page 32: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

Mixed-Signal TestGrowing Challenge!

Silicon Valley Test Conference 2011 32

Test time distribution

Page 33: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

Mixed-Signal DFTWhere are we at?

PLL

SerDes

DDR I/Os

ADC/DAC

Analog

RF

Silicon Valley Test Conference 2011 33

Comprehensive commercial BIST solutions availableDigital solutions provide pico-second accurate measurements

Some DFT and BIST techniques availableSolutions still generally too slow and/or not general enough

General BIST solution under developmentSimple DAC/ADCs used to convert to digital domainDefining analog fault models

Limited to monitor buses

Page 34: DFT Trends in the More than Moore Erasvtest.com/workshop/DFT 2 SPateras.pdf · DFT Trends in the More ... Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3.

Summary

More-than-Moore era will continue to push design and test for the foreseeable futureDFT evolving on multiple frontsHighly scalable solutions are a mustNext major challenges are 3D and mixed-signal

Silicon Valley Test Conference 2011 34