bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame...

25
bulk chemical management

Transcript of bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame...

Page 1: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

bulk chemical management

Page 2: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

1ENTEGRIS , INC . « » bulk ChEmICal maNaGEmENT « »

table of contentsSection 1: About Entegris

Purify, Protect and Transport ....................................................... 2Global Presence ............................................................................. 3Enabling Innovation ...................................................................... 4Close to Our Customers ................................................................. 5Bulk Chemical Management Solution ......................................... 6

Section 2: Product/Solutions

EL GRADE* CHEMICAL MANUFACTURING

ProcessGard® NP Cartridge Filters ........................................ 8Fluorogard® FP and FP-HP Cartridge Filters ........................ 10Microgard™ Plus Cartridge Filters ........................................ 12FluoroPure® 1000 Liter Intermediate Bulk Container .................................................................... 14FluoroPure® 200 Liter Advantage Trilayer and Trilayer HDPE Drum ................................................... 16

EL GRADE CHEMICAL MANUFACTURING AND END-USER FACILITIES

Sentry™ QCIII Quick Connect System .................................. 18Sentry™ QCII Quick Connect System .................................... 20Chemlock® Housing PFA/PP .................................................... 22QuickChange® ATM and ATE Filters ...................................... 24Intercept® Filters ..................................................................... 26

END-USER FACILITIES

Tubing and Pipe ........................................................................ 28Tube and Pipe Fittings ............................................................. 30Integra® 1/2" Orifice Valve ....................................................... 32Integra® 3/4" Orifice Valve ....................................................... 34Integra® 1"˝ Orifice Valve ......................................................... 36NT® Pressure Transducers .......................................................... 38FluoroPure® Day Tanks ............................................................ 40Dymension® Valve Manifold .................................................... 42

* Electronic grade

bulk chemical managementThe backbone to the high tech

fabrication environment is the

steady supply of process materials.

Safe, accurate and timely delivery

of process chemistries throughout

the facility enables the efficient

and economically stable operation

of each process step. The diversity

of the chemistries flowing through

the lines at any one time covers a

wide range of materials ranging

from aggressive acids to DI water to

concentrated substances. In addition,

these chemistries must be controlled

to levels general industries cannot

achieve in terms of repeatability

and consistency. Entegris provides

the solutions to succeed under

these extreme conditions.

Page 3: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

3ENTEGRIS , INC . « » bulk ChEmICal maNaGEmENT « » 2 «» bulk ChEmICal maNaGEmENT « » ENTEGRIS , INC .

Entegris provides products and services for applications across key semiconductor processes to help chip makers solve manufacturing challenges, enhance yield and gain sustainable competitive advantage.

purify, protect and transport

bulk chemicalAs wafer sizes continue to increase and line widths shrink, purity requirements become more stringent and precise chemical blending becomes more critical. Whether it’s transport or storage, mixing or dispense, Entegris has solutions to meet bulk chemical handling needs.

chemical mechanical planarizationFrom filtration, liquid and slurry handling, Entegris products enable the CMP process.

wet etch and cleanUltrapure liquids and gases are purified, protected and transported with Entegris filters, purifiers, valves, fittings and sensing and control products.

wafer handlingEntegris provides wafer handling products to protect and transport prime wafers, wafers being processed, finished wafers, bare die and packaged devices. Products include wafer carriers, wafer shippers, mask and reticle carriers, bare die trays, horizontal wafer shippers, chip trays and film frame shippers.

photolithographyEntegris’ broad product line enables lithography processing with gas filters and diffusers, wafer and reticle handling, liquid filtration, purification and control.

Entegris products offer reliable protection of quartz plate reticles and masks used in multiple process steps.

Entegris milestones1966: Founded as Fluoroware, Inc.

2000: Begins trading under the ENTG symbol on NASDAQ

2005: Merges with Mykrolis Corporation, a leading provider of liquid filtration and gas purification prod-ucts and systems

2006: Expands manufacturing facility in Kulim, Malaysia

2007: Acquires Surmet Corporation’s high-purity semiconductor coatings business

2008: Acquires Poco Graphite, an industry leader in high-performance graphite and silicon carbide

With 2,200 employees worldwide, Entegris thrives on the challenge to meet our customers’ expectations through a global network of service, technology, manufacturing and applications support teams, all built upon a tradition of product and process innovation.

global presence

lab capabilitiesAnalytical Services Product Testing Materials Science• Airborne molecular contamination • Performance testing • New material development

• Surface contamination • Particle testing • Material characterization

• Applications support • Electrostatic charge • Material selection

• Root-cause analysis • Vibration • Material incoming inspection

• Flow rate optimization • Applications support

• Applied statistics

• Safety and industry standardization

• DOT and UN regulations

• CE marking

Entegris 2010Number of Employees 2,200 worldwide

Industries Served Semiconductor and other high-technology industries

Stock listing ENTG on NASDAQ

Page 4: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

5ENTEGRIS , INC . « » bulk ChEmICal maNaGEmENT « » 4 «» bulk ChEmICal maNaGEmENT « » ENTEGRIS , INC .

The technology roadmaps to produce the next generation of semiconductor devices and microelectronics are presenting unprecedented technological challenges, as well as ever increasing pressure to improve yields and productivity. As the leading provider of contamination control products and services to the global semiconductor and microelectronics industry, Entegris is using a wide array of analytical and materials science expertise to develop comprehensive solutions to contamination issues in the fab.

enabling innovation

Electronics that are smaller, faster, cheaper and more functional

Leveraging our core competencies of materials science

ITRS 2006 2008 2010 2012

DRAM 1/2 Pitch (nm) 70 59 45 36

Logic (Flash) 1/2 Pitch (nm) 78 (64) 59 (45) 45 (36) 36 (28)

Critical Defect (nm) 29 30 23 18

DRAM Wafer Handling PWP 30 20 12 7

Molecular Contaminants Wafer level Molecules Molecules Molecules

Critical Metal Ions (PPT) <0.5 <0.5 <0.5 <0.5

Industry

193 nm Immersion Lithography Double Patterning EUV

300 mm Wafer Processing 300 mm Prime 450 mm?

Entegris TechnologiesDRAM 1/2 Pitch (nm) 70 59 45 36

Liquid and Gas Filtration Continuous Improvement and Purification Filtration products: smaller pore size, higher flow, higher retention

Purification products: volatile organics, AMC, moisture, degasification

Wafer Handling 300 mm Prime product platforms 450 mm prototypes

Mask Handling Carriers to prevent reticle haze EUV mask carriers

Liquid Systems LiquidLens™ Multi scanner systems Subsystems Process monitoring

Direct sales and local support gives us the opportunity to achieve customer intimacy.

Customer intimacy helps us better understand our customers’ needs through direct feedback and roadmap sharing.

By aligning our materials science, engineering and R&D initiatives, we can develop indispensable contamination control solutions to solve our customers’ roadmap challenges.

close to our customers

Entegris Patents2005: 39 new U.S. patents

2006: 44 new U.S. patents

2007: 25 new U.S. patents

2008: 35 new U.S. patents

2009: 16 new U.S. patents

Page 5: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

6 «» bulk ChEmICal maNaGEmENT « » ENTEGRIS , INC . 7ENTEGRIS INC . « » bulk ChEmICal maNaGEmENT « »

Our expertise in materials science and contamination control enables our customers to meet the demands of the market by reducing costs, enhancing yields, increasing productivity and improving process control.

The following pages highlight products designed to solve your fab challenges by providing best-in-class purification, materials management and process control solutions.>>>

Challenge

El Grade Chemical manufacturing

El Grade Chemical manufacturing and End-user Facilities

End-user Facilities

Proc

essG

ard

NP

Cart

ridge

Filt

ers

Fluo

roga

rd F

P an

d FP

-HP

Cart

ridge

Filt

ers

Mic

roga

rd P

lus

Cart

ridge

Filt

ers

Fluo

roPu

re 1

000

Lite

r In

term

edia

te

Bulk

Con

tain

er (

IBC)

Fluo

roPu

re 2

00 L

iter

Adva

ntag

e Tr

ilaye

r an

d Tr

ilaye

r H

DPE

Drum

Sent

ry Q

C III

Qui

ck C

onne

ct S

yste

m

Sent

ry Q

C II

Qui

ck C

onne

ct S

yste

m

Chem

lock

Hou

sing

PFA

/PP

Qui

ckCh

ange

ATM

and

ATE

Filt

ers

Inte

rcep

t Fi

lters

Tubi

ng a

nd P

ipe

Tube

and

Pip

e Fi

ttin

gs

Inte

gra

Valv

es

NT

Pres

sure

Tra

nsdu

cers

Fluo

roPu

re D

ay T

anks

Dym

ensi

on V

alve

Man

ifold

Customer Challenges

Safe

ty

Safety • • •

Qua

lity

Batch-to-batch quality • • • • • • • • • • • • •Consistent chemical specification • • • • • •

Product performance stability • • • • • • • • • • • • •Product reliability • • • • • • • • • • • • • •

Cost

Ready to use • • • • • • • • • •Ease of handling • • • • • • • • • • •Uptime • • • • • • • • •High throughput • • • • • • • • •Low cost of operation • • • •Long product lifetime • • • • • • • • • • • • •Minimum maintenance • • • •Serviceability • • • • • •

Gree

n Environmentally friendly • • • • •Minimize waste • • • •

For over 40 years, Entegris has been at the forefront of providing comprehensive microcontamination control solutions – with products and services that surround key processes such as bulk chemical, wet etch and clean, lithography, chemical mechanical planarization and wafer handling.

bulk chemical management solutions

El Grade Chemical manufacturing

End-user Facilities

Filters Connection Systems

Bulk Containers and Drums

Fittings, Tubing and Pipe

Valves and Manifolds

Filters

Connection Systems

Tanks

Pressure Transducers

Page 6: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

EL GrA

DE CH

EMICA

L MA

NU

FACTU

rING

9ENTEGRIS , INC . « » bulk ChEmICal maNaGEmENT « » 8 «» bulk ChEmICal maNaGEmENT « » ENTEGRIS , INC .

FEaTuRE aDVaNTaGE bENEFITSurface and depth filter design • Higher particle and gel

holding capability• Reducing filter changing due to

longer lifetime• Increase tool uptime

Robust polypropylene construction supports

Thermoplastic bonding with no adhesives

• Providing clean and durable performance

• Low extractable levels ensure superior downstream cleanliness

• Improving tool uptime due to long-term chemical compatibility

• Improving quality and overall yield

Available in different retention ratings, length and manifold adapter codes

• Superior retention of colloids and particles ensuring low particle counts

• Wide range of contaminants captured in a single filter unit

• Increasing overall chemical purity• Lower filtration costs

Available in cartridges (code F and code 0) and disposable configurations

• Fits most available housings • Saves time due to easy installations in the equipment

• No retrofit costs

Pleated depth filters with high flow and excellent broad range retention efficiency, ideal prefiltration applications or electronics parts plating processes.

Depth pleated structure providing longer lifetime.

• Pleated depth membrane

• Available in cartridge or disposable configuration

• Wide range of retention ratings from 0.5 μm to 40 μm

• Excellent chemical and heat resistance

Optimized for electronic chemical manufacturersConsumer electronic market: TFT-LCD, TN/STN, color filter, wet etching, stripping, developer, cleaningChemical mechanical planarization (CMP) slurry

ProcessGard® NP Cartridge Filters

performance

Market Application Benefit expected

CMP Central distribution Longer lifetime

Back end Solder bumping, C4 Longer lifetime

TFT-LCD Wet etching Developer Cleaning

Longer lifetime

TN/STN Wet etching Stripping Developer Cleaning

Longer lifetime

Color filter Wet etching Stripping Developer Cleaning

Longer lifetime

Optical disk UV lacquer Longer lifetimeReduce bubble issue

CRT PVA coating Longer lifetime

160

140

120

100

80

60

40

20

00.5 2.0 5.0 20 40

ProcessGard NP Lifetime

Retention Rating (µm)

Plug

ging

Tim

e un

der

Cont

inuo

us C

halle

nge

Processgard NP LifetimeCompetitor LifetimeCompetitor Crescent Lifetime

frequently asked questions

What will be my pressure drop?

0.7

0.6

0.5

0.4

0.3

0.2

0.1

0

9

8

7

6

5

4

3

2

1

0

0.05 µm1.0 µm

2.0, 3.0 µm

20, 30, 40 µm

5, 10 µm

0

0 2 4 6 8 10 12

10 20 30 40 50

Cartridges

Typical Flow Rate (L/min) - 1 cP @ 20°C (68°F)

GPM

Diff

eren

tial P

ress

ure

(bar

)

Diff

eren

tial P

ress

ure

(PSI

D)

0.6

0.5

0.4

0.3

0.2

0.1

0

8

7

6

5

4

3

2

1

0

0.05 µm1.0 µm

2.0 µm

5.0 µm

3.0 µm

10, 20 µm

0

0 0.2 0.4 0.6 0.8 1.0 1.2 1.4

1 2 3 4 5 6

Disposables

Typical Flow Rate (L/min) - 1 cP @ 20°C (68°F)

GPM

Diff

eren

tial P

ress

ure

(bar

)

Diff

eren

tial P

ress

ure

(PSI

D)

Page 7: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

EL GrA

DE CH

EMICA

L MA

NU

FACTU

rING

11ENTEGRIS , INC . « » bulk ChEmICal maNaGEmENT « » 10 «» bulk ChEmICal maNaGEmENT « » ENTEGRIS , INC .

FEaTuRE aDVaNTaGE bENEFIT

Available in two different PTFE membranes:– Hydrophobic (FP)– Hydrophilic (FP-HP)

• Optimized chemical compatibility• Spontaneous wetting

• More consistent, reliable process• Increased tool uptime due

to no prewetting needed in aqueous chemicals

Available in different retention ratings (0.05 μm to 10 μm), lengths (10’’, 20’’ and 30’’) and codes (0 and 7)

• Fits most available housings, and addresses all filtration requirements

• Saves time due to easy installations in the equipment

• Streamlined offering that covers all filtration needs

PTFE membrane and polypropylene construction

• Providing clean and durable performance

• Low extractable levels ensure superior downstream cleanliness

• Improved tool uptime due to long-term chemical compatibility

• Improving quality and overall yield

Fluorogard® FP and FP-hP Cartridge Filters

Fluorogard FP and FP-HP are constructed of hydrophobic or hydrophilic PTFE pleated membranes with polypropylene supports to enhance durability and cleanliness.

• Wide range of retention ratings from 0.05 μm to 10 μm

• Available in three different cartridge sizes (10’’, 20” and 30’’)

• Available in code 0, F, 7 and 5 (2-226 and 2-222 o-rings)

• Operating temperature up to 90°C

Optimized for filtration of process chemicals, e.g., water-based chemicals and organic solventsUsable in bulk or point-of-use applications

performanceChemical compatibility is mainly driven by the surface area exposed to chemicals. With its 7000 cm2 PTFE membrane in a PP structure, the Fluorogard FP exhibits very good chemical resistance in most applications. It is an economi-cal choice where PTFE material is required.

Ideal solution for electronic-grade chemical manufacturing and wet cleaning applications in many industries.

The hydrophilic version exhibits very good wettability even after drain and dry modes, without flow loss.

Fluorogard FP-HP Heat-resistance Test75

60

45

30

15

0

Flow

Rat

e (L

/min

)

1st a

utoc

lave n

onpr

ewett

ed

1 day

after

nonp

rewett

ed

1 day

after

IPA pr

ewett

ed

2nd a

utoc

lave n

onpr

ewett

ed

2nd a

utoc

lave I

PA pr

ewett

ed

1 day

after

nonp

rewett

ed

FP-HP 10 µm 0.1 kg/cm²

FP-HP 0.2 µm 0.2 kg/cm²

FP-HP 10 µm 0.05 kg/cm²

FP-HP 0.2 µm 0.1 kg/cm²

frequently asked questions

What is my flow capability for a Fluorogard FP 10’’ cartridge?

How do I create the right part number for ordering the FP filter?

0.30

0.25

0.20

0.15

0.10

0.05

0

4.0

3.5

3.0

2.5

2.0

1.5

1.0

0.5

0

0.05 µm

0.10 µm

0.20 µm

0.50 µm

1.0 µm 3.0 µm

10.0 µm

0

0 2 4 6 8 10

10 20 30 40Typical Flow Rate (L/min) – 1 cP @ 20°C (68°F)

GPM

Diff

eren

tial P

ress

ure

(bar

)

Diff

eren

tial P

ress

ure

(PSI

D)

retention ratingZ = 0.05 μmV = 0.10 µmG = 0.20 μmH = 0.50 μmA = 1.0 μmS = 3.0 μmC = 10.0 μm

Cartridge ConfigurationO = Code 0F = Code F 7 = Code 7

Cartridge Length1 = 10”2 = 20”3 = 30”

CWE — — — 0 — 1 K *

O-ring MaterialV = Viton®E = EPDMT = TEV (Teflon®

Encapsulated Viton®)

Quantity 1 = 1/pack

* Optional Chemlock key attached to cartridge for use with Chemlock housing ONLY

Page 8: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

EL GrA

DE CH

EMICA

L MA

NU

FACTU

rING

13ENTEGRIS , INC . « » bulk ChEmICal maNaGEmENT « » 12 «» bulk ChEmICal maNaGEmENT « » ENTEGRIS , INC .

Microgard Plus patented UPE membrane shows the highest retention efficiency of any membrane. It offers low surfactant binding and spontaneous wettability in solvents.

• High retention efficiency of up to 99.999% at rated particle size

• Removal of microbubbles and gels

• Low pressure drop

• Low leaching of ionic/metallic contamination from filter

Optimized for bulk chemical distribution systems, filtration of stripping photo- chemicals, photoresist stripping at temperatures below 70°C.

microgard™ Plus Cartridge Filters

FEaTuRE aDVaNTaGE bENEFITHydrophobic UPE membrane wets spontaneously in solvents

• No prewetting or flush-up required for rapid start-up

• Eliminates IPA and the risk of chemistry interactions

• Lower operational cost and increased tool uptime through fast filter installation and qualification

High retention efficiency and superior downstream cleanliness

• Low particle counts and metal contamination in bulk chemical production and chemical delivery systems

• Cleaner chemicals protect processes and end-user products. Reduced need for exchange of downstream filters.

Sponge-like structure of patented UPE membrane

• Excellent retention of microbubbles and gels

• Reduction of defects, yield improvement

Large filtration area • Long filter lifetime and low pressure drop

• Improved COO due to reduced need for maintenance

performance

frequently asked questions

UPE vs. PTFE Membrane Particle Count Comparison

Pleated 0.05 µm PTFE filter

UPE 0.1 µm filter

10,000

1,000

100

10

12 3 4 5 6 87 9 10 11 12 13 1514 16 17 18 19 2120

Dispense Number

Part

icle

s/w

afer

>0.

15 µ

m

V2 20 nm V2 10 nm V2 5 nmAsymmetric

Mini 10 nmAsymmetric

Filter Type

Tota

l Def

ects

First runConfirmation run

Total Defectivity Comparison by Filter

Microgard Plus LE 10” Asymmetric UPE

1.4

1.2

1.0

0.8

0.6

0.4

0.2

0

18

16

14

12

10

8

6

4

2

0

5 nm

0

0 1 2 3 4 5

5 10 15 20Typical Flow Rate (L/min) – 1 cP @ 20°C (68°F)

GPM

Diff

eren

tial P

ress

ure

(bar

)

Diff

eren

tial P

ress

ure

(PSI

D)

10 nm

Microgard Plus 10” Filters

1.4

1.2

1.0

0.8

0.6

0.4

0.2

0

18

16

14

12

10

8

6

4

2

00

0 1 2 3 4 5 6 7

5 10 15 20 3025Typical Flow Rate (L/min) – 1 cP @ 20°C (68°F)

GPM

Diff

eren

tial P

ress

ure

(bar

)

Diff

eren

tial P

ress

ure

(PSI

D)

10 nm 20 nm

30 nm

0.05 nm

0.1 nm

0.2 nm

Why does the Microgard Plus have better flow characteristics than other filters?Entegris’ surface modification technology enhances the membrane to create less pressure drop and greater surface area.

Why does Microgard Plus hold back gels more effectively than PTFE filters?The string and node structure of PTFE allows gels to pass through easily. Furthermore, pressure pushes gels through PTFE membranes. The sponge-like structure of UPE prevents gels from passing through the membrane and additionally has more loading capacity.

Page 9: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

EL GrA

DE CH

EMICA

L MA

NU

FACTU

rING

15ENTEGRIS , INC . « » bulk ChEmICal maNaGEmENT « » 14 «» bulk ChEmICal maNaGEmENT « » ENTEGRIS , INC .

For applications where a package greater than 200 liters is required. The inner wetted material is identical to the 200 Liter HDPE Trilayer drum.

• UN tested and approved, and in compliance with DOT for packaging Group II and III chemicals (100 kPa rated)

• Accommodates Sentry QCII and QCIII quick connect systems

• Package includes three ports

• High-purity package

• Fork pocket opening on all four sides

Optimized for: wet etch and clean – HF, H2O2, H2SO4, H3PO4, CMP – Colloidal silica, KOH-based slurry

FluoroPure® 1000 liter Intermediate bulk Container (IbC)

FEaTuRE aDVaNTaGE bENEFITSame wetted material as FluoroPure Trilayer 200 liter (55 gallon) HDPE drums

• Relieves user from testing qualification

• Saves both time and money • Faster startup time

Three ports: 1. POU drum insert2. Return drum insert 3. Burst disk

• Dispensing, recirculation and safe shipping of chemical via separate ports

• More flexibility for facility setup

UN tested and approved, and in compliance with DOT for packaging Group II and III chemicals (100 kPa rated)

• Complete package • Legal permission for

worldwide use

• No extra testing • Suitable for worldwide application

and transportation

UN tested and approved with Sentry QCII and QCIII quick connect systems

• Single source supplier for chemical bulk delivery system

• Complete package• No extra testing; ready for use

All-plastic design (HDPE material) • Reusable application • Decreases handling cost per gallon of delivered chemical

performance• Expected cleanliness levels

— Trilayer particles (counted in DI water)

15 particles/mL @ 0.2 6 particles/mL @ 0.3 1 particle/mL @ 0.5

— Typical metals: Less than 170 ppt total metals

• Package is approved for safe operation at 100 kPa (not approved for pressure dispense)

• The burst disk ensures operator safety — Gauge pressure up to 100 kPa

0.2 µm 0.3 µm 0.5 µm

Num

ber o

f Par

ticle

s/m

L

Particle Size

Trilayer IBC

Advantage Trilayer

Particle Testing (Counting in DI Water)35

30

25

20

15

10

5

0

frequently asked questions

What makes our solution unique?The clean Entegris Trilayer inner materials.

Will the FluoroPure 1000 liter IBC also have the same inner liner as the 200 liter version?Yes, the 1000 and 200 liter packages both utilize the inner liner material.

Can I connect the Sentry QCII and QCIII quick connect systems?Yes, both systems are connectable.

Page 10: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

EL GrA

DE CH

EMICA

L MA

NU

FACTU

rING

17ENTEGRIS , INC . « » bulk ChEmICal maNaGEmENT « » 16 «» bulk ChEmICal maNaGEmENT « » ENTEGRIS , INC .

UN tested and approved for all Entegris Sentry quick connect systems. High purity option for transporting and distributing chemicals.

• UN tested and approved, and in compliance with DOT for packaging Group II and III chemicals

• Tall chime protects drum insert during transportation

• Corrosion-free performance

• Compatible with our Sentry QCII and QCIII quick connect system

Optimized for wet etch and clean application and chemical mechanical planarization.

FluoroPure® 55 Gallon (200 liter) advantage Trilayer and Trilayer hDPE Drum

FEaTuRE aDVaNTaGE bENEFITWetted inner liner of the purest virgin HDPE

• Ensures chemical integrity and cleanliness

• Minimize metallic, organic and particle contamination

UN tested and approved, and in compliance with DOT for packaging Group II and III chemicals (100 kPa rated)

• Legal permission for worldwide use

• No extra testing • Suitable for worldwide applications

and transportation

UN tested and approved with Sentry QCII and QCIII quick connect systems

• Single source supplier for chemical bulk delivery system

• Complete package• No additional testing; ready for use

Molded-in chime • Protection for drum insert and closure during transportation

• No spending for new DIP tube (compared to competition)

Reusable package • Reduced disposal costs • Package cost is rapidly amortized over several round-trips

Unique sump design • Maximum retrievability • Minimizes residual chemical

performance

Drop test results for HDPE Trilayer Drum:

Drum Drop Height Failure rate

Entegris drum 2.0 meters 0% (0 of 6)

Competitor Japanese drum

1.9 meters 50% (3 of 6)

Competitor US drum

1.9 meters 67% (4 of 6)

frequently asked questions

Can I connect the Sentry QCII and QCIII quick connect systems?Yes, both systems are connectable.

What design features improve the strength and closure protection?The single-piece design with molded-in chimes increases strength and closure protection. See the performance test results provided above.

When do I use Trilayer or Advantage Trilayer drum?Please contact the factory with your media to discuss which drum would perform better for your specific application.

Page 11: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

EL GrA

DE CH

EMICA

L MA

NU

FACTU

rING

AN

D EN

D-U

SEr FACILITIES

19ENTEGRIS , INC . « » bulk ChEmICal maNaGEmENT « » 18 «» bulk ChEmICal maNaGEmENT « » ENTEGRIS , INC .

Key-coded Sentry QCIII Quick Connect System with recirculation option is the safest method of connecting FluoroPure chemical containers into your distribution equipment.

• Recirculation option (3⁄4’’ connection)

• Key-coded connection system to prevent misconnects

• 2 check valves (in fill and dispense port)

• Dispense head: wetted parts include PFA, FEP and Kalrez® 4001 materials

• Drum insert: wetted parts are PFA or poly - ethylene with FEP encapsulated o-rings

Optimized for wet etch and clean applications: HF, H2O2, H2SO4, H3PO4, HNO3, MAE and chemical mechanical planarization.

Sentry™ QCIII Quick Connect System

FEaTuRE aDVaNTaGE bENEFITKey-coded system (same key code system as for QCII)

• Safety for maintenance personnel• Insurance in maintaining correct

chemical dispense to the POU

• Cost-savings due to fewer changing operations for inserts (reduced maintenance)

4-port option:

Recirculation and dispense in one connection

• Minimization of operator errors • Lower labor costs with fewer connections and easier handling (self-alignment)

• Avoid damaging slurry performance

Cv 5.0 vs. 3.3 for Sentry QCII system

• Higher flow rate • Longer pump life due to less pump stress

O-ring free main dispense seal at drum insert

• No maintenance / replacement necessary

• Increase tool up time• Cost-savings due to no

maintenance / replacement

performance• 4-port includes recirculation diffuser

• Drum insert has no o-ring vs. three o-rings on Sentry QCII and one o-ring on shipping cap

• Improved assembly features — Dual engagement thread creates easy assembly by operators in chemical room

— Requires less “push down” force by operator

— Connection can be made without any visual alignment

frequently asked questions

Are the connections exclusive to Flaretek® fittings?Yes, all three connections are Flaretek connections.

Can you use QCIII dispense head with QCII drum insert?No.

Dispense Port Water Flow vs. Pressure Drop

18.9 37.9 56.8 75.70

Pres

sure

Dro

p (k

Pa)

Pres

sure

Dro

p (P

SI)

Pressure drop flow out (PSI)

Pressure drop flow in (PSI)

20

15

10

5

0

137.9

103.4

68.9

34.5

00 5 10 15 20

Flow Rate (GPM)

Flow Rate (L/min)

Page 12: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

EL GrA

DE CH

EMICA

L MA

NU

FACTU

rING

AN

D EN

D-U

SEr FACILITIES

21ENTEGRIS , INC . « » bulk ChEmICal maNaGEmENT « » 20 «» bulk ChEmICal maNaGEmENT « » ENTEGRIS , INC .

Key-coded Sentry QCII Quick Connect System is the safest method of connecting FluoroPure chemical containers.

• Key-coded connection system prevents misconnects

• One connection provides dispensing, venting and level detection

• Check valve designed to seal off backflow when disconnecting the Sentry QCII system (safety)

• Dispense head: wetted parts include PFA, FEP and Kalrez 4079 materials

• Drum insert: wetted parts are PFA or poly- ethylene with FEP encapsulated o-rings

• Optional high-flow dispense head is available (includes 1⁄4 turn plug valve)

Optimized for wet etch and clean applications: HF, H2O2, H2SO4, H3PO4, HNO3, MAE and chemical mechanical planarization.

Sentry™ QCII Quick Connect System

performance• Approximately 700 different key codes for

different chemicals available — We can create a new key code for every chemical/blending/mixture

• Flow performance: — DHT has a Cv of 3.3 — Increased flow DH has a Cv of 5.3

• A wide range of accessories are available for QCII (e.g. covers, code checker, shipping plugs)

frequently asked questions

Are the connections exclusive to Flaretek fittings?Yes, all three connections are Flaretek connections.

Do I have to use other key codes for the increased flow DH?No, it is the same key code system as for DHT.

Increased flow DH has no check valve in – is it safe?By incorporating a PTFE plug valve, Entegris has successfully eliminated the chemical restriction while maintaining operator safety and increasing flow capacity.

Water Flow vs. Pressure Drop @ 3/4” port

130

25

20

15

10

5

0

207.0

172.5

138.0

103.5

69.0

34.5

0

0 1 2 3 4 5 6 7

Flow Rate (GPM)

Flow Rate (L/min)

Pres

sure

Dro

p (P

SI)

Pres

sure

Dro

p (k

Pa)

20 4 6 8 10 12 14 16 18 20

Dispense with poppet

Filling with poppet Dispense

without poppet

Filling without poppet

FEaTuRE aDVaNTaGE bENEFIT

Key-coded system (same key code system as for QCIII)

• Improved operator safety• Insurance in maintaining correct

chemical dispense to the P.O.U.

• Cost-savings due to fewer changing operations for inserts (reduced maintenance)

Increased flow dispense head with Cv 5.3 available

• High flow rate • Longer pump life due to less pump stress

Dispense head key code ring replacement available

• Replace only key code ring instead of complete dispense head

• Lower replacement costs

Different o-ring material options (FEP encapsulated silicone, FEP encapsulated Viton and FEP encapsulated EPDM)

• Compatibility for all chemicals • O-ring core material can be selected to match media compatibility for longer service

Page 13: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

EL GrA

DE CH

EMICA

L MA

NU

FACTU

rING

AN

D EN

D-U

SEr FACILITIES

23ENTEGRIS , INC . « » bulk ChEmICal maNaGEmENT « » 22 «» bulk ChEmICal maNaGEmENT « » ENTEGRIS , INC .

The Chemlock housing offers a space- and time-saving solution like no other cartridge filter housing available today. It is available in different sizes and a large variety of fitting configurations.

• Small footprint

• Easy and fast filter changeout

• Improved safety and handling

• Lower cost of ownership

• Available in PFA and PP

Optimized for bulk chemical distribution systems, wet etch and clean applications: HFF, H2O2, H2SO4, H3PO4, HNO3 etc., chemical mechanical planarization and electronic grade chemical manufacturing.

Chemlock® housing PFa / PP

installation*

frequently asked questions

Can I use any standard 10“ filter in this housing?Yes, standard filters without Chemlock-key will fit, but they have to be inserted the traditional way in the housing head.

Why does Entegris recommend using a housing wrench and torque wrench?This ensures proper installation of the filter and avoids leakages.

Can I upgrade the Chemgard bowl to Chemlock?Yes, the Chemlock bowl is compatible with full benefits.

Competitor Housing Chemlock Housing

1. Insert cartridge into bowl.

2. Twist filter 1⁄4-turn to lock.

3. Attach head to bowl.

4. Tighten locking ring to easily seat double o-rings. Use a Chemlock/Chemgard™ integrated housing wrench to ensure proper tightening.

Chemlock housing saves more than 210 mm (8.3”) for 10” cartridge; 457.2 mm (18”) for 20” cartridge

IMPORTANT: To ensure a leak-free seal, it is indispensable to follow the instructions from the Chemlock installation manual. To view an electronic file of this manual, go to www.entegris.com

FEaTuRE aDVaNTaGE bENEFITSmaller footprint, “minimal-clearance”

Cartridge/bowl design

• Requires less space for cartridge changeout

• Lower cost of ownership due to space-savings

Simple ¼ turn twist to lock cartridge into the bowl

• Cartridge can be inserted outside of the cabinet

• Reduced downtime due to easy access to filter and secured cartridge insertion

Compact head design with molded-on fittings

• Minimized footprint• Ensures compatibility for most

filter applications

• Lower cost of ownership, no need for extra fittings and connections

Easy cartridge changeout design • Provides virtual hands-free cartridge changeout for maximum safety and cleanliness

• Allows for quick installation and reduced exposure to chemicals and vapors

Page 14: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

EL GrA

DE CH

EMICA

L MA

NU

FACTU

rING

AN

D EN

D-U

SEr FACILITIES

25ENTEGRIS , INC . « » bulk ChEmICal maNaGEmENT « » 24 «» bulk ChEmICal maNaGEmENT « » ENTEGRIS , INC .

QuickChange ATM and ATE filters are prewet and nondewetting ultraclean filters that enable rapid nanoparticle removal at low pressure drop and high flow rates.

• Enabling of rapid filter changeout due to prewet membrane.

• No loss of membrane surface in outgassing chemicals due to patented nondewetting technology

• Retention of nanoparticles while maintaining high flow

• Low metal extractables ensure cleanliness of chemicals

• High membrane-surface area enables low pressure drop and long lifetime

Optimized for aqueous aggressive acids and bases, outgassing chemicals like SC1, SC2, SPM and piranha and high-temperature applications.

QuickChange® aTm and aTE Filters

performance• QuickChange nondewetting filters

do not dewet

• Entegris’ nondewetting filter membrane delivers yield enabling performance by providing consistent retention and flow properties

frequently asked questions

What is the shelf life of a QuickChange filter?QuickChange filters are autoclaved to prevent microbiological contamination. Entegris warranties unlimited shelf life for QuickChange.

Why are QuickChange filters higher in price than other Teflon filters?QuickChange is an extremely clean filter, and its prewetting and nondewetting technology requires high manufacturing input. All advantages as shown above easily pay off over time and help our customers save money in the long run.

3.25

3.00

2.75

2.50

2.25

2.00

1.75

1.50

Average Pressure Drop in SC2 at 80°C (Due to Drain and Refill Cycles of New Membranes with

and without QuickChange Modification)

Drain Number

kPa/

(L/m

in)

QuickChange does not dewet

Hydrophobic PTFE dewets

QC ATM 30 nm QC ATM 50 nm ATM 50 nm hydrophobic

Drain 1

Initial Drain 2

Drain 3

Drain 4

Drain 5

Drain 6

Drain 7

FEaTuRE aDVaNTaGE bENEFIT

Prewet and nondewetting PTFE membrane

• Eliminates prewetting and flushing cycles

• High-purity, ultraclean, ready-to-use filter

• Consistent flow performance in outgassing chemistries

• Higher yields and longer life through consistent filter performance

• Increased tool time and decreased COO through longer filter life

• Decreased COO through reduced work/chemical consumption

High membrane surface area • ATM and ATE designs add 100% and 145% of surface area respectively to standard 10“ elements

• Lower flow resistance and higher flow• Extended lifetime

• Reduced overall maintenance• Lower COO and increased OEE

through extended filter lifetime

High retention • Smaller particle removal, 30 nm • Cleaner device, higher yield needed for advanced technology nodes

Low metal extractables • Reduction in qualification time, ensures ultraclean processing, low risk of metallic contamination of wafers

• Higher yield and wafer throughput

Page 15: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

EL GrA

DE CH

EMICA

L MA

NU

FACTU

rING

AN

D EN

D-U

SEr FACILITIES

27ENTEGRIS , INC . « » bulk ChEmICal maNaGEmENT « » 26 «» bulk ChEmICal maNaGEmENT « » ENTEGRIS , INC .

Hydrophilic dual-capture membrane for superior particle removal. Intercept is one of the most advanced UPE liquid microcontamination platforms available.

• Hydrophilic membrane eliminates need for prewetting and minimizes formation of microbubbles

• Even with a 0.2 μm membrane, particle retention down to 0.03 μm (pH <2.5 and no surfactant) is enhanced, while maintaining high flow rate

• Long filter lifetime

• Extremely clean filter, low metal extractables

Optimized for DHF, HF, BOE solutions in chemical delivery systems, batch baths and single wafer tools.

Intercept® Filters

performance• Intercept removes particles in typical DHF

applications by an additional mechanism to sieving: physisorption

• Particle retention below rated pore size is achieved, enhancing process capability

frequently asked questions

How does this dual-capture mechanism work?Sieving (i.e., size exclusion) is the most common capture mechanism. Utilizing patented surface modification technology (pH <2.5), the Intercept UPE membrane’s physisorption (so-called “van der Waals forces”) become active with small particles adhering the membrane surface. This is how a 0.2 μm retention rating filter achieves a 0.03 μm retention rating performance.

FEaTuRE aDVaNTaGE bENEFIT

Advanced membrane technology • Dual particle capture can improve process performance and reduce on-wafer particle defects

• Higher yield and throughput through efficient nanoparticle removal

Hydrophilic membrane • No prewetting or flush-up required for rapid start-up

• Eliminates IPA and the risk of chemistry interactions

• Increased tool up-time through fast filter installation/qualification

Universal filter design • One filter fits many applications • All-polyethylene cartridge provides

clean drop-in replacement for existing filters

• Fast ROI through easy implementation

Pore

Pore

by Sieving

by Impaction

by Cake

Sieving - size exclusion

Interception - particle capture by van der Waals force and impaction

Intercept metal Extractables Sample Data

Filter: Intercept

Membrane: Hydrophilic UPE

Material: PE

Chemical: 10% HCl

Metal µg/unit (ppb)

Na 4.9

Mg 1.5

Al 7.7

K 2.9

Ca 8.0

Ti 0.4

Cr 0.5

Mn 0.0

Fe 5.7

Ni 0.2

Cu 0.1

Zn 1.8

Pb 0.1

Total 33.8

Page 16: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

END

-uSER Fa

CIlITIES

29ENTEGRIS , INC . « » bulk ChEmICal maNaGEmENT « » 28 «» bulk ChEmICal maNaGEmENT « » ENTEGRIS , INC .

Broad range of tubing and pipe made from 100% virgin high-purity PFA material for highly corrosive, ultrapure applications.

• Tube flaring process provides leak-free connections and offers design flexibility

• PureBond weld process is ideal when permanent connections are required

• Non-intrusive, non-contaminating and permanent serialized laser-marking allows immediate material identification

• Standard wall tubing is available in 1⁄4’’, 3⁄8’’, 1⁄2’’, 3⁄4’’, 1’’, 11⁄4’’, 11⁄2’’sizes

• Pipe is available in 1⁄4’’, 1⁄2’’, 3⁄4’’, 1’’, 2’’ sizes

• Pipe and tubing are available in different PFA grades

Optimized for bulk distribution, valve manifold boxes mainline, wet etch and clean applications: HF, H2O2, H2SO4, H3PO4, HNO3, MAE and chemical mechanical planarization.

Tubing and Pipe

performance

frequently asked questions

Can I use the standard 4200 material with surfactant?4200 should not be used with fluorinated surfactants.

Are all tubings available as coiled tubing?Yes, the standard straight wall tubing can be coiled customized.

Is a convoluted tubing available?Yes, available in ½”, ¾” and 1” sizes.

How can I identify my installed tubing? Laser marking identifies the size, and traceability to a material lot number and production inspection record (except 0.030’’ wall).

FEaTuRE aDVaNTaGE bENEFIT

PFA material of construction • Broad chemical compatibility• Long product life

• Lower cost of ownership through increased productivity and throughput

Long-term performance testing at various media temperatures

• Products can be used with confidence at maximum continuous rating

• Added safety• Lower cost of ownership through

increased manufacturing uptime

Laser marked tubing • Sure method of traceability • Accurate and easy identification in critical situations

Available in different PFA grades: 4200, 4300 and 4400 (HP plus)

• Customizable in different material quality requirements

• Exact grade of PFA can be selected to best fit the application

• Increased product performance and longer product life

Pressure vs. Temperature

300

250

200

150

100

50

0

1724

1379

1034

690

345

0

37.80

50 100 150 200 250 300 350 400

65.5 93.3 121.1 148.9 176.7 204.4Fluid Temperature (°C)

Fluid Temperature (°F)

Pres

sure

(PSI

G)

AT250-047

AT375-062

*1” and 11/4” ratings vary slightly. Please consult the factory for specific rating information.

AT500-062

AT1000-062,AT1250-075*

AT750-062

Page 17: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

END

-uSER Fa

CIlITIES

31ENTEGRIS , INC . « » bulk ChEmICal maNaGEmENT « » 30

Entegris has the broadest range of PFA fitting configurations and sizes available for Flaretek, FlareLock®II and PureBond.®

• Most complete line of flare and pipe fitting connection applications

• Flare fittings provide leak-free performance in demanding applications

• PureBond weldable pipe fittings provide a rigid, permanent, leak-free piping system

• Broad range of sizes and configurations: flare 1⁄4’’ – 11⁄4’’, PureBond 1⁄8’’ – 2” elbows, unions, reducers, caps, tees, crosses and adapters

Optimized for bulk distribution, valve manifold boxes mainline, wet etch and clean applications: HF, H2O2, H2SO4, H3PO4, HNO3, MAE and chemical mechanical planarization.

Tube and Pipe Fittings

FEaTuRE aDVaNTaGE bENEFITPFA wetted surfaces • Provides high purity and

chemical resistance • Long product life

• Lower cost of ownership through increased productivity and throughput

Largest installed customer base of PFA fittings

• Global manufacturing and support• Assured reliability and performance

• High service levels in all regions • Lower cost of ownership through

increased manufacturing uptime

Available in a broad range of sizes and configurations

• Single source supplier for all high-purity, corrosive chemical connection needs

• Suitable for a wide variety of FPD applications

• Reduces number of suppliers• Lower design cost

performance Flow Pattern Comparison Standard vs. Sweep Elbow at 5 GPm

The Computational Fluid Dynamics software analysis shows a 56% increase in flow and 60% lower pressure drop through the same size fitting at 5 GPM. Eliminating the sharp corner also reduces the shear rate of slurries.

maximum Pressure Capabilities @ Room Temperature — Values in PSIG (kPa)

Flarelock II Size PVDF Nut PFa Nut CPFa Nut PFa Nut1⁄4” 225 (1551) 225 (1551) 225 (1551) 225 (1551)3⁄8” 225 (1551) 225 (1551) 225 (1551 225 (1551)1⁄2” 190 (1310 190 (1310) 190 (1310) 190 (1310)3⁄4” 110 (758) 110 (758) 110 (758) 140 (965)

1” 75 (514) 75 (514) 75 (514) 90 (620)

11⁄4” 80 (552) — — N/A

frequently asked questions

What is Flaretek?The flaring process provides a permanent expansion (flare) of the tubing end, allowing insertion of the Flaretek fitting body.

What are the tools required to make a proper Flaretek connection?A heating source (air gun), mandrel and tube cutter.

What are the requirements to make a PureBond connection?A 1‘‘ or 2‘‘ Entegris PureBond tool.

What does a X at the end of a part number mean (e.g. E8-8FN-1X)?X means fitting body is made of PFA HP Plus and it is recommended for chemicals containing fluorinated surfactants.

When is FlareLock II recommended? For elevated temperatures >100°C or higher pressure (see chart) and before/after pumps (pulsation / vibration).

Page 18: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

END

-uSER Fa

CIlITIES

33ENTEGRIS , INC . « » bulk ChEmICal maNaGEmENT « » 32

reliable field-repairable 1⁄2’’ orifice Integra valves with many configuration options (manual, multiturn, pneumatic, 2-way, 3-way, high-pressure).

• Available in options compliant to SEMI® standard

• No external metal parts

• All wetted parts are molded PFA

• Unique top loading design allows for field repair

• Piston shaft is encapsulated in PFA diaphragm

• Unique body design allows for 100% cavity drainage

Optimized for bulk distribution, valve manifold boxes mainline, wet etch and clean applications: HF, H2O2, H2SO4, H3PO4, HNO3, MAE and chemical mechanical planarization.

Integra® 1⁄2” Orifice Valve

FEaTuRE aDVaNTaGE bENEFITPiston shaft is encapsulated in the molded PFA diaphragm

• No metal contact with media • No contamination – high purity

Available with electronic leak detection and position indication sensors

• Capability to detect media and to monitor valve position (open or closed)

• Advanced process control

Top loading design • Allows field repair • Lower cost of ownership

Available with ECTFE cap • More robust material for base applications

• No discoloration when using ammonia

1⁄2” Integra Pneumatic Valve Cutaway View

performance• Pressure range of 29” Hg to 80 PSIG (5.5 bar)

• 0 to 120 PSIG (8.3 bar) for high pressure valve

• Ambient temperature rating from room temperature to 65°C (150°F)

• Media temperature ratings start at room temperature and vary depending on the style

• Most Cv ratings are 2 to 3

frequently asked questions

Is the maximum inlet pressure same as the maximum outlet pressure?Outlet pressure is reduced due to valve and diaphragm design.

Is valve repair possible? Do I need tools?Yes, repair is possible. A repair kit and repair tools are available.

Is there a 1⁄2‘‘ orifice sampling (T) valve available?Yes, manually and pneumatically normally closed MA8-3X-xx.

Is there a 1/2‘‘ orifice 3-way valve available?Yes, manually and pneumatically normally closed D8-3X-xx.

Is the 3-way valve available with different inlet/outlet configurations? Yes - the D8 series is available with inlet/outlet ports opposite of a standard valve.

Page 19: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

END

-uSER Fa

CIlITIES

35ENTEGRIS , INC . « » bulk ChEmICal maNaGEmENT « » 34

New 3⁄4’’ design (manual and pneumatic designs) for higher flow and small footprint.

• Increasing flow capacity

• Reducing pressure drop

• No external metal parts

• Minimizing turbulence

• Saving valuable space

• High temperature version available (180°C/30 PSIG)

Optimized for bulk distribution, valve manifold boxes mainline, wet etch and clean applications: HF, H2O2, H2SO4, H3PO4, HNO3, MAE and chemical mechanical planarization.

Integra® 3⁄4” Orifice Valve

FEaTuRE aDVaNTaGE bENEFITUnique internal radius • High flow with small footprint • Lower cost of ownership due to

space-savings

Top loading design • Allows field repair • Lower cost of ownership

Available with electronic leak detection and position indication sensors

• Capability to detect media and to monitor valve position (open or closed)

• Advanced process control

Manual valve safety lockout option • Helps protect users and equipment • Decreased risk of chemical exposure during equipment maintenance

performance• Pressure range of 29” Hg to 80 PSIG (5.5 bar)

• Media temperature rating

— up to 165°C @ standard valve

— up to 180°C @ high temp valve

• Ambient temperature rating from 23°– 32°C (73°– 90°F)

• Cv ratings from 7.0 to 8.5

• Manual valve safety lockout option

Increases Flow Capacity, Decreases Pressure Drop, Reduces Shear

frequently asked questions

Is there a higher-temperature version?Yes, in a 2-way and a sampling configuration.

Is there a 3⁄4‘‘ orifice sampling (T) valve available?Yes, in the DH high temperature configuration

What is meant by “internal radius“? Technology used from the sweep elbow design to avoid sharp corners.

Is the valve recommended for slurry application? Yes, due to the internal radius – it prevents shearing.

Internal radius

Page 20: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

END

-uSER Fa

CIlITIES

37ENTEGRIS , INC . « » bulk ChEmICal maNaGEmENT « » 36

1’’ for bulk chemical distribution where high flow is required (manual, pneumatic, sampling designs).

• Ideal for high flow application

• No external metal parts

• Unique below design

• Available up to 11⁄4’’ Flaretek connection

Optimized for bulk distribution, valve manifold boxes mainline, wet etch and clean applications: HF, H2O2, H2SO4, H3PO4, HNO3, MAE and chemical mechanical planarization.

Integra® 1” Orifice Valve

FEaTuRE aDVaNTaGE bENEFITBellows design • Increased flow rates • Lower cost of ownership due

to space-savings

Top loading design • Allows field repair • Lower cost of ownership

Actuator body / outer cap • More robust valve design • Longer lifetime in high-flow applications

performance• Pressure range of 29” Hg to 80 PSIG (5.5 bar)

— 40 PSIG (2.8 bar) @ 93°C (200°F)

• Ambient temperature rating from 23°– 38°C (73°–100°F)

• Media temperature rating from 23°–93°C (73°–200°F)

• Cv ratings from 9 to 13.6

frequently asked questions

Is there a 1‘‘ orifice sampling (T) valve available?Yes, in both manual and pneumatic designs.

Could slurry particles agglomerate in the bellows?No, due to “no touch” pleat design.

Is there a 3-way valve available? No.

Is valve repair possible? Do I need tools? Yes, repair is possible. A repair kit and repair tools are available.

• Unique bellows design – One piece – Optimum material – “No Touch” pleat design – Integral media seal

• Helps maintain media integrity

Page 21: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

END

-uSER Fa

CIlITIES

39ENTEGRIS , INC . « » bulk ChEmICal maNaGEmENT « » 38

Provide accurate and reliable inert pressure measurements. Measure gas or liquid pressure, allowing you to monitor process conditions for increased safety and system performance.

• Accuracy of 1% of full scale

• Nonmetallic sensing technology

• All wetted parts are constructed of PFA, PTFE , CTFE and Kalrez

• No moving parts

• No fill fluids

Optimized for bulk distribution, valve manifold boxes mainline, wet etch and clean applications: HF, H2O2, H2SO4, H3PO4, HNO3, MAE and chemical mechanical planarization.

NT® Pressure Transducers

FEaTuRE aDVaNTaGE bENEFITAll wetted parts are constructed of PTFE, sapphire and other high purity polymers for corrosion resistance

• Compatible with all semiconductor process chemistries

• Improves yield by increasing particle performance

Provides compatibility and easy integration with electronic displays and monitoring systems

• Enables tool interaction with pressure transducer and offers increased accuracy in pressure measurements

• Increases overall equipment efficiency

Industry standard Flaretek flared port connections

• Compact design enables easy installation

• Improves system design flexibility

No moving parts or fill fluids • Reduces contamination potential • Increases tool uptime

frequently asked questionsWhat is the PFA vs CTFE sensor interface when not using sapphire technology?• CTFE is generally used for aqueous solutions,

exceptions apply• PFA is generally used for solvents, exceptions apply• In temperatures above 50°C, PFA performs better

Where can I use NT pressure transducers?• System diagnostics• System pressure monitoring for tool queuing• Pressure at distribution modules, valve manifold

boxes and point-of-use• Differential pressure in filtration systems

Entegris chemical compatibility chart for Sensing and Control products*

Chemical Type Chemical

WETTED PaRTS NONWETTED PaRTS

CTFE**

PFa** PTFEkalrez® 4079

kalrez® 1050

kalrez® 6375uP

PVDF

PP

PE

Acids Acetic A/B B A A C A B B B

HFN A B A A/B B/C A/B B C B

Hydrochloric A B A A A A A B B

Hydrofluoric A C A A B A B B B

Nitric A B A A/B B/C A B C B

Phosphoric A A A A A A A B B

Sulfuric A A A A A A B C B

Bases Ammonium Fluoride A A A B A/B A A B B

Ammonium Hydroxide A B A B A/B A A A B

Potassium Hydroxide A A A A A A C B B

Oxidants Hydrogen Peroxide A A A A A A A B B

Dissolved Ozone A A A B B A/B A C C

Dissolved Chlorine B A A B B/C B A C B

Organic solvents Acetone B A A A A A C B B

n-Butyl Acetate B A A A A A B C C

Ethylene Glycol A A A A A A B A B

Isopropyl Alcohol A A A A A A A A B

Methanol A A A A A A A A B

Methyl Ethyl Ketone C A A A A A C B C

n-methyl pyrrolidone B A A A A A C B B

Tetra Methyl Ammonium Hydroxide (TMAH)

A B A B A A D D D

Organic solvents Acetates B A A A A A B B C

Categories Alcohols A A A A A A A A B

Amines B A A C A A C B C

Hydrocarbones, Aromatic

B A A A A A B C C

Hydrocarbons, Alkane A/B A A A A A B C B

Ketones B A A A A A B B C

High Temperature > 122°F (50°C)

B A A A/B A A A B A

* The compatibility chart is compiled from information published by: Entegris, DuPont Dow Elastomers, Welch Fluorocarbon, Little Giant Pump Company, the PDL Handbook and Compass Corrosion Guide.

** The suitability of CTFE and PFA is based on both chemical resistance and permeability.

Entegris neither represents nor warrants the accuracy or sufficiency of the information set forth in this chart for specific end-user applications. Ultimate responsibility for material selection remains with the end user. Nothing in this chart constitutes a change to the terms and conditions under which the Entegris product was sold.

A: Preferred, suitable for all high-purity applications

B: Acceptable, suitable for nonwetted parts in most applications. May not be suitable for wetted parts in high-purity applications

C: Not recommended for wetted parts in high-purity applications. May be suitable for nonwetted parts in some applications

D: Information not available

Page 22: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

END

-uSER Fa

CIlITIES

41ENTEGRIS , INC . « » bulk ChEmICal maNaGEmENT « » 40

Day tanks are a customized solution for non-transportable vessels from 50 L up to 1,900 L.

• Expertise in the design, development and manufacture of process tanks, mixing or storage vessels and shipping containers

• Custom and semi-custom vessels rotationally molded out of a variety of materials, including PFA and polyethylene

• Size capabilities range from 20 L to 1,900 L with a wall thickness from 1⁄16’” to 1⁄4’’

• Low volume runs are economical due to lower tooling costs compared to blowmolded or injection molded products

Optimized for wet etch and clean applications: HF, H2O2, H2SO4, H3PO4, HNO3, MAE and chemical mechanical planarization.

FEaTuRE aDVaNTaGE bENEFITOverpack options for PE/PFA:

– Ability of welding connections

– Sight windows

– Valve boxes

– Connection supports

– Stands (stainless steel, epoxy-coated carbon steel, PVC)

• Customized day tank along with customer requirements

• Flaretek connection possible• Level observation

• Reduces contamination risk

Overpack options for PE/PE:

– All connection NPT (no welding)

– Sight windows

– Valve boxes

– Connection supports

– Stands (stainless steel, epoxy-coated carbon steel, PVC)

• Customized day tank along with customer requirements

• Reduces contamination risk

FluoroPure® Day Tanks

performance

frequently asked questions

Could I have a drain in a PE/PFA day tank?Yes, a drain port can be molded and connections bonded.

Could I have a drain in a PE/PE day tank?No, a drain port is not available because of the non-bondable material.

What is the largest available volume?The largest volume for the day tank is 500 gallons.

Are different vessel volumes available?Yes, from 50 liters up to 500 gallons.

Part Number liter Gallon Diameter height

RM500T 500 132 1168 mm (46”) 1245 mm (49”)

RM10052 567 150 914 mm (36”) 940 mm (37”)

RM10022 719 190 813 mm (32”) 1499 mm (59”)

RM800T 800 211 1168 mm (46”) 1600 mm (63”)

RM1200T 1200 317 1168 mm (46”) 2108 mm (83”)

RM10087 1893 500 1219 mm (48”) 1930 mm (76”)

Page 23: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

END

-uSER Fa

CIlITIES

43ENTEGRIS , INC . « » bulk ChEmICal maNaGEmENT « » 42

Customized fluid control solution where a small footprint valve-function is needed with fewer potential leaking points – tailored to specific needs.

• Enables you to replace or change a single valve as needed

• FlareMount™ sealing technology provides a robust, leak-free seal

• Available with a dual FlareMount interface

• Manifold blocks are available in PFA or proprietary HyQ® PTFE

• Small footprint saves valuable equipment space

Optimized for wet etch and clean applications: HF, H2O2, H2SO4, H3PO4, HNO3, MAE and chemical mechanical planarization.

Dymension® Valve manifold

FEaTuRE aDVaNTaGE bENEFITManifold block – simplifies design – Single component – One part number

• Less space needed• Eliminates tubing and fitting

connections• Allows complex flow path

and control

• Reduces overall fluid delivery system cost - Less space - Reduced maintenance - Fewer potential leak points

Unique FlareMount technology - Replaceable valves - Interchangeable valves

• Eliminates gasket or o-rings• Increases serviceability • Enables simple field adjustments

• Lower cost of ownership

Manufacturing process via quick-turn capability

• Fast prototyping within 10 working days

• Short lead times• Reduces process start-up time

performance

frequently asked questionsWhat do I need for quoting?You will need a sketch / drawing where the specific function is described.

What is the lead time on such customized products?The lead time for a new prototype is 10 working days for up to 10 pieces.

Why does a manifold reduce overall fluid delivery system cost? Manifolds require less space and have reduced main-tenance. Manifolds also have fewer connections which

eliminates tubing and fitting connection, and allows complex flow pass and control in a single component.

How can I manage the mixing analysis? Entegris can perform computational analysis.

Are other types of manifolds available? Yes, there are Dymension full rinse, 3⁄8‘‘ and 1⁄2‘‘ stack valve, 1⁄2‘‘ custom Integra.

manifold Examplesmixing manifold Solution

• Optional integrated-mixing element: — Effective inline blending — Creates homogeneous chemical mix downstream of manifold — Mixer designs available for multiple flow requirements

• Computational fluid dynamics:

— Mixing analysis — Flow velocity — Flushing performance

Page 24: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

Entegris®, Mykrolis®, Chemlock®, Chemgard™, Dymension®, FlareLock®, FlareMount™, Flaretek®, Fluorogard®, FluoroPure®, HyQ®, Integra®, Intercept®, LiquidLens™, Microgard™, NT®, ProcessGard®, PureBond®, QuickChange® and Sentry™ are trademarks of Entegris, Inc.Kalrez® and Viton® are registered trademarks of DuPont Dow Elastomers, L.L.C. Teflon® is a registered trademark of E. I. du Pont de Nemours and Company. SEMI® is a registered trademark of Semiconductor Equipment and Materials International.

NORTh amERICa

massachusetts Entegris, Inc. Corporate Headquarters 129 Concord Road Billerica, MA 01821 USA Tel. +1 978-436-6500 Fax +1 978-436-6735

minnesotaEntegris, Inc. 101 Peavey Road Chaska, MN 55318 USA Tel. +1 952-556-3131 Fax +1 952-556-1880

Customer Service Tel. +1 952-556-4181 Tel. 800-394-4083 (toll-free within

North America)Fax +1 952-556-8022 Fax 800-763-5820 (toll-free within

North America)

EuROPE

FranceCustomer Service Center for Southern, Western and Northern Europe Entegris S.A.S. Parc Centr’ Alp Ouest 196 rue du Rocher de Lorzier 38430 Moirans France Tel. +33 (0) 4 76 35 73 50 Fax +33 (0) 4 76 35 73 80

GermanyCustomer Service Centers for Eastern and Central Europe Entegris GmbH Hugo-Junkers-ring 5 Gebaude 107 Industriegebiet Klotzsche 01109 Dresden Germany Tel. +49 (0) 351-79597-0 Fax +49 (0) 351-79597-499

IsraelEntegris Israel Limited Izmargad Street 12 Kiryat-Gat Israel Tel. +972 (0) 73 221 00 00 Fax +972 (0) 73 221 00 22

JaPaN

Nihon Entegris K.K. Regional Headquarters Mita-Kokusai Bldg. 4-28, 1-Chome Mita Minato-Ku Tokyo, Japan 108-0073 Tel. +81 3-5442-9718 Fax +81 3-5442-9738

Nihon Entegris K.K. Shin-Osaka Prime Tower Bldg. 1-1, Nishinakajima 6-Chome Yodogawa-Ku Osaka, Japan 532-0011 Tel. +81 6-6390-0594 Fax +81 6-6390-3110

Nihon Entegris K.K. Hakataekihigashi 113 Bldg. 13-9 Hakataekihigashi 1-Chome Hakata-Ku Fukuoka, Japan 812-0013 Tel. +81 92-471-8133 Fax +81 92-471-8134

aSIa/PaCIFIC

ChinaEntegris (Shanghai) Microelectronics Trading Co., Ltd. Unit 606-609, Tower 1 German Centre No. 88, Ke Yuan Road Zhangjiang Hi-Tech Park Shanghai 201203 P.R. of China Tel. +86 21 2898 6710 Fax +86 21 5080 5598

Entegris (Beijing) Microelectronics Trading Co., Ltd. Room 1105, Zhaolin Mansion No. 15 Ronghua Middle Road BDA, Beijing 100176 P.R. of China Tel. +86 10 5107 8379 Tel. +86 10 5107 8300 Fax +86 10 5107 8326

aSIa/PaCIFIC

koreaEntegris Korea, Ltd. 8F, Seongok B/D 4-1, Sunae-dong Bundang-gu, Seongnam-city Kyunggi-do, Korea 463-825 Tel. +82 31 738-5300 Fax +82 31 738-5301

malaysiaEntegris (Malaysia) Sdn Bhd Unit 14 & 15, Lower Level 5 (Executive Wing), Hotel Equatorial No. 1 Jalan Bukit Jambul 11900 Bayan Lepas, Penang MalaysiaTel. +60-4-427-4200Fax +60-4-641-3294

SingaporeEntegris Singapore Pte Ltd. 31 Kaki Bukit Road 3 Techlink, #06-08/11 Singapore 417818 Tel. +65 6745-2422 Fax +65 6745-4477

TaiwanEntegris Asia LLC, Taiwan Branch 14F, No. 120, Sec. 2, Gong Dao Wu Road Hsinchu City 30072 Taiwan R.O.C. Tel. +886-3-571-0178 Fax +886-3-572-9520

Entegris Asia LLC, Taiwan Branch 14F-6, No. 126, Yung-Fu Road Taichung 40762 Taiwan R.O.C. Tel. +886-4-2463-9939 Fax +886-4-2463-9025

Entegris Asia LLC, Taiwan Branch 3F, No. 322, Chung-Cheng Road Hsinshih Township Tainan County 74447 Taiwan R.O.C. Tel. +886-6-589-6008 Fax +886-6-501-3799

rEGION TELEPHONE FAXNorth America 800-394-4083 800-763-5820Germany +49 (0) 351 795 97-0 +49 (0) 351 795 97-499France +33 (0) 4 76 35 73 50 +33 (0) 4 76 35 73 80United Kingdom +33 476 357 354 +33 476 357 380Italy +33 476 357 352 +33 476 357 380Israel +972 (0) 73 221 00 00 +972 (0) 73 221 00 22Japan +81 3-5442-9718 +81 3-5442-9738Malaysia +60-4-427-4200 +60-4-641-3294Korea +82-31 738-5300 +82-31 738-5302Taiwan +886-3-571-0178 +886-3-572-9520Singapore +65 6745-2422 +65 6745-4477China +86 21 2898 6710 +86 21 5080 5598

Entegris regional customer service centers

Page 25: bulk chemical management...bare die trays, horizontal wafer shippers, chip trays and film frame shippers. photolithography Entegris’ broad product line enables lithography processing

ENTEGrIS, INC.

Corporate Headquarters129 Concord RoadBillerica, MA 01821 USATel. +1 978-436-6500Fax +1 978-436-6735

www.entegris.com

©2010 Entegris, Inc.All rights reserved.9000-5946ENT-0610