A Roadmap for Heterogeneous Integration in Electronics

49
ConFab 2015 Las Vegas, Nevada May 21, 2015 A Roadmap for Heterogeneous Integration in Electronics Presented by: W. R. Bottoms The Wynn, Las Vegas, Nevada

Transcript of A Roadmap for Heterogeneous Integration in Electronics

Page 1: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

A Roadmap for Heterogeneous Integration in Electronics

Presented by: W. R. Bottoms The Wynn, Las Vegas, Nevada

Page 2: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Why is Heterogeneous Integration Required?

Page 3: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Industry Needs Are Changing

Moore’s Law is reaching limits of the physics – Scaling can no longer support the pace of progress

Electronics Industry Drivers have changed – Mobile wireless devices, IoT and the Cloud are driving

Roadmap focus on CMOS scaling no longer meets industry needs

ITRS must continue to identify difficult challenges and focus R&D to find solutions before they become Roadblocks that stop progress

Page 4: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Industry Needs Are Changing

Moore’s Law is reaching limits of the physics – Scaling can no longer support the pace of progress

Electronics Industry Drivers have changed – Mobile wireless devices, IoT and the Cloud are driving

Roadmap focus on CMOS scaling no longer meets industry needs

ITRS must continue to identify difficult challenges and focus R&D to find solutions before they become Roadblocks that stop progress

Heterogeneous Integration provides a path for meeting these challenges before they move from “difficult challenges” to

“show stoppers”

Page 5: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Emerging Technology Drivers

There are 2 market driven trends forcing more fundamental change on the industry as they move into position as the new technology Drivers.

Rise of the Internet of Things Data, logic and applications moving to the Cloud

Over the next 15 years almost everything will change including the global network architecture and all the components incorporated in it or attached to it.

Page 6: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Rise of the Internet of Things

Page 7: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

The Internet of Everything Driven by Human Communication and Machines

The past 25 years of internet growth was fueled by human communications. The next 25 years will be fueled by machines- much of it by IoT

Page 8: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

New Connected Products Are Coming Even diapers will be connected

– 40M/day in the US alone

Many connected products will connect to and through Smart phones and tablets

Pacemaker in the heart With smart phone

Page 9: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

New Connected Products Are Coming Even diapers will be connected

– 40M/day in the US alone

Many connected products will connect to and through Smart phones and tablets

Pacemaker in the heart With smart phone

Page 10: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

What will we have in 15 years?

Source: https://sites.google.com/site/ism6021fall2011/telepresence‐is‐finally‐coming‐of‐age

3D color holographic telepresence

Page 11: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Migration to the Cloud for Data, logic and Applications

Page 12: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Data Traffic Drives Network Requirements Network Components Drive Packaging

Changes driving data traffic: Global IP traffic will pass 1.8 Zettabytes (1021) by 2018

– 84 times the 2005 number

Wireless traffic will exceed wired traffic by 2018 The number of mobile-connected devices reach twice the

number of people on earth by 2018 IoT growth will drive demand for bandwidth Data, Logic and Applications are migrating to the Cloud

Today packaging and interconnect are the limiting factors in cost,

performance and size.

Page 13: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Flat Network Topology

The Network Architecture Must Change Globally and Locally

Higher connectivity Flat Architecture Higher bandwidth per port Lower end-to-end latency Lower power Lower cost

Traditional Hierarchical Tree Topology

Photonics to the Board, package and even chip level may be required.

Page 14: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Flat Network Topology

The Network Architecture Must Change Globally and Locally

Higher connectivity Flat Architecture Higher bandwidth per port Lower end-to-end latency Lower power Lower cost

Traditional Hierarchical Tree Topology

Photonics to the Board, package and even chip level may be required.

All this is needed at no increase in total cost

and total Network power.

Power and cost/function need >104 improvement over the next 15 years.

This cannot be accomplished without Heterogeneous Integration

Page 15: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

SoC HI can address some issues but only a Revolution in Packaging can satisfy

these diverse Needs At the leading edge everything will change

including the global network and everything included in it and connected to it. This requires: Heterogeneous Integration

– New component design and simulation tools – New materials – New device designs and architectures – New package architectures – New network architectures – New manufacturing processes

Page 16: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Co-design and Simulation Tools for Heterogeneous Integration are critical

Tools for heterogeneous integration across boundaries of device, package, printed circuit board and product essential to migration to higher density (SoC, SiP, 2.5D, 3D, etc.) and time to market.

Electronics – Photonics – Plasmonics This enables:

Increased performance and bandwidth

Decreasing latency, power, size, cost

Reduced time to market

Page 17: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Modeling Of Electro-Optical Photonic Circuits

Better simulation and design tools integrated with SiP co-design and simulation tools for electronics, photonics and plasmonics will be essential to reduce cost and time to market.

We must move the prototype experiments from the fab to the computer if we are to meet cost

and time to market requirements

Page 18: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Major Challenges (1) Power

– Delivery in complex 3D packages – Integrity at low operating voltage

Latency – On the package, the Board, in the global network

and everything in between Bandwidth density

– At the die, in the package and on the board Cost

– Initial cost, cost of power and cost of reliability in a world where transistors wear out

We must move things closer together

Page 19: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Reducing power requirements and ensuring power reliability

and integrity at the point of use are major challenges.

Page 20: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

How Can We Reduce Power?

Continue Moore’s Law Scaling Reduce leakage currents

– Transistors are less than 10% of IC power today and going down

Reduce on-chip Interconnect power by: – Improved conductor conductivity – Decrease capacitance

Reduce interconnect length Reduce operating frequency Reduce operating voltage dynamically Reduce high speed electrical signal length

– Move photons closer to the transistors

(new transistor designs)

(new material) (new material) (3D integration) (increased parallelism) (Voltage regulator/core)

(On-package photonics)

Page 21: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

How Can We Reduce Power?

Continue Moore’s Law Scaling Reduce leakage currents

– Transistors are less than 10% of IC power today and going down

Reduce on-chip Interconnect power by: – Improved conductor conductivity – Decrease capacitance

Reduce interconnect length Reduce operating frequency Reduce operating voltage dynamically Reduce high speed electrical signal length

– Move photons closer to the transistors

(new transistor designs)

(new material) (new material) (3D integration) (increased parallelism) (Voltage regulator/core)

(On-package photonics)

The nature of many IoT sensors requires that we focus on “doing

nothing” (waiting) more efficiently

Page 22: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Physical density of Bandwidth is a roadblock.

Data processing in the cloud will be waiting for data much of the time

with current bandwidth and latency limitations.

What are the potential solutions?

Page 23: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Photonics Penetration In The Network

Source: Dr. Nikos Pleros Aristotle University

Maybe only On-Package

Page 24: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Potential Bandwidth/Latency Solutions

Put as much function into Photonics as possible Move photonics closer to the transistors Improve O to E conversion and modulation

density through sub-wavelength confinement of photon energy Flatten the network architecture to minimize

switches Increase bandwidth per fiber

Page 25: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Major Challenges (2) Thermal management

– Microfluidics – Heat pipes – New materials

Reliability – Redundancy – Continuous test while running – Dynamic self repair – Graceful degradation (the human model)

Security – Hardware and software

We will need new designs with new software and new materials

Page 26: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Thermal management is critical due to higher

circuit density and lower operating temperature

requirement.

Page 27: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Potential Thermal Management Solutions

Don’t make heat in the first place

Incorporation of microfluidics, heat pipes

Improved thermal conductivity through new materials

Segregation of high temperature components

Page 28: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Don’t Make Heat in the 1st Place IBM’s Neurosynaptic Processor 5.4 billion transistors

– (biggest chip IBM ever made)

70 milliwatts power (20 milliwatts per cm2 )

– 5,000 X cooler than todays MPU’s

Fully scalable End goal is a “brain in a box” Applications include

– Smartphones – Other mobile devices – Cloud services with this technology.

IBM's neurosynaptic processor, 1 M Neurons and 256 M Synapses

"The chip delivers 46 billion SOPS per Watt -- literally a supercomputer the size of a postage stamp, with the weight of a feather using a power source the size of a hearing aide battery," Dharmendra Modha, IBM fellow

Source: IBM

Page 29: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Several “Potential Solutions” impact more than one Category. I will address each major multi category item under three topics: New Materials Cost reduce Manufacturing Package Architecture

Page 30: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Composite Copper is in evaluation. Current status:

Conductors Are Changing

Source: NanoRidge

The first electrical performance improvement in copper since 1913 makes composite copper the most electrically conducting metallic material known at room temperature.

Targets for improvement compared to conventional copper are: 100 % increase in electrical conductivity 100% increase in thermal conductivity 300% increase in tensile strength

Page 31: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Composite Cu Properties Measured Properties show: The strength of the Cu-SWCNT composite is more than

twice that of pure copper Ductility is significantly lower. Coefficient of thermal expansion ranges between 4 to

5.5x10-6/°C vs 17x10-6/°C for pure Cu.

Page 32: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Low temp Cu Nano-solder

Package assembly at low temp (100C) Reflow solder to PCB <200C Consistent with Direct Interconnect Bonding

Page 33: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Co-Integration of Technologies Use each technology where it is the best: Electronics

– Active logic and memory (Processing and routing) – Smallest size

Photonics – High bandwidth – Energy efficient – Long and intermediate distance

Plasmonics (R. Zia et al., “Plasmonics: the next chip-scale technology”, Materials Today 9(7-8), 2006) – Much smaller than photonic components – Potentially seamless interface between Optics and

Electronics – Low power active functions

Page 34: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Cost Reduction in Manufacturing

Page 35: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

WLP, FOWLP and Panel Processing Increase Parallelism and Reduce Cost

Yield, test and productivity of FOWLP lines will rapidly increase

Production volume will increase dramatically with time Depreciation of the infrastructure with time New infrastructure will emerge for Panel Processing manufacturing using 0ld LCD display

2010 2012 2014

$0.10

FOWLP Cost/die

$0.20

$0.30

$0.5

2016

300mm FOWLP

200mm WLP, FOWLP

2008

FOWLP – already in production Panel Processing – in development

Panel Processing

470mmx370mm

Cost reduction!

Source: Yole

Page 36: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Reduce Processing Steps

Remove package underfill New materials and lower processing

temperature to reduce stress – Reduce CTE differential

Lower modulus materials with improved fracture toughness Improved interfacial adhesion Reduce stress concentration by design

Alchimer metal

Ziptronix DIB

Cu nano-solder

Ultra-conducting CU

Simulation

New ULK dielectrics

Page 37: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

New Approaches to Stacking can reduce cost

Wafer to wafer alignment has been demonstrated below 0.25µ High speed Direct interconnect bonding at 150°C is available Ultra-conducting Cu with CTE = 4-5X10-6 has been demonstrated

Silicon Interposer as package substrate

Source: Adapted from MRS Bulletin V40, Subramanian Iyer

Page 38: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

HI Packaging Architectures for the IoT/Cloud Driven Future

Page 39: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Near Term Component Level Packaging Current Technology

Multi- channel optical interconnect for high speed, high density data

Next Generation in Development Today

A single integrated package incorporating a 2.5D electronic/photonic package substrate.

Page 40: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

3D Large Scale Opto-electronic Integration

A component Complex for 3D-TSV/TSPV SiP Devices

Page 41: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Complex 3D-TSV System in Package will be Essential

Source: MRS Bulletin V40, Koyanagi et. al.

Page 42: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

SiP for Artificial Retina

Source: H. Kurino , M. Koyanagi Jpn. J. Appl. Phys. 43 ( 4B ), 1685

Page 43: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Summary Requirements for IoT/Cloud driven Global Network

Cost and power reduced by >104

Flatten the architecture increase ports by >106

Reduce latency Support software defined networks

Technology identified can deliver 103 improvement at most. A majority of improvement will come from Heterogeneous Integration and 3D-SiP packaging. Innovation is needed but is it practical to find another order of magnitude?

In the first 40 years of Moore’s Law scaling every parameter improved by more than one million times.

Maybe 4 orders of magnitude in 15 years is too conservative

Page 44: A Roadmap for Heterogeneous Integration in Electronics

KEY Requirements Attributes Heterogeneous integration for diverse materials (different CTE, electrical, optical,

mechanical properties) Cost reduction Physical size of components Physical density of components Reduction of total power for the global IoT/Cloud implementation Reliable power delivery with near threshold operation Reliability under stresses of the use case (thermal, mechanical shock, electrical,

chemical) Electrical connection into and out of the package Photons into and out of the package Co-Design and simulation tools for all components: passive, RF, photonic,

electronic, plasmonic, MEMS Models for new composite materials Models for interface properties that dominate bulk properties for thin layers Incorporate changes over life of the roadmap in components and materials

available

Page 45: A Roadmap for Heterogeneous Integration in Electronics

3D integration in the package Cross talk Thermal management Warpage (thinned components, package substrate) Stress management Electrical resistance/inductance/capacitance Environmental compatibility Component attach for different materials and component types Yield Test for complex SiP electronic-photonic products High volume/parallel manufacturing processes Low temperature assembly processes

KEY Solution Attributes

Page 46: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

KEY Solution Attributes

Roadmap tables with key parameters as a function of time over the next 15 years for these

attributes to stimulate pre-competitive R&D are in preparation

for ITRS 2.0 (2015)

Page 47: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

TI’s latest digital light projector (DLP) is small enough to mount on eyeglasses for a heads up display, or with one for each eye, for virtual reality. It comes built into Samsung's Galaxy Beam 2.

Heterogeneous Integration in Packaging for IoT

Source: Texas Instruments

Page 48: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

TI’s latest digital light projector (DLP) is small enough to mount on eyeglasses for a heads up display, or with one for each eye, for virtual reality. It comes built into Samsung's Galaxy Beam 2.

Heterogeneous Integration in Packaging for IoT

Source: Texas Instruments

The Revolution in Heterogeneous Integration is

just beginning

Page 49: A Roadmap for Heterogeneous Integration in Electronics

ConFab 2015 Las Vegas, Nevada May 21, 2015

Thank You for Your Attention