Electronic and Optoelectronic Polymers

Post on 11-Jan-2016

47 views 4 download

Tags:

description

Electronic and Optoelectronic Polymers. Wen-Chang Chen Department of Chemical Engineering Institute of Polymer Science and Engineering National Taiwan University. Outlines. History of Conjugated Polymers Electronic Structures of Conjugated Polymers Polymer Light-emitting Diodes - PowerPoint PPT Presentation

Transcript of Electronic and Optoelectronic Polymers

Electronic and Optoelectronic Polymers

Wen-Chang ChenDepartment of Chemical Engineering

Institute of Polymer Science and Engineering

National Taiwan University

History of Conjugated Polymers

Electronic Structures of Conjugated Polymers

Polymer Light-emitting Diodes

Polymer-based Thin Film (or Field Effect) Transistors

Polymer-based Photovoltaics

Polymers for Memory devices

Outlines

What’s Transistor?

Transistor

A device composed of semiconductor materials that amplifiers a signal or opens or close circuit.

The key ingredient of all digital circuits, including computers. Today’s microprocessors contains tens of millions of microscopic transistors.

Field-Effect Transistor

A voltage applied between the gate and drain controls the current flowing between the source and drain

What’s Transistor?

Field effect transistor works like a drain

Organic Thin Film Transistors (OTFTs)

Organic transistors are transistors that use organic molecules rather than silicon for their active materials. These active materials can be composed of a wide variety of molecules.

Compatibility with plastic substances Lower-cost deposition process such as

spin coating, printing, evaporation Lower temperature manufacturing

(60-120oC)

Advantages

Disadvantages Lower mobility and switching speeds compared to

silicon wafers

Subjects of the Polymer Optoelectronic Device

Polymer Solar Cells Polymer Light-emitting Diodes

Substrate

Organic Semiconductor

DielectricSource Drain

Substrate

Organic Semiconductor

DielectricSource Drain

Polymer Thin Film Transistors

Integrated Optoelectronic Devices Based on Conjugated Polymers

Sirringhaus H., Tessler N., Friend RH, Science 1998

Optoelectronic Polymer Lab,

NTU

substrate

gate dielectricgate

active organic layer

source drain

bottom contact

substrate

gate dielectricgate

active organic layer

source drain

top contact

All Organic Thin Film Transistors (OTFT)

Key Materials for OTFT:(1)Active Organic Layer: Organic Semiconductor(2)Source/drain electrodes: Electrical Conducting Materials (PEDOT:PSS for organic case)(3)Gate Dielectrics: Organic polymers(4) Substrate: Highly thermal stable and transparent polymer, e.g., PET, PSF, etc.

Progress on Flexible Organic Display Devices

Reference: Science, 290, 2123 (2000)) Reference: Synthetic Metals 145, 83-85(2004)

In an active Matrix each pixel contains a light-emitting diodes (LED) driven by a Field-effect transistor (FET). The FET performs signal processing while the LED converts the electrical signal processing into optical output.

Applications of OTFTs

Applications of OTFTs

Flexible TFT arrays enabling technologies for a whole range of applications

Important Performance Parameters

Conduction at the semiconductor dielectric interface

Contacts- injection of charges Electronic and ambient stability Fabrication technology

What’s important?

Requirements

for high performance OTFTs

High Mobility High On/Off Ration Low Threshold Voltage Steep Sub-threshold Slope

13

Polymer Field Effect Transistors

Polymer Semiconductor

Dielectric LayerSubstrate/Gate Electrode

L

W

+ + + + + + + + + + ++

Vg > 0

Vd > 0

-- - - - - - - - - - -

-

Source Drain Printability Flexibility Low cost & weight Easy fabrication

Why Polymer?

Architecture of transistor device

Requirements for high performance

High mobility (>0.1 cm2/VS) High On/Off current Ratio (>104) Low Threshold Voltage (within ±5 V)

Conduction at the semiconductor dielectric interface

Contacts- injection of charges Electronic and ambient stability Fabrication technology

What’s important?

Device Configuration of OTFTs

Operation Energy Diagram and Important Parameters

P type N type

Hole transportElectron transport

Field Effect Mobility (μ)

How strongly the motion of an electron or hole is

influenced by an electric field 2/1

2

L

CW i The Slope of ID1/2-VG @ saturation region

On/Off Current Ratio (Ion/Ioff)

(a) Off : the state of a transistor is then on voltage is applied between the gate and source electrode

(b) On : drain and source current increases due to the increased number of charge carriers

Mobility (a Si-H electron μ ~1cm2/VS)

Ion/Ioff current ratio (diving circuits in LCD Ion/Ioff >106)

Working Principle of OTFTs

VTh Threshold Voltage

Vd Drain Voltage

Vg Gate Voltage

Id Drain Current

L Channel lengthW Channel width

Linear regime

Saturation regime

Start of saturation regime at pinch-off

Current-Voltage (I-V) Characteristics

Transfer (Id-Vg) Curve

Field Effect Mobility (μ) [cm2/VS]

Sub-threshold Slope (SS)

Threshold Voltage (VTh)

On/Off Current Ratio (Ion/Ioff)

2/1

2

L

CWslope i

Performance Parameters

at saturation region

Current-Voltage (I-V) Characteristics

X=0 to L, V(x)= O to Vds

Linear region Vds << Vg Saturation region Vds ~ Vg - VTh

ig

satdssat WC

L

V

I 2)(2

2/1,

Current-Voltage (I-V) CharacteristicsOutput (Id-Vd) Curve

Semiconductor Layer

Materials for OTFTs

Insulator Layer

Electrode

Organic S.C.

Small molecules

(ex: pentacene, oligothiophene)

Conjugated polymers

(ex: P3HT, F8T2) Inorganic S.C. (ex: a-Si, Zinc oxide)

Organic Dielectric

(ex: Polyimide, PMMA, PVP) Inorganic S.C.

(ex: SiO2, TiO2, Al2O3)

Metal (ex: Au, Ca) Conjugated Polymer (ex: PEDOT:PSS)

Materials Requirements of Organic Semiconductors for OTFT

Target: > 1 cm2/Vs on/off ratio >106 for n type or p/n type Organic Semiconductors

Conjugated π-Electron System High Electron Affinity ( for n type) or Ambipolar Characteristics (for p/n type)

Good Intermolecular Electronic Overlap

chemical bonding between molecules, molecular symmetry, the symmetry of the crystal packing….

Good Film Forming Properties

polycrystalline film be highly oriented so that fast transports direction in the grains lie parallel to the dielectric surface

Chemical Purity

charge trapping sites, dopants (increase the conductivity in off state)

Stability

device operation (Threshold Voltage Shift), air stability(O2, H2O)

Requirements of Materials for OTFTs

Factors Influencing The OTFTs Performance

Evolution of The OTFT mobility

for P type or N type Semiconductors

Adv Mater 2002, 14, 4436

mobility (a Si-H μ~1cm2/VS)

P type mobility N type mobility

1-5 ~ 10-3 cm2/VS 1~ 10-5 cm2/VS

Characteristics of Organic Semiconductors

Applications

Light emitting diode, photoconductor, thin film transistor, sensor (PH or gas), solar cell, photovoltaic device…

P type or N type

Charge transport by hole (Low IP) or electron (High EA)

IP

HOMO

LUMO

Vacuum Level

Energy

EA

Bandgap

Acc Chem Res 2001, 34, 359

Heterocyclic Oligomers

Linear Fused Rings

Two dimensional Fused Rings

Polymeric Semiconductors

Structures of P-Channel Semiconductors with TFT Characteristics

Structures of P-Channel Semiconductors with Known TFT Characteristics( Dimitrakopoulos and Malenfant, Adv. Mater.2002)

Mobility in the range of 10-3 ~ 1-5 cm2V-1S-1

mobility (a Si-H μ~1 cm2/Vs)

Single Crystal of High Mobility Organic Semiconductors

Materials Requirements for n-Channel Organic Semiconductors

Conjugated π-Electron System with High Electron Affinity

(EA > 3.0 eV)

Good Intermolecular Electronic Overlap chemical bonding between molecules, molecular symmetry, the symmetry of

the crystal packing….

Good Film Forming Properties

polycrystalline film be highly oriented so that fast transports direction in the grains lie parallel to the dielectric surface

Chemical Purity

charge trapping sites, dopants

Stability

device operation (Threshold Voltage Shift), air stability(O2, H2O)

Chem. Mater. 2004, 16, 4436

Materials issues Materials Design and Preparation (HT%, regioregular, repeating conju

gated unit, substituent, synthesis method, refinement)

Key materials Optimization (gate, source, drain, substrate, dielectric)

TFT Structures Chemical Treatment on dielectric film surface ( silane layer pretreatm

ent, SAMs thiol-based chemical modified contact)

Modifying the TFT structure (bottom contact or top contact)

Processing Optimization Organic layer deposition (i) vacuum evaporation (ii) spin coating, solut

ion casting, printing

Controlling the deposition parameters (aging, deposition rate, anneal process, solvent quality, channel length, channel dimension, deposition thickness, solvent evaporation temperature)

Enhancement on the OTFT Characteristics

Metal-Phthalocyanines

~ 0.6 cm2V-1S-1

Addition of Electron Withdrawing Groups (cyano, perfluoroalkyl) to p Type Cores

10-4 ~ 0.1 cm2V-1S-1

Perylene or Naphthalene Derivatives

10-4 ~ 0.6 cm2V-1S-1

C60

~ 0.3 cm2V-1S-1

Structures of n-Channel Semiconductors with known TFT Characteristics ( C. D. Frisbie and coworkers, Chem. Mater. 2004)

Need to develop polymer semiconductors with high electronic mobility(>1 cm2/Vs)!

10-1 ~ 10-5 cm2/VS

Introduction to PTCDA and PTCDI-R

Optoelectronic Polymer Lab, NTU

Year CompoundMobility (cm2V-1S-

1)Ion/Ioff

1997 PTCDA 10-4~10-5 -

1996 PTCDI 1×10-4 -

2000 PTCDI-C18H37 0.11 -

2002 PTCDI-C8H17 0.6 >105

2004 PTCDI-C5H11 0.05 -

NN

O

OO

O

RRR= CH2C6H4CF3

R= C8H17

Optoelectronic Polymer Lab,

NTU

H.E. Katz et al., Nature 2000, 404, 479

H.E. Katz et al., JACS 2000, 122, 7787

Air stable PTCDI-R or NTCDI-R

NTCDI-CH2C7F15

NTCDI-C8H17

NTCDI-C6H4CF3

Less negative reduction potential of fluorinated chains may be stabilized during operation in air

Denser packing of fluorinated chains could be more permeable to oxygen and water

Introduction to PTCDI-R

Optoelectronic Polymer Lab, NTU

Single-crystal-like packing

π stacking occurs parallel to the substrate surface

Optoelectronic Polymer Lab,

NTU

Why Using PTCDI-R as N Type OTFTs

Single-step synthesis

Impart additional electron withdrawing character to the conjugated backbones to stabilized electron injection.

Provide screening against penetration of environmental contaminants (H2O, O2..)into the channel region.

The side group could induce a more favorable packing geometry that increases intermolecular overlaps or reduces phonon scattering.

PFO

5.7 / 2.4

3X10-4 / 5X10-3

OCC10-PPV

5.0 / 2.8

5X10-4 / 8X10-5

F8BT

5.9 / 3.3

NA / 4X10-3

MEH-PPV

5.0 / 2.8

5X10-5 / 3X10-5

F8T2

5.5 / 3.1

5X10-3 / 6X10-3

CN-PPV

5.4 / 3.2

NA / 4X10-5

PPV

5.2 / 2.7

NA / 1X10-4

P3HT

4.9 / 2.7

2X10-4 / 6X10-4

Mobility for Semiconducting Polymers

RH Friend et al, Nature 2005, 434, 194

HOMO / LUMO (eV) Hole / Electron mobility (cm2V-1S-1)

Ca s-d electrode

CompoundHole/Electron Mobility

(cm2V-1S-1)Ref.

0.004

0.005Science 1995, 269,1560

1.1×10-5

4.3×10-5

J Mater Chem 2004,14, 2840

2.5×10-3

NAChem Mater 2004,16, 4616

3.4×10-4

5.4×10-3

Macromol Rapid Commun. 2005, 26, 1214

10-4

10-5

Chen and Jenekhe (to be submitted to Macromolecule

s)

Comparable Electron & Hole Mobility for OTFT: Donor-Acceptor Systems

NN

O

N

O

Nn

S

NN

C7H15 C7H15

n

38

Donor-Acceptor Conjugated Polymer Semiconductors with High FET Mobility (Literature~2009)

S

S

C12H25

N

NO

O

S

S

C12H25

nS S

C16H33 C16H33 NS

N

n

NC CN

NC CN

S

C12H25

S

C12H25

n

S

C12H25

S

NN

S

C12H25C12H25 C12H25

n

N

N

O O

O O

C10H21

C8H17

C10H21

C8H17

S

S

n

S

S

C14H29N

SS

S

C14H29

nN

S

C14H29

C14H29

0.1 cm2/VS

0.1 cm2/VS

Adv. Mater. 2008, 20, 2217

J. Am. Chem. Soc. 2008, 130, 8580

0.1 cm2/VS0.2 cm2/VS

1.4 cm2/VS

Adv. Mater. 2009, 21, 209

J. Mater. Chem. 2009, 19, 591

0.85 cm2/VS

Nature. 2009, 457, 679

J. Am. Chem. Soc. 2009, 131, 25210.2 cm2/VS

Hole/Electron mobility

Q: Could we develop new solution-processable semiconducting polymers with mobility > 1 cm2/Vs and good environmental stability?

IBM J. Res. and Develop. 2001, 45, 11

Conduction Mechanism in OTFT Channel

Charge carrier mobility is dependenton molecular order within the

semiconducting thin film

Current modulation isachieved by electricfield-induced charge

build-up at theinterface between the

organic semiconductorand the insulator

Charge Transport in Organic Crystal

Limit of mobility in organic single crystal at room temperature is due to the weak intermolecular interaction forces (van der waals interaction) of 10 kcal/mole (cf 76 kcal/mole for Si covalent bond)

Strong π-orbital overlap Band transport Negative temp coefficient

Weak π-orbital overlap Hopping transport Positive temp coefficient

Band transport

Hopping transport

Fi >> Fv Fi ~ Fv

Fi intermolecular interaction force ; Fv thermal vibration force

Charge Transport in Polymer

Intra-Molecular

Soliton Propagation :μ~1000 cm2/VS

Inter-Molecular

Hopping transport :μ~10-2cm2/VS

It is important to increase molecular ordering to obtain high mobility in OTFT devices

Organic & Inorganic Semiconductors

Organic Semiconductor Inorganic Semiconductor

Weak Van der Waals interaction forces π-bond overlapping Molecular gas property (molecule’s identit

y) Hopping type charge transport dominant Low mobility and small mean free path

Strong covalent bonds ρ-bond Only crystal property Band type charge transport d

ominant High mobility and large mean

free path

Bipolar OTFT- Organic Semiconductors in Interfacial Properties

Idealized energy level diagram of OTFTs P- & N- Channel OTFT Operation

Scattering Mechanism in Thin Film

Flat & clean surface Large grain No doping

For high mobility

Operation Energy Diagram and Important Parameters

P type N type

Hole transportElectron transport

Field Effect Mobility (μ)

How strongly the motion of an electron or hole is

influenced by an electric field 2/1

2

L

CW i The Slope of ID1/2-VG @ saturation region

On/Off Current Ratio (Ion/Ioff)

(a) Off : the state of a transistor is then on voltage is applied between the gate and source electrode

(b) On : drain and source current increases due to the increased number of charge carriers

Mobility (a Si-H electron μ ~1cm2/VS)

Ion/Ioff current ratio (diving circuits in LCD Ion/Ioff >106)

Chemical surface treatment on dielectric film surface or electrode

(SAMs silane layer pretreatment, plasma treatment)

Modify the TFT structure

(bottom contact or top contact)

Control the processing parameters

(deposition rate, anneal process, solvent power, channel dimension, deposition thickness, heat treatment, film forming method)

Choose materials

(gate, source, drain, substrate, dielectric)

Organic P3HT selection

(HT% regioregularity, molecular weight, substituent, synthesis method, refinement)

Enhancement on Performance of OTFTs

Surface treatment on Inorganic Dielectric

Hexamethyldisilazene (HMDS) Octadecyltrichlorosilane (HMDS) Other silanes Alkanephosphonic acid

Self-Assembly Monolayer (SAM)

Increased grain boundary of OSC Hydrophilic to hydrophobic

attachment (smooth surface)

Increasing molecular ordering Obtain improved OTFT characteristics

Adv. Funct. Mater. 2005, 15, 77

Self-Assembly Monolayer (SAM)

Surface Treatment on Inorganic Dielectric

Chemical Treatment on Dielectric Surface

Synth Met. 2003, 139, 377

Plasma pretreatment

untreatment

Un-treatment

Plasma treatment

Plasma treatment

RMS roughness:

0.8 ~ 1.3 nm

RMS roughness:

0.3 ~ 0.5 nm

Higher mobility after plasma treatment

DielectricsRequirements for OTFT Dielectrics

High dielectric constant for low-voltage operating Good heat and chemical resistance Pinhole free thin film formability with high breakdown voltage and

long term stability Comparable with organic semiconductor in interfacial properties

Polymeric Dielectrics

Adv. Mater. 2005, 17, 1705

The conduction mechanism in organic semiconductor is different from that of inorganic.

Due to the weak intermolecular forces in OSC, the number of effects through which the dielectric can influence carrier transport and mobility is much broader than in inorganic materials.

Morphology of organic semiconductor and orientation of molecular segments via their interaction with the dielectric (especially in bottom gate devices)

Interface roughness and sharpness may be influenced the dielectric itself, the deposition conditions, and the solvent used

Gate voltage dependent mobility, which together with the variation of the threshold voltages, can be a signature of dielectric interface effects

The polarity of dielectric interface may also play a role, as it can affect local morphology or the distribution of electronic states in OSC.

Dielectric Effect in OTFTs

Dielectrics

Inorganic Insulator for OTFTs

Surface states on inorganic oxides are particular problem leading to interface trapping and hysteresis, also impacts the semiconductor morphology

Large number of surface treatment studies!

Dielectrics

Organic Insulator for OTFTs

Organic dielectrics offers the freedom to build both top and bottom gate devices more easily by the use of solution coating technique and printing

Dielectrics

Why high K insulators have better OTFT performances?

For parallel plate capacitor filled with dielectrics

d

AkC o

The mobility depends on the concentration of carriers accumulated in

the channel in the OTFTs, the insulators should be thinner and its dielectric

constant should be higher to induce a larger number of carriers at a lower

voltage.

Optoelectronic Polymer Lab, NTU

High K gate dielectric is the expansion of design space due to the possibility

of using thicker gate length.

29.3 SiOdk

d

Optoelectronic Polymer Lab,

NTU

K value

Ta2O5: 25-40

TiO2: 40-80

Si3N4 : 7.5

Al2O3 : 10

Optoelectronic Polymer Lab,

NTU

Threshold Voltage (Vt)

OX

MStt C

QVV '

FSAOX

Ft qNC

V 41

2'

i

AF n

N

q

kTln

FSAOX

Ft qNC

V 41

2'

i

DF n

N

q

kTln

P type N type

The x-axis intercept of ID1/2-VG

d

AkC o

29.3 SiOdk

d

d k c Vt

but high leakage current (high off current) !!

Smooth interface between the polymer-semiconductor and dielectric to reduced scattering at the smooth interface

Use High k Materials as Gate Dielectrics

IEEE Trans. Electron Devices. 2001, 14, 281

Why choosing Organic materials as insulators?

The drawbacks of using inorganic materials as insulators: Difficulty on building electronic devices on plastic substrate; High processing temperature、 adhesion to substrate、

processing method、 Cost、 large area?

Year Organic

active layer

Dielectrics Substrate Mobility

(cm2/VS)

Fabrication

1994 DH6T Polyester(3) PET 0.06 spin coating

1997 P3HT Polyimide Polyester 0.03 spin coating

1998 P3HT Polyimide PET 0.05 Screen printing

1999 PTV PVP polyimide 3×10-4

2000 F8T2 PVP 0.02 spin coating

2001 Pentacene… Organosilsesquioxane

PET 0.1 spin coating

2002 Pentacene

P3HT

P4VP(4.2) Glass 0.05 spin coating

2002 Pentacene… Organosilsesquioxane

ITO/Mylar 0.1 spin coating

2002 Pentacene PVP glass

PEN

0.3

0.05

spin coating

2003 Pentacene PVP PEN 0.7 spin coating

2003 pentacene PVA(3) glass 0.01 spin coating

2003 pentacene JSS-362 PET 0.12 spin coating

2003 pentacene Al2O3 /JSS-362

(2.2-1.7)

PEN 1.4×10-2 Sputtering

/Spin coating

Optoelectronic Polymer Lab,

NTU

Al2O3 /JSS-362 as dielectric double layers

Low dielectric constant of organic materials : reducing leakage current

Inorganic materials : supply the adhesion force between the dielectric layer and S and D electrode

Synth. Met. 2003, 139, 445

Contact Electrode Requirement for S/D Electrodes

No interface barrier with the active layer No metal diffusivity High carrier injection, low contact resistance

Au

Mainly used as S/D electrodes due to its high work function (5.1 eV) and low injection barrier

Still remain dipole barrier

Contact Electrode

Environment StabilityOff current increase by oxygen doping process

Chemical surface treatment on dielectric film surface or electrode

(SAMs silane layer pretreatment, plasma treatment)

Modify the TFT structure

(bottom contact or top contact)

Control the processing parameters

(deposition rate, anneal process, solvent power, channel dimension, deposition thickness, heat treatment, film forming method)

Choose materials

(gate, source, drain, substrate, dielectric)

Organic P3HT selection

(HT% regioregularity, molecular weight, substituent, synthesis method, refinement)

Improvement of P3HT OTFTs

Control the Processing ParametersSolvent Power

Appl Phys Lett, 1996, 69, 4108

P3HT in chloroform

P3HT in TCB

Less crystalline

Nanoribbons ~μm

Lamellar layer

structure

π - π interchain stacking

Chem Mater 2004, 23, 4775

Mobility increase with higher bp of solvent

Control the Processing ParametersSolvent Power

Control the Processing ParametersAnnealing

Alignment

Molecular weight

Organic P3HT Selection

Adv Mater, 2003, 15, 1519

Adv. Funct. Mater. 2004, 14, 757

Organic P3HT Selection

Macromolecules 2005, 38, 3312

High Mw P3HT

Low Mw P3HT

Chare carriers trapped on the nanorod

Interconnect ordered area and soften the boundary

Molecular Weight

Mobility increase with higher MW

Organic P3HT Selection

HT% regioregularity

Nature, 1999, 401, 685

Synth Met. 2000, 111-112, 129

Organic Compound SelectionAlkyl chain length

Synth Met. 2005, 148, 169

Chemical Treatment on Dielectric Surface

Synth Met, 2003, 139, 377

Plasma pretreatment

untreatment

Un-treatment

Plasma treatment

Plasma treatment

RMS roughness:

0.8 ~ 1.3 nm

RMS roughness:

0.3 ~ 0.5 nm

Higher mobility after plasma treatment

Semiconductor Deposition Methods

Organic semiconductors are deposited either from vapor or solution phase depending on their vapor pressure and solubility

Device performance of OTFTs is greatly influenced by various deposition conditions due to the different resulting molecular structure and thin film morphology

How to Get High Mobility ?

Homo/LUMO of the individual molecules must be at levels where hole/electrons can be induced at accessible applied electric fields.

The solid should be extremely pure since impurities act as charge carrier traps.

The molecules should be preferentially oriented with the long axes approximately parallel to the substrate since most efficient charge transport occurs along the direction of intermolecular π-πstacking

The crystalline domains of the semiconductor must cover the area between the S and D contacts uniformly.

Ways of Mobility Improvement

G. Horowitz, Adv. Mater. 2000, 14, 365

Katz, H. E.; Bao, Z., J. Phys. Chem. B., 2000, 104, 671

Dimitrakopoulous, C. D.; Mascaro, D. J., IBM J. Res. & Dev. 2001, 45,11

Katz, H. E.; Bao, Z.; Gilat, S. L., Acc. Chem. Res., 2001, 34, 359

Dimitrakopoulous, C. D.; Malenfant, D. R. L. Adv. Mater. 2002, 14, 99

Horowitz, G. J. Mater. Res. 2004, 19, 1946

Newman, C. R.; Frisbie, C. D.; da silva Filho, D. A.; Bredas, J. L.; P. C. Ewbank, Mann K. R. Chem. Mater. 2004, 16, 4436

Veres, J.; Ogier, S.; Lloyd, G. Chem. Mater. 2004, 16, 4543

Ling, M. M.; Bao, Z. Chem. Mater. 2004, 16, 4824

Chua, L. L.; Zaumsell, J.; Chang, J. F.; Ou, E. C. W.; Ho, P. K. H.; Sirringhaus, H.; Friend, R. H. Nature, 2005, 434, 194

Sun, Y.; Liu, Y.; Zhu, D. J. Mater. Chem. 2005, 15, 53

Facchetti, A.; Yon, M. H.; Marks, T. J. Adv. Mater. 2005, 17, 1705

Sirringhaus, H. Adv. Mater. 2005, 17, 2411

Reichmanis, E.; Katz, H. E.; Kloc, C.; Maliakal, A. Bell Labs Technical J. 2005, 10, 87

Dodabalapur, A. Materials Today 2006, 9 , 24

Facchetti, A. Materials Today 2007, 10, 28

Zaumseil, J.; Sirringhaus, H. Chem. Rev. 2007, 107, 1296

Reference