Report - VHDL Coding Rules - tkt.cs.tut.fi · One VHDL file should contain one entity and one architecture, file named as entityname.vhd Package name should be packagename_pkg.vhd Test bench

Please pass captcha verification before submit form