Download - Communication Between Processes example.docx

Transcript

Communication Between Processes example

library ieee;use ieee.std_logic_1164.all;

entity commprocess isport(a,b,c: in std_logic; sum, carry:out std_logic);end commprocess;architecture abc of commprocess is

signal s1,s2,s3 : std_logic;

begin

HA1:process(a,b)begins1