ZnO zinc oxide versus amorphous silicon nanowire ald better mobility prne_nov13.pdf

9
8/17/2019 ZnO zinc oxide versus amorphous silicon nanowire ald better mobility prne_nov13.pdf http://slidepdf.com/reader/full/zno-zinc-oxide-versus-amorphous-silicon-nanowire-ald-better-mobility-prnenov13pdf 1/9 PROCESS NEWS 1 In this issue of Process News we are pleased to include articles by several of our customers globally: Ferdinand Messow at the University of Kassel in Germany, Vince Genova at Cornell University, USA, Farid Medjdoub, IEMN, Prof Peter Ashburn and Dr Harold M. H. Chong, Southampton Nanofabrication Centre, University of Southampton and Mohammadreza Khorasaninejad, winner of our technical article competition. All have kindly written articles regarding their area of expertise using Oxford Instruments systems. direct presence in India, and are condent that customers will benet from having local, dedicated Oxford Instruments Plasma Technology service engineers. This India ofce opening coincides with our second series of ‘Tooling Nano, Bringing the Nanoworld Together’ seminars that we hold in India later this month. And lastly...we’re delighted to welcome Dr David Haynes to the role of Sales & Marketing Director at Plasma Technology. Read more about his appointment on the back page. Enjoy your read! Oxford Instruments specialists also discuss several of our core and developing technologies and applications, including Ion Beam, Magnetron Sputtering, Graphene, and Power Semiconductors. We continue to expand our global reach as a business, and now have established ofces in many key regions. Following several successful years growing our plasma and ion beam etching and deposition tools business in India, we have experienced such an increased demand for our products and support services, that we’ve opened a direct sales and service ofce through subsidiary Oxford Instruments India Private Limited. We are now in an excellent position to set up a PROCESS NEWS Welcome to PROCESS NEWS IN THIS ISSUE A newsletter fr om Oxford Instruments Plasma Technology (OIPT) Follow us on... 2/3 Single step vertical structuring of ion beam sputtered SiO 2 /Si multilayer stacks 3 Wide band gap power semiconductor device production using GaN and Si process solutions 4 Leading integrated laser company Nanoplus ordered our systems for laser bar facet coating and compound semiconductor etching 4/5 Paving the way for millimeter wave GaN-on-silicon transistors 6/7 Update of photoresist masked silicon dioxide ICP etching at Cor nell University 7 Delivery module enabling TEOS- based PECVD in Plasma Pro 100 & Plasma Pro 133 process tools 8 ‘Bringing the Nanoworld Together - 2013’: Our second seminar in India 8/9 Magnetron sputtering at Oxford Instruments goes for gold 10 Single nanometer manufacturing 11 Contamination control in ion beam sputter deposited lms 12/13 ZnO atomic layer deposition for high performance thin lm nanowire eld effect transistors 14 Graphene and related materials 15 Technical article prize draw competition result 15 Silicon Nano Pillar Sensor (SiNaPS) 16 Oxford Instruments Plasma Technology focuses for the future oiplasma @oiplasma

Transcript of ZnO zinc oxide versus amorphous silicon nanowire ald better mobility prne_nov13.pdf

Page 1: ZnO zinc oxide versus amorphous silicon nanowire ald better mobility prne_nov13.pdf

8/17/2019 ZnO zinc oxide versus amorphous silicon nanowire ald better mobility prne_nov13.pdf

http://slidepdf.com/reader/full/zno-zinc-oxide-versus-amorphous-silicon-nanowire-ald-better-mobility-prnenov13pdf 1/9

PROCESS NEWS1

In this issue of Process News we are pleased to include articles by severalof our customers globally: Ferdinand Messow at the University of Kassel inGermany, Vince Genova at Cornell University, USA, Farid Medjdoub, IEMN,Prof Peter Ashburn and Dr Harold M. H. Chong, Southampton NanofabricationCentre, University of Southampton and Mohammadreza Khorasaninejad,winner of our technical article competition. All have kindly written articlesregarding their area of expertise using Oxford Instruments systems.

direct presence in India, and are condentthat customers will benet from havinglocal, dedicated Oxford Instruments PlasmaTechnology service engineers.

This India ofce opening coincides with our

second series of ‘Tooling Nano, Bringing theNanoworld Together’ seminars that we holdin India later this month.

And lastly...we’re delighted to welcomeDr David Haynes to the role of Sales &Marketing Director at Plasma Technology.Read more about his appointment on theback page.

Enjoy your read!

Oxford Instruments specialists also discussseveral of our core and developingtechnologies and applications, including IonBeam, Magnetron Sputtering, Graphene, andPower Semiconductors.

We continue to expand our global reachas a business, and now have establishedofces in many key regions. Followingseveral successful years growing our plasmaand ion beam etching and deposition toolsbusiness in India, we have experienced suchan increased demand for our products andsupport services, that we’ve opened a directsales and service ofce through subsidiaryOxford Instruments India Private Limited. Weare now in an excellent position to set up a

PROCESS NEWS

Welcome to PROCESS NEWS IN THIS ISSUE

A newsletter fr om Oxford InstrumentsPlasma Technology (OIPT)

Follow us on...

2/3 Single step vertical structuring ofion beam sputtered SiO 2 /Simultilayer stacks

3 Wide band gap power semiconductor

device production using GaN and Siprocess solutions

4 Leading integrated laser companyNanoplus ordered our systems forlaser bar facet coating and compoundsemiconductor etching

4/5 Paving the way for millimeter waveGaN-on-silicon transistors

6/7 Update of photoresist maskedsilicon dioxide ICP etching at CornellUniversity

7 Delivery module enabling TEOS-based PECVD in Plasma Pro 100 &Plasma Pro 133 process tools

8 ‘Bringing the Nanoworld Together -2013’: Our second seminar in India

8/9 Magnetron sputtering at OxfordInstruments goes for gold

10 Single nanometer manufacturing

11 Contamination control in ion beamsputter deposited lms

12/13 ZnO atomic layer deposition for highperformance thin lm nanowire eldeffect transistors

14 Graphene and related materials

15 Technical articleprize draw competition result

15 Silicon Nano Pillar Sensor (SiNaPS)

16 Oxford Instruments PlasmaTechnology focuses for the future

oiplasma@oiplasma

Page 2: ZnO zinc oxide versus amorphous silicon nanowire ald better mobility prne_nov13.pdf

8/17/2019 ZnO zinc oxide versus amorphous silicon nanowire ald better mobility prne_nov13.pdf

http://slidepdf.com/reader/full/zno-zinc-oxide-versus-amorphous-silicon-nanowire-ald-better-mobility-prnenov13pdf 2/9

PROCESS NEWS32 PROCESS NEWS

Single step vertical structuring of ion beam sputteredSiO2 /Si multilayer stacks using Oxford Instruments Plasmalab 100 ICP-RIEFerdinand Messow* a), Colin Welch b) , Alexander Eifert a), Wei Chung Ang a) , Nee Shiuan Hoe a), Thomas Kusserow a) and Hartmut Hillmer a)

a) Institute of Nanostructure Technologies and Analytics (INA) – Technological Electronics, University of Kassel, Heinrich-Plett-Str. 40, 34132 Kassel, Germany b) Oxford Instruments Plasma Technology, Yatton, Bristol, BS49 4AP, United Kingdom*corresponding author e-mail address: [email protected] Tel.: +49-561-804-4147 Fax.: +49-561-804-4488

In distributed Bragg reectors (DBRs) typically two materials with differentchemical properties and especially different refractive indices alternateperiodically to build up a multilayer stack. When vertically structuringthe Bragg mirror, two different etching recipes are required, taking into

account the different chemical behaviour of the two materials. For a SiO 2 / Si multilayer stack a single step etching process was found, which etchesboth materials equally, although silicon dioxide (SiO 2) and silicon (Si) showdifferent chemical properties.SiO2 /Si multilayer stacks are applicable as DBRs for the infraredspectral range. By selectively removing the Si layers dielectric/ air-gap DBRs can be created from a SiO 2 /Si multilayer system.Due to the high refractive index contrast between thedielectric material and air, dielectric/air-gap DBRs are suitableas broad band high reectivity mirrors [1]. Additionally,dielectric/air-gap DBRs can be applied ove r a large spectralrange, due to the negligible absorption of SiO 2 throughoutthe visible and ultraviolet spectrum.

In most cases the vertical structuring of these mirrors isdesirable due to various reasons. As mentioned above, thisrequires two adapted etching recipes. An optimal etching

result is then only achievable by accurately matching thesetwo recipes. Precise knowledge of single lm behaviour, layerthicknesses and their etch rates is therefore necessary. Thisrequires elaborate pre-investigations.

For the vertical etching of a SiO 2 /Si multilayer stack, wechose a novel approach, which applies only one recipe forboth layer materials [2]. Time consuming pre-investigationsbecome thus unnecessary. The challenge of this approachwas not only to nd suitable vertical etch rates, but also equallateral etch rates. This will ensure an etched structure with asmooth sidewall prole. Our process was designed in suchway, that both materials are etched similar into vertical as

well as lateral direction. An optimal result was achieved by anoctauorocyclobutane (C 4F8) and oxygen (O 2) chemistry basedprocess, utilizing an Oxford Instruments Plasmalab 100 ICP-RIE.

During our investigations on adjusting the lateral etch rate,we gured out, that the key factor is the partial amount ofO2 gas in the C 4F8 /O2 gas mixture. Whilst the lateral SiO 2 etch

rate was nearly unaffected by O 2 gas changes, we observed astrong dependency of Si undercut with respect to the partialO2 gas amount. A lower O 2 value led to less lateral Si etching.

By adjusting the C 4F8 /O2 gas composition, similar verticaland lateral etch rates have then been obtained. Thus, noneof the layers were signicantly undercut, resulting in anetched multilayer stack with a smooth sidewall prole. Such avertically structured SiO 2 /Si multilayer stack can be seen on ascanning electron micrograph, which is shown in Fig. 1.

References: [1] S. Irmer, K. Alex, J. Daleiden, I. Kommallein, M. Oliveira, F.Römer, A. Tarraf, H. Hillmer: “Surface micromachined opticallow-cost all-air-gap lters based on stress-optimized Si 3N 4 layers”, J. Micromech. Microeng., 15 (2005), 867-872

[2] F. Messow, C. Welch, A. Eifert, W. C. Ang, N. S. Hoe, T.Kusserow and H. Hillmer: “Deep single step vertical ICP-RIEetching of ion beam sputter deposited SiO 2 /Si multilayer

stacks“, Microelectron. Eng., 113, (2014), 70-73

Wide band gap powersemiconductor deviceproduction usingGaN and Si processsolutionsWe have extensive experience of supplying process equipmentglobally to high power semiconductor device manufacturersand researchers. The device technology has predominantly beenbased on silicon, but as the drive to greater efciencies continues

the prospect of devices based on GaNand SiC technologies has become areality.

Combining our unique history in siliconhigh power device process equipmentwith extensive GaN device productionequipment experience from the HBLEDmarket, we have produced worldleading wide band gap power devicemanufacturing process equipmentsolutions.

To nd out more or request our new brochure contact us at:[email protected]

Fig. 1: Vertical structured SiO 2 /Si multilayer stack with perpendicular prole. Protective Cr hard mask was not removed.

Page 3: ZnO zinc oxide versus amorphous silicon nanowire ald better mobility prne_nov13.pdf

8/17/2019 ZnO zinc oxide versus amorphous silicon nanowire ald better mobility prne_nov13.pdf

http://slidepdf.com/reader/full/zno-zinc-oxide-versus-amorphous-silicon-nanowire-ald-better-mobility-prnenov13pdf 3/9

4 PROCESS NEWS PROCESS NEWS5

We recently received a system order from Nanoplus inGermany for an ion beam deposition and a plasma etchsystem for use on novel types of semiconductor laserproduction. Nanoplus produces semiconductor lasers over

several wavelength ranges (some exclusively) for manydifferent customers with a wide range of applications.

The Ionfab 300 Plus ion beam deposition is a multi-batchcassette loading tool allowing many devices to be producedfor several applications and various customers in one load.The Ionfab 300 Plus will be used for laser bar facet coatingwith anti-reective and high-reection multilayers, and thePlasma Pro 100 RIE system will be used for GaAs and InPcompound etching.

“Nanoplus is an internationally leading supplier of singlemode DFB lasers for sensing, metrology, spectroscopy andtelecom applications. We even have one of our sensorson NASA’s Mars Curiosity Rover.’, commented Prof. Dr.Alfred Forchel, founder of Nanoplus, ‘We chose OxfordInstruments systems for their versatility, superior process

capabilities and excellentcustomer support.”

Our tools offer the idealplatform for productionas well as research &development in many newapplication areas, andLaser Bar facet coatingis just one of these.Our excellent processapplications team andglobal service support

ensure that our customers are supported in every respectand can count on their Oxford Instruments systems formaximum uptime and reliability.

Leading integratedlaser companyNanoplus orderedour systems for laserbar facet coatingand compoundsemiconductor etching

Paving the way for millimeter wave GaN-on-silicon transistorsusing Oxford Instruments plasma systems

Enhancement of the frequencyperformance of GaN-based devicesgrown on a silicon substrate is ofincreasing interest, since this would

enable cost-effective integration ofthis outstanding technology withmature CMOS Si-based devices, pavingthe way for novel circuit architectureswith higher functionalities. In thisframe, a novel heterostructure grownon a 100mm silicon substrate has beenproposed (as described in Fig. 1), whichenables to simultaneously deliverhigh carrier density (> 2×10 13 cm-2)and low leakage current while usingan ultrathin AlN barrier of 6 nm asneeded for high frequency operation 1.In the related process, one of the key parameters is the gateetching that requires very accurate etching (in the nanometerscale) without damaging the semiconductor beneath thegate. An Inductively Coupled Plasma (ICP) etch system fromOxford Instruments has been used to achieve a damage-free55 nm depth through a gate opening of 100 nm. Separate RFand ICP generators provide separate control over ion energyand ion density, enabling such type of processing (see Fig. 1).Another crucial parameter for high GaN device performance isthe passivation layer, which prevents undesirable effects like RFdispersion or reliability issues. Generally, Si 3N4 is used for thispurpose and high quality with controlled material stoichiometryis needed. We used the Oxford Instruments PECVD (PlasmaEnhanced Chemical Vapour Deposition) equipment that allows

Farid Medjdoub, IEMN E-mail: [email protected] - CNRS, Avenue Poincaré - CS 60069 - 59652 Villeneuve d’Ascq (France)

lm stress and stoichiometry control via process conditions.

Based on the optimization of the epilayers (grown bythe company EPIGAN) and fabrication process, a recordcombination of power-gain cut-off frequency and three-terminal breakdown voltage for GaN-on-silicon devices (see

Fig. 2) that matches the best GaN-on-SiC devices has beendemonstrated, promising breakthrough performance forwidespread millimeter-wave applications . This technologyalso allowed the rst demonstration of high output powerdensity at 40 GHz using GaN-on-Si transistors as well asa remarkably low noise of 1 dB in the K a band, which is tothe best of the author’s knowledge, the lowest value everachieved for any GaN-based devices.

References[1] F. Medjdoub et al, Appl. Phys. Lett., 98, 22 (2011)223502-1-3[2] F. Medjdoub et al, Appl. Phys. Express, 6 (2013) 044001-1-3

[3] F. Medjdoub et al, IEEE Electron Device Lett., 33, 8 (2012)1168-1170

[4] F. Medjdoub et al, IEEE Electron Device Lett., 33, 9 (2012)1258-1260

Figure 2: RF, power and noise performances as well as a benchmark

of the power-gain cut-off frequency versus the off-state three-terminal breakdown voltage of GaN-on-Si devices

Figure 1: schematic cross section of the fabricated devices (left ) anda 100 nm gate etching using the Oxford Instruments ICP system(right)

Find out more about our superb systems: www.oxinst.com/plasma

Laser bar holder

Page 4: ZnO zinc oxide versus amorphous silicon nanowire ald better mobility prne_nov13.pdf

8/17/2019 ZnO zinc oxide versus amorphous silicon nanowire ald better mobility prne_nov13.pdf

http://slidepdf.com/reader/full/zno-zinc-oxide-versus-amorphous-silicon-nanowire-ald-better-mobility-prnenov13pdf 4/9

6 PROCESS NEWS PROCESS NEWS7

Update of photoresist masked silicon dioxideICP etching at Cornell University

This system has been provided with a gasring around the wafer electrode (Figure1) and a new gas pod with 12 gas linesincluding 6 uorocarbon gases whichcan directed either to the gas ring or theusual top of the ICP source. The PLC and

software have been updated to allow this(Figure 2).

Gas rings have been used in ICP systemsfor silane (ICP-CVD) and methane(InP, CMT etc. etching), but this is therst time uorocarbon gases havebeen introduced through a gas ringfor dielectric etching. The techniqueis attractive because ‘overcracking’ ofthe uorocarbon is reduced by lowerinuence of the inductively coupledpower. Overcracking can reduce theamount of ‘useful’ polymer and increasethe free uorine and a lower selectivitycan result.

Hitherto at OIPT, silicon dioxide (SiO 2)materials have been etched usingmixtures based on the familar C 4F8 orCHF3 gases. The other new aspect ofthe collabative work with CNF is to testnovel gases such as diuoromethane(CH2F2), hexauorobutadiene (C 4F6)and octauorocyclopentene (C 5F8).

These gases provide a high C:F ratioand thus are attractive for the polymerformation in the plasma vital forselective SiO2 etching. Also in the casesof C 4F6 and and C 5F8, the moleculeshave unsaturated carbon bonds whichprovides a different polymer chemistrybelieved to be favourable to dielectricetching. Literature reports for thesegases indicate excellent results [1, 2].The unsaturation also leads to low globalwarming potentials (Figure 3) as anotherbenet (saturated CH 2F2 still has much

lower GWP than either C 4F8 or CHF3).Disadvantages of these ‘new’ gases aremild toxicity, ammability and higher costthan C 4F8 or CHF3. In the case of C 5F8, thecost is prohibitive.

As stated above Vincent Genova hasstudied mixtures of the novel gaseswith O 2. Part of the cooperativeagreement with CNF is, whenappropriate a yearly visit byColin Welch to do some processdevelopment. The highlights of

2013’s visit were some excellentresults for thermal SiO 2 etchingobtained by high helium dilutionof the novel gases and use of thegas ring (Figures 4 and 5). Heliumdilution is an attractive approachbecause it can provide a cleanerprocess while also achieving highselectivities and steep proles (poorcleanliness and tapered prolesare two of the main challenges ofselective SiO2 etching). The highdilution also reduces usage cost of

In the July issue of PROCESSNEWS, Vincent Genova of Cornell Nanoscale Facility(CNF) reported on photoresist masked silicon-based dielectric etching comparingnovel gas chemistries (C 4F6-O2 and CH 2F2-O2) in a modied Plasmalab System 100ICP380 in the CNF cleanroom.

Colin Welch, Principal Etch Applications Engineer, Oxford Instruments

Figure 3: Global warming potentials foruorocarbon gases compared to CO 2

Gas GWP

CO2 1

CHF3 9100

C4F8 6000

CH2F2 2100

C4F6 0.1

Figure 1: Gas ring around electrode

Figure 2: Software page for gas control

Figure 4: 0.8µm thermal SiO 2 etched downto Si substrate using He-CH 2F 2

Figure 5: 0.8µm thermal SiO 2 etched downto Si substrate using He-C 4F 6

• C4F6 through gas ring

• High helium dilution

• 248nm/min

• SiO2: i-line PR 4.2:1

• Smooth, 90°prole

• Clean Si substrate

We recently launched an upgrade to existing Plasma Pro System 100 and Plasma ProSystem 133 PECVD tools, the TEOS delivery module, designed to improve

conformality. The TEOS module has applications in intermetal dielectrics, inparticular for higher aspect ratio or narrow pitch metal wiring designs.

Key benets:

• High quality, conformal deposition of SiO 2 forphotonics, dielectric layers and other structures

• Control of lm stress by pulsed high/lowfrequency power mixing

• Control of deposition directionality and hencedegree of step coverage by controlling oxygen radicals

Find out more about this and our other excellentupgrades

Extend the life of yoursystem with our manyupgrade options

As a result of our policy of continuous productdevelopment, we offer a range of systemupgrades that both extend the life of customersystems and add new functionality – forexample from one type of process to another.

Delivery module enabling TEOS-based PECVD inPlasma Pro 100 & Plasma Pro 133 process tools

For information about all our upgrades see our website www.oxinst.com/plasma or contact us at:[email protected]

the novel gas.

Further work will rene these recipes,check whole wafers, test electron beamresists and test plasma cleaning cycles.

References[1] Xi Li, Xuefeng Hua, Li Ling, and

Gottlieb S. Oehrlein: Fluorocarbon-based plasma etching of SiO 2: Comparison ofC 4F 6 /Ar and C 4F 8 /Ar discharges, J. Vac. Sci.Technol. A, Vol. 20, No. 6, Nov/Dec 2002

[2] Seung-bum Kim et al, Study on self-aligned contact oxide etching using C 5 F 8 / O2 /Ar and C 5 F 8 /O2 /Ar/CH 2F 2 plasma, J.Vac. Sci. Technol. A, Vol. 23, No. 4, Jul/

Aug 2005

• CH2F2 through gas ring

• High helium dilution

• 163nm/min

• SiO2: i-line PR 3.3:1

• Smooth, 91°prole

• Clean Si substrate

Page 5: ZnO zinc oxide versus amorphous silicon nanowire ald better mobility prne_nov13.pdf

8/17/2019 ZnO zinc oxide versus amorphous silicon nanowire ald better mobility prne_nov13.pdf

http://slidepdf.com/reader/full/zno-zinc-oxide-versus-amorphous-silicon-nanowire-ald-better-mobility-prnenov13pdf 5/9

8 PROCESS NEWS PROCESS NEWS9

Dr Gary Proudfoot, Principal Technologist & Dr Louise Bailey, Technology Development Engineer, Oxford Instruments

Magnetron sputtering at Oxford Instruments goes for gold‘Bringing the NanoworldTogether - 2013’: Our second seminar in India Following our successful seminar in Bangalore lastDecember, we’ve planned two more events to be heldthis year in India. BTNT 2013 will be held at Mohali(29th November) in association with “Indian Institute ofScience Education and Research Mohali”, “Institute ofNano Science and Technology”, and at Mumbai (2nd &3rd December) in association with “Indian Institute of

Technology Bombay’. The seminars will focus on cuttingedge nanotechnology tools and their use in multiple elds.

Each event will have two parallel sessions that will focuson thin lm processing, & materials characterisation,surface science and cryogenic environments, and a wide

range of topics will be covered within eachtechnical area. These sessions will includeguest international speakers from renownedresearch institutions, speakers from thehost institutes, and technical experts fromOxford Instruments. This will also presentan excellent opportunity for networkingbetween all participants.

The thin lm processing sessions will reviewlatest etch and deposition technological

advances, including: ALD, Magnetron Sputtering, ICPPECVD, Nanoscale Etch, MEMS, MBE and more.

Prof. Rudra Pratap, Chairperson at the Centre for NanoScience and Engineering, Indian Institute of Science,IISC Bangalore commented after last year’s event,“This seminar has been extremely well organised withcompetent speakers covering a variety of processes andtools for nano fabrication. It is great to have practitioners

of the art give talks and provide tips and solutions basedon their experience, something that cannot be found intext books.”

By providing these educational events in addition to ourhigh technology tools and superior service, we are provingour commitment to our customers, encouraging discussionand cross dissemination of ideas that will benet all thoseattending.”

This seminar is free of charge but prior booking is essential.

To nd out more or book a place:www.oxinst.com/btnt2013

For the past two years our technologistshave been quietly advancing the processcapabilities of our magnetron sputtertools, emphasising the objectives of

versatility combined with performancewhich underpins the mature design ofthe hardware.

This effort has greatly extended our process portfolio for thedeposition of metal contact layers, adhesion layers, diffusionbarriers and dielectric materials. The new process capabilitiesand the improved hardware features required to make thempossible are already providing benet in the manufacture ofhigh power lasers and custom MEMS devices.

The deposition of Ti/Pt/Au for metal contacts makes fulluse of the multi-material capability of the Plasma ProSystem 400 meeting the requirements for both p-type andn-type interfaces. We can now offer reactively deposited lowand high conductivity TiN both as a contact and diffusionbarrier. Higher vacuum performance has direct benet to thematerials properties of reactive metals such as titanium andaluminium. This coupled with the lower watervapour levels in the cryo-pumpedconguration mean, for example,

that higher reectivity aluminium canbe deposited to greater thicknessesthan previously possible.

Soon studies will commence on the valueof seed layers to this and other islandforming metals. European funding forstudies into the deposition of silicon andsilicon multi-layers enabled our researchteam to investigate high temperaturedeposition of nano-crystalline silicon for absorber

layers, and TCO’s for the front contact in silicon based photo-voltaic devices.

The tool performance envelope has been further widened toinclude thick and thin layers of silicon oxy-nitride reactivelydeposited using pulsed DC magnetron sputtering. This is aprecursor to the investigation of other metal oxy-nitrides. The

tool has even been showncapable of depositinghigh quality nano-meterthick dielectrics throughpost deposition oxidation

of nanometre thick metal lm. As a general multi-materialsputter tool, the Plasma Pro System 400 has come ofage to meet the needs of high performance low volumemanufacturing in applications ranging from high k dielectricsto contact metalisation and seed layers for nanotechnology.

To nd out more about the Plasma Pro System 400 and thematerials discussed here or others we have developed but notmentioned, please contact [email protected] for theappropriate data sheets and further information.

Sidewall Cover

Page 6: ZnO zinc oxide versus amorphous silicon nanowire ald better mobility prne_nov13.pdf

8/17/2019 ZnO zinc oxide versus amorphous silicon nanowire ald better mobility prne_nov13.pdf

http://slidepdf.com/reader/full/zno-zinc-oxide-versus-amorphous-silicon-nanowire-ald-better-mobility-prnenov13pdf 6/9

10 PROCESS NEWS PROCESS NEWS11

Single nanometer manufacturing

Partners at Bayreuth University havepioneered some novel vacuum-depositedmolecular glass materials with glasstransition temperatures well above 100C.These have been used to write patternsusing a modied atomic force microscope(AFM) at TU Ilmenau, and etched atOxford Instruments.

The plasma etch resistance of themolecular glasses was checked in SF 6,oxygen, chlorine and HBr plasma at

The European Framework 7 project ‘SNM’ started in January 2013, and has alreadyproduced some impressive results. A full session of the Micro Nano Engineeringconference (MNE 2013) at Imperial College London in September was devoted tothe results of this project, starting with an invited talk from Prof Ivo Rangelow, the

project coordinator.Oxford Instruments. The etch depth innanometres for the same etch processin these different gases is given in thegure to the right, for several differentmaterials. Spin casting and evaporationwere compared for some materials, withonly minor differences in etch resistance.A low etch depth indicates a bettermaterial here – these are intended asresist mask materials. Selectivity is ofgreat importance, because the tip-writingmethods under development can only

produce patterns in extremely thin layers(up to 20nm).

Prof Rangelow announced early resultsof plasma etching at Oxford Instruments,using a 60MHz/ 13.56MHz etch processin HBr. Etch depths of over 50nm weremeasured with a Si: mask selectivity of atleast 5:1. The tip-written patterns weresuccessfully transferred into silicon.

These rst results are targeted atestablishing the limits to existing patterntransfer methods. So far, plasma etchinghas been able to replicate to some degree

any pattern that can be made on silicon,even at 10nm. Proving reproducibleprole control below 10nm is in thefuture, limited in part by the availablemetrology methods. Partner VSL in theNetherlands brings expertise in metrologyAFM to the project for this reason.

Creating 10nm-wide patterns ateconomic throughputs remains asignicant challenge. However, plasmaetching has yet to run out of capability asthe dimensions shrink.

Source: The research leading to these results has received funding from the European Union’sSeventh Framework Programme FP7/2007-2013 under Grant Agreement No. 318804 (SNM:Single Nanometer Manufacturing for beyond CMOS devices).

Dr Mike Cooke, CTO, Oxford Instruments Plasma Technology Dr Dave Pearson, SeniorTechnologist, Oxford Instruments

Ion beam sputter depositionis used in a wide varietyof applications whereprecision lm control

and high quality, highperformance layer materialsare required.

onto the substrateand to allow the useof large targets to avoid beam overspill onto chamber furniture:for example, chambers in excess of 1m 3 have been used forthe deposition of low loss dielectric mirrors. An improvedunderstanding of beam trajectories and re-sputtered materialpaths has allowed the deposition of thin lms with very lowmetallic impurity content in a chamber volume below 0.5 m 3.

Thus, by optimising the sputter ion source, target and substrateconguration, and by arranging suitable shielding made ofan appropriate material in the process chamber, the levels ofcontaminants in the deposited lms have been reduced to aminimum. With this optimum hardware arrangement, the ionbeam process parameters were then optimised with respect tothe ppm levels of contaminants measured in the lms by SIMSanalysis.

Using the deposition of SiO 2 as a standard material for SIMScomposition analysis and impurity level determination, it hasbeen shown that our IBS deposition tool is capable of depositinglms with contamination levels of <50ppm for the total of allmetal impurities in the deposited lms.

However, one of the difculties of sputtering atarget material with an ion beam is the control ofthe beam shape and collimation so as to avoid any energeticions following trajectories whereby they could sputter othermaterials than the desired target material (e.g. from thesurrounding furniture and xtures); this could result in apotential contamination of the depositing lm with impuritymaterial. The effect of this on the performance of the depositedlm will depend on the particular application targeted, on thelevels of impurities and on the nature of the impurities.

The conventional wisdom to guarantee high purity thin lms inIBD has been to use a large vacuum chamber. The chamber sizewas important to minimise the effect of reected high energyparticles from the target surface sputtering chamber materials

Contamination control in ion beam sputterdeposited lms

Want to know more about the Ionfab 300Plus?Contact [email protected] for a brochureProgress over the 6 month contamination reduction project for an

8” round target

Page 7: ZnO zinc oxide versus amorphous silicon nanowire ald better mobility prne_nov13.pdf

8/17/2019 ZnO zinc oxide versus amorphous silicon nanowire ald better mobility prne_nov13.pdf

http://slidepdf.com/reader/full/zno-zinc-oxide-versus-amorphous-silicon-nanowire-ald-better-mobility-prnenov13pdf 7/9

12 PROCESS NEWS PROCESS NEWS13

ZnO atomic layer deposition for high performancethin lm nanowire eld effect transistors

The ultimate aim of the project is to produce a highperformance nanowire transistor technology for application inbiosensors and exible electronics. Both of these applicationsrequire a low temperature (< 200 °C) technology that iscompatible with the manufacture of nanowire transistors at lowcost. The Oxford Instruments Plasma Technology FlexAL systemis not only capable of depositing ZnO at temperatures below200 °C, but also offers the exquisite control of layer thickness

and composition that is needed in amanufacturing environment.

A top-down approach to ZnO nanowirefabrication has been adopted, asshown in Fig. 1. This has the advantageover conventional bottom-up, self-assembled nanowires that it providesnanowires in well-dened locations ona wafer and enables transistors with

different channel lengths to be produced on the same chip.This latter feature is important for the design of practicalelectronic circuits. Furthermore, our top-down approach usesstandard microelectronics technology and hence provides astraightforward route to manufacturing.

The key steps in this process are deposition of an insulator(e.g. silicon nitride) in an OIPT System 100, plasma enhanceddeposition of a silicon dioxide layer in a System 100, anisotropic

As part of the Southampton Nanofabrication Centre’s (SNC) CooperativeDevelopment agreement, we have been developing ZnO atomic layerdeposition processes and ZnO dry etch processes for the fabrication ofnanowires.

Prof Peter Ashburn and Dr Harold M. H. Chong, SouthamptonNanofabrication Centre, University of Southampton

substrate

Insulator

oxide

substrate

Insulator

oxide

ZnO ZnO nanowire

Figure 2: Cross-sectional SEM images of ZnO nanowires fabricated using a) reactive ion etch and b) inductively coupled plasma etching.The RIE etch was performed in an OIPT Plasmalab 80plus system using 25 sccm CHF 3 at a pressure of 20 mTorr and an RF power of 300W. The ICP etch was performed in an OIPT System 100 ICP 380 using 25 sccm CHF 3 , 300 W RF power, 1000 W ICP power and a pressureof 10 mTorr.

Figure 4: Output characteristics of ZnO nanowire transistorsfabricated using ZnO layers deposited at temperatures of a)150˚C, b) 170°C, c) 190°C and d) 210°C. The ALD process usedan initial Ar purge of 2s, 4s of oxygen plasma exposure, 1s ofDEZ dose time, an RF power of 100W, a pressure of 15mTorr anda nal Ar purge of 4s.

Figure 1: Schematic illustration of ZnO nanowire transistor fabrication.

oxide reactive ion etch in a Plasmalab 80plus, atomic layerdeposition of ZnO in a FlexAl RPX and anisotropic ZnO etchin either a Plasmalab 80plus or a System 100 ICP380. TheZnO nanowire forms on the sidewall of the vertical silicondioxide layer and the nanowire dimensions are dened by thestep height and the ZnO layer thickness. We have succeededin fabricating 40 nm ZnO nanowires using mature 6 µmlithography and either RIE or ICP etch, as shown in Fig. 2. TheICP etch gives the better results because a low value of surfaceroughness is obtained on the nanowire sidewall of around 1.5nm.

The ZnO atomic layer deposition conditions were investigatedby varying the deposition temperature between 100 and 210°C. Fig.3 shows the resistivity and Hall mobility as a function ofdeposition temperature. The Hall mobility increases slowly froma value of 6 cm 2 /V.s at a deposition temperature of 100 °C toa value of 30 cm 2 /V.s at 170 °C and then sharply increases to avalue of 120 cm 2 /V.s at 190 °C. The mobility then falls sharplyto a value of 16 cm 2 /V.s at a deposition temperature of 210 °C.The Hall effect mobility of 120 cm 2 /V.s is comparable with state

Figure 3: Resistivity and Hall mobility as a function of atomiclayer deposition temperature for ZnO layers deposited using aninitial Ar purge of 2s, 4s of oxygen plasma exposure, 1s of DEZdose time, an RF power of 100 W, a pressure of 15 mTorr and anal Ar purge of 4s.

of the art values of 120 – 155 cm 2 /V.s obtained for single-crystalZnO thin lms grown on sapphire substrates using molecularbeam epitaxy.

ZnO nanowire eld effect transistors have been fabricatedusing the process shown in Fig. 1 and output characteristics forfour different atomic layer deposition temperatures are shownin Fig. 4. Well behaved transistor characteristics are obtainedfor all four deposition temperatures, but the best results areobtained for a deposition temperature of 190 °C. Analysis of

the transistor characteristics gives a eld effect mobility of 10cm2 /V.s at this deposition temperature. This value is ten timeshigher than the value of around 1 cm 2 /V.s that is typicallyobtained for amorphous silicon thin lm transistors. Thisexcellent result demonstrates the promise of top-down ZnOnanowire technology for a wide variety of applications such asbiosensing and high-performance thin lm exible electronicsexible electronics.

Contact [email protected] for more informationabout our ALD processes and systems

Page 8: ZnO zinc oxide versus amorphous silicon nanowire ald better mobility prne_nov13.pdf

8/17/2019 ZnO zinc oxide versus amorphous silicon nanowire ald better mobility prne_nov13.pdf

http://slidepdf.com/reader/full/zno-zinc-oxide-versus-amorphous-silicon-nanowire-ald-better-mobility-prnenov13pdf 8/9

The best known method has beengrowth of monolayer or few-layergraphene from metal foil throughChemical Vapour Deposition (CVD)processes, in which copper or nickelsheet may be heated to up to 1000 oCand exposed to a hydrocarbon gas. 2,3 When the metal is cooled, carbonappears on the surface from thesupersaturated solid solution. Effortshave been made to manipulate thegrain size of the graphene by controllingthe substrate metal grain size.Graphene from this process requirestransferring by dissolving the metalsubstrate, and transferring to the targetsubstrate – a nontrivial procedure.

Recently we have demonstrated that

the Oxford Instruments Nanofab Agiletool with capability of CVD and PECVDprocess can be used for the growth ofgraphene and related materials. ForCVD processes, using a tool modiedfor higher temperature operation, nickelor copper foils were reduced in situ withhydrogen plasma before exposure to

hydrocarbon gas. The Raman spectrumshown in Fig. 1 demonstrates thepresence of graphene through thesharp ‘G’ peak and ‘2D’ peak.

For plasma-based process, incollaboration with Dr. Harold Chongat Southampton University, we haveinvestigated if graphene and graphene-like materials can be made at lowertemperature directly on the substratewithout a metal catalyst. Results were

presented in Graphene 2012 and 2013conferences. 4 Well below 1000°C,layers were produced with signicantgraphene phase and very small grainsize. Such materials have been dubbed‘nanocrystalline graphene (NCG)’. TheRaman spectra from 900°C materialon various substrates are shown in theFig. 2. Another type of graphene-likematerial, a high density of vertical platesof carbon as shown in Fig. 3, which isalso named as ‘carbon nanosheets’, isprepared in IMEC through a plasma-based process on an Oxford InstrumentsNanofab tool. 5

14 PROCESS NEWS

Silicon Nano Pillar Sensor(SiNaPS)Mohammadreza Khorasaninejad, winner of ourtechnical article competitionOptical sensors based on dielectric cavity, plasmonic interactions andRaman and uorescence spectroscopies have been demonstrated.However, these methods typically involve expensive equipments suchas spectrometers and/or laser sources to measure spectral changes inpresence of detectants. In addition, for most of these sensing methods,having bulky structure and critical alignment requirements limit the sensorsfunctionality especially for in eld measurements. Nanotechnology canplay a signicant role to surpass these impediments. As essential physicalproperties of nano-structures can be altered with regard to properties ofbulk material there is a possibility to not only improve performance ofpresent sensors but also realize novel strategies.

Already the material of choice for electronics for decades, silicon has now

emerged as the premier material in photonics, offering cost-effectivesolutions for several applications. Recently, silicon nano-structureshave attracted a great deal of interest due to their ability to introduceinteresting and new properties to the silicon photonics. For example,silicon nanopillars reect vivid color under normal white illumination. Usingthis property of silicon nanopillars, University of Waterloo researchersdemonstrate cost effective sensor with resolution comparable tointegrated optics.

Silicon nanopillars are fabricated using electron beam lithographytechnique and anisotropic deep etching of silicon in an ICP-RIE plasmaetcher (Oxford Plasmalab 100 ICP380) with diameters ranging from 50 to400 nm and length of few micrometer. Depending on the nanopillar sizesand conguration, different colors are reected spanning the entire visiblespectra. Due to optical coupling between adjacent silicon nanopillars,their reected colors are highly sensitive to the refractive index of thesurrounding medium. Therefore, by simply recording the change of colorby a CCD camera and doing basic image processing, the refractive indexchanges in surrounding region can be ascertained.

Says researcher Mohammadreza Khorasaninejad“The sensor has a refractive index resolutionof ~10 -5 competing with photonicintegrated circuit based sensors at a fractionof the cost. In Nanophotonics and IntegratedOptoelectronics laboratory , we are working

on the next step of project to integratethis sensing platform to a smart phone asa detecting and processing apparatus forvast area of applications ranging from foodand water safety to environmentalmonitoring”.

Mohammadreza shows results fromhis work on his new iPad!

Bright-eld optical microscopeimage of 12 different nanowirearrays. Vivid colors which aredependent on diameter are

seen. The scale bar is 200 µm.

Technical articleprize drawcompetition resultCongratulations go toMohammadreza Khorasaninejadwhose paper on ‘Color MatrixRefractive Index Sensors UsingCoupled Vertical Silicon NanowireArrays’ was the rst to be pulledout of the hat.

We asked you if you had doneanything exciting with ourtools – and if so to let us knowand have the chance to win anApple iPad mini 16GB. We knowthat our leading and innovativeplasma systems are used to createamazing breakthrough projectsand empower the production ofhigh tech ideas.

Read about MohammadrezaKhorasaninejad’s work opposite.

Business Group DirectorMichelle Bourke pullsMohammadreza’s winningname from the entries

Ever since Andre Geim and Konstantin Novoselov of University of Manchester,UK were awarded the Nobel prize in physics in 2010 “for groundbreakingexperiments regarding the two-dimensional material graphene”, 1 there hasbeen a tremendous surge in interest in graphene and other two dimensional

materials. While exfoliated akes remains the gold standard for low-defectgraphene, this has only been usable as a research tool, so there have also beenmajor efforts to nd a viable processing method for graphene over large area.

Graphene and related materials

Cigang Xu, Senior Technologist and Mike Cooke, Chief Technology Ofcer,Oxford Instruments Plasma Technology

Figure 1: Raman spectrum of graphene grown from Ni foil in OIPT Nanofab Agiletool (Measurement with the courtesy ofSouthampton University)

Figure 2: Raman spectra of NCG on thermally grown SiO 2 , sapphire and quartz glass 4

Figure 3: Cross-sectional SEM image of verticalcarbon nanosheets, scale bar is 200nm 5

Please contact us for more information on grapheneand related materials: [email protected]

References[1] K. S. Novoselov, A. K. Geim, S. V. Morozov, D.

Jiang, Y. Zhang, S. V. Dubonos, I. V. Grigorieva, A. A.Firsov, Science, 2004, 306, 666.

[2] K. S. Kim, Y. Zhao, H. Jang, S. Y. Lee, J. M. Kim,K. S. Kim, J. H. Ahn, P. Kim, J. Choi, and B. H. Hong.Nature, 2009, 457, 706.

[3] X. S Li.; W. W Cai.; J. H An; S. Kim; J Nah.;D. X Yang.; R. D Piner.; A. Velamakanni,; I. Jung;E. Tutuc,; S.K Banerjee,; L. Colombo; RS. Ruoff,Science 2009, 324, 1312.

[4] M. E. Schmidt, C. Xu, M. Cooke, H. Mizuta, H.M.H. Chong, 2012 Graphene 2012, Brussels

[5] D. J. Cott, M. Verheijen, O. Richard, I. Radu , S. DGendt, S. van Elshocht, P. M. Vereecken, Carbon 2013,http://dx.doi.org/10.1016/j.carbon.2013.02.030

Page 9: ZnO zinc oxide versus amorphous silicon nanowire ald better mobility prne_nov13.pdf

8/17/2019 ZnO zinc oxide versus amorphous silicon nanowire ald better mobility prne_nov13.pdf

http://slidepdf.com/reader/full/zno-zinc-oxide-versus-amorphous-silicon-nanowire-ald-better-mobility-prnenov13pdf 9/9

16 PROCESS NEWS

Dr David Haynes recently joined our company as Salesand Marketing Director.With over 15 Years in the semiconductorcapital equipment sector, as Global Sales andMarketing Director and then VP of StrategicBusiness Partnerships, David has focusedon enabling technology developments andintroductions in plasma etch and depositionfor optoelectronics, photonics, RFI-IC, DataStorage, MEMS and advanced chip scalepackaging technologies.

In 2010, David Joined Oxford InstrumentsNanoscience as Sales and Marketing

Director, with responsibility for Sales,Marketing, Customer Support & NewProduct Development, and more recently hehas most been the Business DevelopmentDirector at Oxford Instruments OmicronNanoScience.

“I am very excited to be given this

opportunity to bring my experience in thesemiconductor capital equipment sector tobear at Oxford Instruments.”, says Dr DavidHaynes.

“This new position will enableme to fully utilise my extensiveknowledge of the industry, andI hope to make a signicantcontribution to the futuregrowth of the business.”Dan Ayres, Managing Director at OxfordInstruments Plasma Technology said, “I amdelighted that David has joined our seniormanagement team at such an exciting stagein our company’s development. David bringsan excellent track record and wide breadthof experience in our industry that will helpenable us to achieve our long term goals,and I look forward to working closely withhim to realise our company’s full potential.”

www.oxford-instruments.com/plasma for more information or scan the code...

This publication is the copyright of Oxford Instruments Nanotechnology Tools Ltd and provides outline information only, which (unlessagreed by the company in writing) may not be used, applied or reproduced for any purpose or form part of any order or contract orregarded as the representation relating to the products or services concerned. Oxford Instruments’ policy is one of continued improvement.The company reserves the right to alter without notice the specication, design or conditions of supply of any product or service. OxfordInstruments acknowledges all trademarks and registrations. © Oxford Instruments Nanotechnology Tools Ltd, 2013. All rights reserved.Ref: OIPT/ProcessNews/2013/02

Oxford InstrumentsPlasma Technology

For more information please email:[email protected]

UK

YattonTel: +44 (0)1934 837000

GermanyWiesbadenTel: +49 (0)6122 937 161

JapanTokyoTel: +81 3 5245 3261

PR China

BeijingTel: +86 10 6518 8160/1/2

ShanghaiTel: +86 21 6132 9688

SingaporeTel: +65 6337 6848

TaiwanTel: +8863 578 8696

US, Canada & Latin AmericaConcord, MA

TOLLFREE: +1 800 447 4717

www.oxford-instruments.com

Oxford Instruments Plasma Technologyfocuses for the future

Strengthening our management team withSales Director appointment