Verdi3 and Siloti Tcl Reference - VC Apps · Contents ii Verdi3 and Siloti Tcl Reference Invoke the...

1216
Verdi 3 and Siloti Tcl Reference Synopsys, Inc.

Transcript of Verdi3 and Siloti Tcl Reference - VC Apps · Contents ii Verdi3 and Siloti Tcl Reference Invoke the...

  • Verdi3 and SilotiTcl Reference

    Synopsys, Inc.

  • PrintingPrinted on January 3, 2013.

    VersionThis manual supports the Verdi3TM Automated Debug Platform 2013.01 and higher versions. You should use the documentation from the version of the installed software you are currently using.

    Copyright and Proprietary Information NoticeCopyright (c) 2013 Synopsys, Inc. All rights reserved. This software and documentation contain confidential and proprietary information that is the property of Synopsys, Inc. The software and documentation are furnished under a license agreement and may be used or copied only in accordance with the terms of the license agreement. No part of the software and documentation may be reproduced, transmitted, or translated, in any form or by any means, electronic, mechanical, manual, optical, or otherwise, without prior written permission of Synopsys, Inc., or as expressly provided by the license agreement.

    Destination Control StatementAll technical data contained in this publication is subject to the export control laws of the United States of America. Disclosure to nationals of other countries contrary to United States law is prohibited. It is the reader’s responsibility to determine the applicable regulations and to comply with them.

    DisclaimerSYNOPSYS, INC., AND ITS LICENSORS MAKE NO WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, WITH REGARD TO THIS MATERIAL, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE.

    TrademarksSynopsys and certain Synopsys product names are trademarks of Synopsys, as set forth at http://www.synopsys.com/Company/Pages/Trademarks.aspx.All other product or company names may be trademarks of their respective owners.Synopsys, Inc.700 E. Middlefield RoadMountain View, CA 94043www.synopsys.com

    http://www.synopsys.com/Company/Pages/Trademarks.aspxhttp://www.synopsys.com

  • Contents

    ContentsIntroduction 1

    Overview.............................................................................................................1Tk Command Client ...........................................................................................2

    Invoking Verdi from Another Tk Application ..............................................2Invoking Commands from Another Tk Application .....................................3Adding Event Callbacks to Tk Applications.................................................3User - Defined Event Callbacks ..................................................................20Replaying Commands .................................................................................21Tk Commands .............................................................................................21Debugging ...................................................................................................21

    Socket Command Client ...................................................................................23Overview .....................................................................................................23Setting Up Verdi as a Server .......................................................................23Setting Up a Client Process.........................................................................24Application Programming Interface (API) References...............................24CMDCLT CmdCltCreate(char silent).........................................................25void CmdCltDestroy(CMDCLT cmdclient) ...............................................25void CmdCltConnect(CMDCLT cmdclient, int port, char* serverName, int timeout) .......................................................................................................26void CmdCltSetAbortProc(CMDCLT cmdclient, procVoid func, void* clientData) ...................................................................................................27char CmdCltCallCommand(CMDCLT cmdclient, char* command, char async, char** returnString) ....................................................................................27char CmdCltAddEventCallback(CMDCLT cmdclient, char* reason, procVoid func, void* clientData);...............................................................................28void CmdCltCheckForEvents(CMDCLT cmdclient) .................................30char CmdCltCheckConnection(CMDCLT cmdclient, char** errorMsg)...30char* CmdCltGetDotFormat(char* machineName); ..................................30char* CmdCltGetLocalMachineName() .....................................................30int CmdCltGetFreePort().............................................................................31char CmdCltIsPortFree(int port) .................................................................31

    Tutorials 33

    How to Create and Use a Command Replay File .............................................33How to Invoke Verdi Commands from a Tk Application ................................35

    How the Tk Application communicates with Verdi ...................................35

    Verdi3 and Siloti Tcl Reference i

  • Contents

    Invoke the Tk application............................................................................36Support Verdi Windows in Tk Containers..................................................37

    C Application....................................................................................................41Setup Verdi As a Server ..............................................................................41Implement a Client Process.........................................................................41

    References 47

    Verdi Common Command Rules......................................................................47Current Window Concept ...........................................................................47Naming Style...............................................................................................47Parameter Sequence ....................................................................................48Color Names................................................................................................48Line style .....................................................................................................50Font Name...................................................................................................51Radix ...........................................................................................................51

    System Tcl Commands 53

    Environment Commands ..................................................................................53sysGetEnv ...................................................................................................53sysPutEnv....................................................................................................53

    General System Commands..............................................................................55sysConsoleEnable, sysInfoEnable, sysWarnEnable ...................................55sysEnableTclAutoEscape............................................................................55sysEnableTclEventFilter .............................................................................56sysRaiseWindow .........................................................................................56

    User Interface Commands 57

    Graphical User Interface Commands................................................................57verdiHideBanners........................................................................................57verdiSetPrefEnv ..........................................................................................57wtHideWindow ...........................................................................................58wtShowWindow..........................................................................................59

    Menu and Toolbar Commands .........................................................................60qwAction .....................................................................................................60qwActionGroup...........................................................................................62qwAddMenuGroup .....................................................................................63qwAddMenuAction.....................................................................................64qwAddToolBarAction.................................................................................64qwAddToolBarGroup .................................................................................65qwConfig.....................................................................................................66

    ii Verdi3 and Siloti Tcl Reference

  • Contents

    qwRemoveMenuGroup ...............................................................................68qwRemoveToolBarGroup ...........................................................................69

    Miscellaneous Commands 70

    Message Command...........................................................................................70nsMsgClearMsg ..........................................................................................70nsMsgSave ..................................................................................................70

    Name-mapping Command................................................................................72debSetUserNameMapFunc .........................................................................72

    nTrace 75

    Window.............................................................................................................75debCloseAllWindows .................................................................................75debExit ........................................................................................................75srcCloseWindow .........................................................................................76srcCreateWindow........................................................................................76srcGetXWindowId ......................................................................................77srcHBDrag...................................................................................................77srcHBDrop ..................................................................................................78srcHideHBWindow .....................................................................................78srcLowerWindow........................................................................................79srcRaiseWindow .........................................................................................80srcResizeWindow........................................................................................80srcViewImportLogFile ................................................................................81

    File ....................................................................................................................82debImport ....................................................................................................82debReload....................................................................................................83srcCompile ..................................................................................................83srcGetCaretFile ...........................................................................................84srcGetCaretLine ..........................................................................................84srcOpenSrcByImportLog ............................................................................85debRestoreSession.......................................................................................86debSaveSession ...........................................................................................86debLoadSimResult ......................................................................................87debAppendSimResult..................................................................................87debCloseSimResult .....................................................................................88debGetImportError ......................................................................................88debGetImportWarning ................................................................................89srcGetDefLine .............................................................................................89srcGetFileName...........................................................................................90srcSaveAs....................................................................................................91

    Verdi3 and Siloti Tcl Reference iii

  • Contents

    Scope.................................................................................................................92srcSetScope .................................................................................................92srcGetScope.................................................................................................92

    Variable.............................................................................................................94srcDumpVariableValue...............................................................................94

    Trace .................................................................................................................95srcActiveTrace ............................................................................................95srcBackwardHistory ....................................................................................96srcForwardHistory.......................................................................................96srcGetConnectedSignalList.........................................................................97srcNextTraced .............................................................................................97srcPrevTraced..............................................................................................98srcResetHistory ...........................................................................................99srcResetTracedMarkColor ..........................................................................99srcSaveHistoryToFile................................................................................100srcTraceConnectivity ................................................................................100srcTraceDriver...........................................................................................101srcTraceFanIn............................................................................................102srcTraceFanOut .........................................................................................103srcTraceLoad.............................................................................................103

    Radix...............................................................................................................105srcSetRadix ...............................................................................................105srcSetParaRadix ........................................................................................105srcAddAliasFile.........................................................................................106srcAddAliasProgram .................................................................................107srcRemoveAlias ........................................................................................107

    Select...............................................................................................................109srcAction ...................................................................................................109srcAddSelectedToWave............................................................................109srcDeselectAll ...........................................................................................110srcGetSelectSet .........................................................................................110srcHBGetSelSet.........................................................................................111srcHBSelect...............................................................................................112srcHBSetMultiSel .....................................................................................113srcSelect ....................................................................................................113

    nBench ............................................................................................................116srcOpenHVLDrvLoadTable......................................................................116hvlBrowserSignalInvoke...........................................................................116hvlBrowserSignalSet.................................................................................117hvlBrowserSignalAdd ...............................................................................117hvlBrowserSignalDumpAu .......................................................................118HvlTraceHDLDriver .................................................................................119

    iv Verdi3 and Siloti Tcl Reference

  • Contents

    HvlTraceHDLLoad ...................................................................................119srcHVLDrvLoadTableSet .........................................................................120srcHVLDrvLoadTableDump ....................................................................120

    OVA/PSL........................................................................................................122debLoadPropFiles .....................................................................................122srcOpenPropViewer ..................................................................................122srcGotoPropObj.........................................................................................123

    SDF .................................................................................................................125debLoadSDFFile .......................................................................................125debCloseSDFFile ......................................................................................126srcViewSDFLog........................................................................................126

    View................................................................................................................127debSignalAlias ..........................................................................................127srcAddBookMark......................................................................................127srcDelBookMark .......................................................................................128srcDisplaySubprog ....................................................................................129srcDumpPara .............................................................................................129srcDumpUserDefColorMap ......................................................................130srcDumpVariableValue.............................................................................131srcExportHier ............................................................................................131srcFindScope .............................................................................................132srcFindScopeGoto .....................................................................................133srcGetBookMark .......................................................................................134srcGetBookMarkNum ...............................................................................135srcGotoBookMark.....................................................................................135srcGotoCurStatement ................................................................................136srcGotoInstPort .........................................................................................136srcGotoLine...............................................................................................137srcGoto1stExec .........................................................................................138srcHBShowInfo.........................................................................................138srcHBShowLibCell ...................................................................................139srcLabelBookMark....................................................................................139srcPopViewUp ..........................................................................................140srcPushViewIn ..........................................................................................141srcSchematicView.....................................................................................141srcSearchString .........................................................................................142srcShowCalling .........................................................................................143srcShowDeclaration ..................................................................................144srcShowDefine ..........................................................................................144srcShowEntity ...........................................................................................145srcShowFile...............................................................................................145srcShowReference.....................................................................................146

    Verdi3 and Siloti Tcl Reference v

  • Contents

    srcShowSignalDefine ................................................................................147srcShowSignalType...................................................................................147srcShowSymbol.........................................................................................148srcSignalView ...........................................................................................149srcSignalViewCollapse .............................................................................149srcSignalViewExpand ...............................................................................150srcSignalViewFilterByType......................................................................150srcSignalViewSelect .................................................................................152srcSignalViewSetFilter .............................................................................152srcSignalViewSort.....................................................................................153srcSourceCodeView..................................................................................153

    Search .............................................................................................................155srcSetSearchMode.....................................................................................155srcSetCursorTime......................................................................................156srcGetCursorTime .....................................................................................156srcSearchPrev............................................................................................157srcSearchNext ...........................................................................................157srcFndInstportCreate .................................................................................158srcFndSignalSearch...................................................................................158srcFndInstSearch .......................................................................................159srcFndInstportSearch.................................................................................160srcFndInstportSel ......................................................................................161srcFndInstportDrag ...................................................................................162srcFndInstportDumpAU............................................................................162srcFndInstportSave....................................................................................163srcMatchParenthesis..................................................................................163srcWatchExpMatchParenthesis.................................................................164

    Interactive .......................................................................................................166simEnable ..................................................................................................166simRun ......................................................................................................166simContinue ..............................................................................................167simReset ....................................................................................................167simStop......................................................................................................167simFinish ...................................................................................................168simKill.......................................................................................................168simGotoNextTime.....................................................................................169simGotoNextEvent ....................................................................................169simGotoTime.............................................................................................169simGetTime...............................................................................................170simSetKeepBreakPoints ............................................................................170simSetSimulator ........................................................................................171simSetNoAppendOption ...........................................................................171

    vi Verdi3 and Siloti Tcl Reference

  • Contents

    simStopAtTimeZero..................................................................................172simGetStatus .............................................................................................172simSendCommand ....................................................................................173simSetWorkDir .........................................................................................173tbDebugRunSim........................................................................................174tbDebugSimQuit .......................................................................................174tbDebugStepNext ......................................................................................175

    Debug..............................................................................................................176srcAddDumpScope ...................................................................................176srcCallStackDown.....................................................................................176srcCallStackReturn....................................................................................177srcCallStackUp..........................................................................................177srcCloseCallStackWin...............................................................................178srcGetCurrentValue...................................................................................178srcGotoCallStack.......................................................................................179srcOpenCallStackWin ...............................................................................179

    Browse Cell Summary....................................................................................180srcBrowseCellCreate.................................................................................180srcBrowseCellSetScope ............................................................................180srcBrowseCellSelInst ................................................................................181srcBrowseCellSave ...................................................................................181

    Watch Window ...............................................................................................183wtchCreateWindow...................................................................................183wtchCloseWindow ....................................................................................183wtchAddSignal ..........................................................................................184wtchDeleteSignal ......................................................................................184wtchAction ................................................................................................185wtchExpandBus.........................................................................................186wtchSetSearchMode..................................................................................186wtchSetCursorTime...................................................................................187wtchSearchPrev.........................................................................................187wtchSearchNext ........................................................................................188wtchSetOptions .........................................................................................188wtchSetAliasName....................................................................................189wtchSetAvailableTab ................................................................................189wtchSaveToFile.........................................................................................190wtchRestoreFromFile ................................................................................190srcWatchExpOpen.....................................................................................191srcWatchExpClose ....................................................................................191srcWatchExpAdd ......................................................................................192srcWatchExpExpand .................................................................................192srcWatchExpCollapse ...............................................................................193

    Verdi3 and Siloti Tcl Reference vii

  • Contents

    srcWatchExpOneLevel .............................................................................193srcWatchExpDump ...................................................................................194srcWatchExpSetTime................................................................................194

    Show Variable ................................................................................................195shvrCreateWindow....................................................................................195shvrCloseWindow .....................................................................................195shvrAddVariable .......................................................................................196shvrDelVariable ........................................................................................196

    Access .............................................................................................................198debFind......................................................................................................198debGetVersion...........................................................................................199debIterTopScope .......................................................................................199debIterChildScope.....................................................................................199debIterIOPort.............................................................................................200debIterRecordField....................................................................................201debIterNext................................................................................................201debIterCancel ............................................................................................202debSaveAllFileNames...............................................................................202debSaveAllModuleNames.........................................................................203debSaveAllTaskNames .............................................................................204debSaveAllFunctionNames.......................................................................205debIsScopeSwitchable...............................................................................205debSetAnnotPartialBus .............................................................................206srcIsRecordType .......................................................................................207

    Right-click Commands ...................................................................................208srcAddBlockSignalToWaveform..............................................................208srcCopyInstFullPath ..................................................................................209srcCopySignalFullPath..............................................................................209srcFunctionStepin......................................................................................210srcFunctionStepout....................................................................................210srcBackToLastScope.................................................................................211srcExpandTreebyLevel .............................................................................211srcRMBClkObj .........................................................................................212srcClearMessage .......................................................................................212srcAssertDebOpen.....................................................................................213srcAssertDebClose ....................................................................................213srcAssertDebExpExpand...........................................................................214srcAssertDebExpandAll ............................................................................214srcAssertDebCollapse ...............................................................................215srcAssertDebSelect ...................................................................................215srcAssertDebChangePath ..........................................................................216srcSignalViewAddSelectedToWave .........................................................216

    viii Verdi3 and Siloti Tcl Reference

  • Contents

    srcSignalViewSaveSelSignalsToFile ........................................................217Configuration..................................................................................................218

    srcConvertSigNameCase...........................................................................218srcJumpFolder ...........................................................................................218srcSetBGHighLightColor..........................................................................219srcSetDisplayAttr ......................................................................................221debSetHBOptions......................................................................................222srcSetOptions ............................................................................................222srcSetPreference ........................................................................................224srcSetUserDispAttr ...................................................................................229srcVHDLGetFullPath................................................................................231srcSetVHDLSimType ...............................................................................231srcToggleFolder ........................................................................................232

    Print.................................................................................................................234srcPrint ......................................................................................................234srcCapture .................................................................................................235srcAppendTextToMsgWin........................................................................236

    Memory Definition Table ...............................................................................237tfgDefineMem ...........................................................................................237tfgUndefineMem .......................................................................................238tfgDefineMemInit .....................................................................................238tfgDefineMemWrite ..................................................................................240tfgDefineMemRead...................................................................................241tfgDefineMemBypass ...............................................................................243tfgDefineMemReadBypass .......................................................................244tfgSaveMemDef ........................................................................................245tfgLoadMemDef........................................................................................246tfgLoadMemDefFileList ...........................................................................247tfgListMemDef..........................................................................................247tfgListMemModMap.................................................................................248

    nSchema 249

    Window...........................................................................................................249schCreateWindow .....................................................................................249schGetCurrentWindow..............................................................................255schSetCurrentWindow ..............................................................................256schGetAllWindows ...................................................................................256schRaiseWindow.......................................................................................257schLowerWindow .....................................................................................257schCloseWindow.......................................................................................258schGetXWindowId....................................................................................258

    Verdi3 and Siloti Tcl Reference ix

  • Contents

    schExport...................................................................................................259debSetLDCAction .....................................................................................259schConfigDelimiter ...................................................................................262

    Trace ...............................................................................................................264schAddTracedToWave..............................................................................264schBackwardTraceHistory ........................................................................264schCollapse ...............................................................................................265schDumpTracedLeafPin............................................................................265schExpandDriver.......................................................................................266schExpandLoad .........................................................................................267schForwardTraceHistory...........................................................................268schIterCellView.........................................................................................268schIterInst ..................................................................................................269schIterNet ..................................................................................................269schIterNext ................................................................................................270schIterPort .................................................................................................271schIterQuery ..............................................................................................271...................................................................................................................272schIterStop.................................................................................................272schListPorts ...............................................................................................273schNextTraced...........................................................................................273schPrevTraced ...........................................................................................274schPropSetting...........................................................................................275schQueryObj .............................................................................................276schRemoveTraceColor ..............................................................................277schResetHistory.........................................................................................277schSetTraceOptions...................................................................................278schTraceConnectivity................................................................................278schTraceDriver ..........................................................................................279schTraceFanIn ...........................................................................................280schTraceFanOut ........................................................................................281schTraceLoad ............................................................................................282schTraceReport .........................................................................................283schTrace2Points ........................................................................................285schTrace2Signals.......................................................................................286schTraceSignalStatus ................................................................................288schTraceSLPath.........................................................................................289

    Trace by Level ................................................................................................290schTraceDriverByLevel ............................................................................290schTraceLoadByLevel ..............................................................................291schTraceConnectivityByLevel ..................................................................292schTraceFanInByLevel .............................................................................293

    x Verdi3 and Siloti Tcl Reference

  • Contents

    schTraceFanOutByLevel...........................................................................294Analysis Result ...............................................................................................296

    schShowAnaReport...................................................................................296schShowAnaRuleEdit ...............................................................................297schShowAnaSuppressList .........................................................................298

    FSM ................................................................................................................300schExtHierFSM.........................................................................................300schExtendFSM ..........................................................................................300schViewFSM.............................................................................................301

    Scope...............................................................................................................302schSetScope...............................................................................................302schPushViewIn..........................................................................................303schPopViewUp..........................................................................................303schGetScope..............................................................................................304schSaveCurrentScope................................................................................304

    Radix...............................................................................................................306schAddAliasFile ........................................................................................306schAddAliasProgram ................................................................................306schRemoveAlias........................................................................................307schSetSignalRadix.....................................................................................307

    Select...............................................................................................................309schSelect....................................................................................................309schSelectAll...............................................................................................310schDeselectAll...........................................................................................310schAddSelectedToWave ...........................................................................311schGetSelectSet.........................................................................................311schGetSelectCount ....................................................................................312schGetInstName ........................................................................................313schGetInstPort ...........................................................................................314searchBusAuto ..........................................................................................315schGetComponentName ...........................................................................316

    View................................................................................................................317schAddAnnotation.....................................................................................317schAddViewMark .....................................................................................319schCellDelay .............................................................................................320schDeleteAnnotation .................................................................................320schDelViewMark ......................................................................................321schDisplaySource ......................................................................................322schFit .........................................................................................................322schFocusConnection .................................................................................323schLastView..............................................................................................323schModifyPopDownTime .........................................................................324

    Verdi3 and Siloti Tcl Reference xi

  • Contents

    schPanDown..............................................................................................324schPanLeft.................................................................................................325schPanRight...............................................................................................325schPanUp...................................................................................................326schPruneLogic...........................................................................................326schRedraw .................................................................................................327schSetMsgLine ..........................................................................................328schSetOptions............................................................................................328schSwitchToViewMark.............................................................................329schSwitchView..........................................................................................330schZoomIn.................................................................................................331schZoomOut ..............................................................................................331

    Search .............................................................................................................333schSetSearchMode ....................................................................................333schSetCursorTime .....................................................................................333schSearchPrev ...........................................................................................334schSearchNext...........................................................................................334

    Edit..................................................................................................................336schUndo.....................................................................................................336schRedo .....................................................................................................336schExpand .................................................................................................337schSetViewObjOption...............................................................................338schAddViewObj ........................................................................................338schRemoveViewObj .................................................................................340schCreatePort ............................................................................................340

    Editable Schematics........................................................................................342schMoveObj ..............................................................................................342schRotate ...................................................................................................342schFlip .......................................................................................................343schCapture.................................................................................................343schRemoveViewObj .................................................................................344schAddViewObj ........................................................................................345schAddComment.......................................................................................346schRearrangeSch .......................................................................................347

    Clock...............................................................................................................348schCollectBlackBox..................................................................................348schSetAutoMergeOption...........................................................................348schShowBBInfo ........................................................................................349

    Right-Click Commands ..................................................................................351schCopyFullPathToClipborad...................................................................351schShowFocusConnection ........................................................................351schDisplayDetailRTL................................................................................352

    xii Verdi3 and Siloti Tcl Reference

  • Contents

    Configuration..................................................................................................353schCellDelayOptions.................................................................................353schChangeDisplayAttr ..............................................................................353schGroupInstMgr ......................................................................................355schResetDisplayAttr..................................................................................356schResetPRConstraint ...............................................................................357schSetDisplayAttr .....................................................................................357schSetLibSetByFile...................................................................................358schSetOptions............................................................................................359schSetPRConstraint...................................................................................361schSetPreference .......................................................................................361

    Print.................................................................................................................368schPrint......................................................................................................368schCapture.................................................................................................370

    nWave 371

    Window...........................................................................................................371wvCloseWindow .......................................................................................371wvCreateWindow......................................................................................371wvExit .......................................................................................................372wvGetAllWindows....................................................................................372wvGetCurrentWindow ..............................................................................372wvGetFileTimeUnit ..................................................................................373wvGetXWindowId ....................................................................................373wvGetWindowTimeUnit...........................................................................374wvLowerWindow......................................................................................374wvRaiseWindow .......................................................................................375wvRefresh .................................................................................................375wvResizeWindow .....................................................................................376wvSetCurrentWindow...............................................................................377wvSetPrimaryWindow ..............................................................................377wvSplitWindow.........................................................................................378wvSyncVerticalScroll ...............................................................................378wvSyncWindow ........................................................................................379wvTileWindow..........................................................................................379

    File ..................................................................................................................381wvCloseFile...............................................................................................381wvConvertFile...........................................................................................381wvIsFileOpen ............................................................................................382wvOpenFile ...............................................................................................383wvReloadFile ............................................................................................384

    Verdi3 and Siloti Tcl Reference xiii

  • Contents

    wvSaveVirtualFile.....................................................................................384wvSetActiveFile ........................................................................................385wvSetFileTimeRange................................................................................386wvShiftFileTime .......................................................................................386wvVirtualFileEditorClose .........................................................................387wvVirtualFileEditorOpen..........................................................................388

    Scope...............................................................................................................389wvGetScope ..............................................................................................389wvGetSignalsByScope..............................................................................389wvSetHierDelimiter ..................................................................................390

    Select...............................................................................................................391wvDeselectAll ...........................................................................................391wvSelectAll ...............................................................................................391wvSelectAnalogs.......................................................................................392wvSelectGroup..........................................................................................392wvSelectSignal ..........................................................................................393wvSelectStuckSignals ...............................................................................394

    Signal ..............................................................................................................395wvAddSignal.............................................................................................395wvAddAllSignals ......................................................................................397wvClearAll ................................................................................................397wvCreateOverlapValue .............................................................................398wvDeleteSignal .........................................................................................398wvDumpSignalListToFile.........................................................................399wvExtractSelSignals .................................................................................400wvFindSignal ............................................................................................401wvGetSelectedPureSignals .......................................................................402wvIsSignalExistInFSDB ...........................................................................403wvRenameSignal.......................................................................................404wvReportSelSignals ..................................................................................404wvRestoreSignal .......................................................................................405wvSaveSignal ............................................................................................406wvSaveSignalRC.......................................................................................407wvShiftSignalTime ...................................................................................409wvSplitInout ..............................................................................................409wvSignalReport.........................................................................................410wvUnknownSaveResult ............................................................................411

    Bus ..................................................................................................................412wvAddFullBus ..........................................................................................412wvBusAdjust .............................................................................................412wvBusInvert ..............................................................................................413wvBusReverse...........................................................................................413

    xiv Verdi3 and Siloti Tcl Reference

  • Contents

    wvCreateBus .............................................................................................414wvCreateBundle ........................................................................................415wvCreateBusOpen.....................................................................................416wvExpandBus ...........................................................................................416wvPartialBus .............................................................................................417wvSetBusAdjust ........................................................................................418

    Event ...............................................................................................................420wvAddComplexEvent ...............................................................................420wvAddEvent..............................................................................................421wvAppendVerilogExpression ...................................................................421wvCaptureEvent ........................................................................................422wvDeleteEvent ..........................................................................................423wvGetAllEvents ........................................................................................423wvGetEventDefinition ..............................................................................424wvModifyComplexEvent ..........................................................................424wvModifyEvent.........................................................................................425wvRestoreEvent ........................................................................................426wvSaveEvent.............................................................................................426wvSaveEventLog ......................................................................................427wvSaveVerilogExpression ........................................................................428wvVerilogEvent ........................................................................................428wvVerilogExpression ................................................................................429

    Radix...............................................................................................................431wvGetRadix...............................................................................................431wvSetRadix ...............................................................................................431

    Alias in Waveforms ........................................................................................433wvAddAliasFile ........................................................................................433wvAddAliasTable .....................................................................................434wvAddSliceTable ......................................................................................434wvDeleteAliasTable ..................................................................................435wvDeleteSliceTable ..................................................................................436wvModifyAliasTable ................................................................................436wvModifySliceTable.................................................................................437wvSaveAliasTable.....................................................................................438wvAddAliasProgram.................................................................................438wvRemoveAlias ........................................................................................439wvSetAliasTable .......................................................................................440wvUnsetAliasTable ...................................................................................440

    Alias Editor .....................................................................................................442aliasAddAliasFile ......................................................................................442aliasAddAliasTable ...................................................................................442aliasAddSliceTable ...................................................................................443

    Verdi3 and Siloti Tcl Reference xv

  • Contents

    aliasAddCondAliasTable ..........................................................................444aliasDeleteAliasTable ...............................................................................445aliasDeleteCondAliasTable.......................................................................445aliasDeleteSliceTable ................................................................................446aliasModifyAliasTable ..............................................................................447aliasModifyCondAliasTable .....................................................................448aliasModifySliceTable ..............................................................................448aliasSaveAliasTable ..................................................................................449

    Transaction .....................................................................................................450wvAddSelectedToAnalysisWnd ...............................................................450wvClearColorize .......................................................................................450wvClearFilter.............................................................................................451wvColorize ................................................................................................451wvDispHideAttr ........................................................................................452wvExpandAttribute ...................................................................................453wvExpandShrinkMessage .........................................................................453wvExpandShrinkTransaction ....................................................................454wvFilter .....................................................................................................454wvGetAttributeList ...................................................................................455wvGetAttributeValue ................................................................................456wvGetRelatedTransactionIdList ...............................................................456wvGetSelectedTransactionId ....................................................................457wvGetStreamName ...................................................................................458wvSelectTransaction .................................................................................458wvTpfCloseForm ......................................................................................459wvTpfDisplayForm ...................................................................................460wvTpfSetActivePage.................................................................................460wvTpfSetTransAttrRadix ..........................................................................461

    Group ..............................................................................................................462wvAddGroup.............................................................................................462wvCollapseBus..........................................................................................462wvCollapseGroup......................................................................................463wvExpandAllGroups.................................................................................464wvExpandGroup .......................................................................................464wvGoToGroup ..........................................................................................465wvIsGroupExist.........................................................................................465wvRenameGroup.......................................................................................466

    Event Sequence Window................................................................................467wvAddSignalsToEventSequence ..............................................................467wvCloseEventSequence ............................................................................467wvDropToEventSequence.........................................................................468wvOpenEventSequence.............................................................................468

    xvi Verdi3 and Siloti Tcl Reference

  • Contents

    wvRemoveSignalsFromEventSequence ...................................................469wvEventSequenceCapture.........................................................................470wvEventSequenceGoToTime ...................................................................471wvEventSequenceMerge...........................................................................471wvEventSequenceSearchBy......................................................................472wvEventSequenceSearchPrev ...................................................................472wvEventSequenceSearchNext...................................................................473wvEventSequenceSelect ...........................................................................474wvEventSequenceSelectAll ......................................................................474wvEventSequenceSetGridMode ...............................................................475wvEventSequenceSetWaveformMode......................................................475wvEventSequenceSort...............................................................................476wvEventSequenceSyncCursor ..................................................................476wvEventSequenceZoomIn ........................................................................477wvEventSequenceZoomOut......................................................................477wvSaveEventSequence .............................................................................478

    Comment.........................................................................................................479wvAddComment .......................................................................................479wvAddCommentBox.................................................................................479wvDelCommentBox..................................................................................481wvDeleteComment....................................................................................481wvLockAllCommentBoxes.......................................................................482wvModifyCommentBox ...........................................................................483wvRenameComment .................................................................................484wvUnlockAllCommentBoxes ...................................................................484

    View................................................................................................................486wvAddCompressTimeRange ....................................................................486wvAutoInsertDumpoffs.............................................................................486wvBusWaveform.......................................................................................487wvCenterCursor ........................................................................................488wvCenterMarker .......................................................................................488wvClearAssertionAnalysisMask ...............................................................489wvClearGridLine.......................................................................................489wvCollapseCompressTimeRange .............................................................490wvCreateGridLine.....................................................................................491wvDeleteCompressTimeRange.................................................................492wvDeleteMarker........................................................................................493wvDisplayGridCount ................................................................................493wvExpandCompressTimeRange ...............................................................494wvFitSelected............................................................................................495wvGetCursor .............................................................................................495wvGetMarker ............................................................................................496

    Verdi3 and Siloti Tcl Reference xvii

  • Contents

    wvGridBothEdge.......................................................................................496wvGridCycleTime.....................................................................................497wvGridFallingEdge ...................................................................................497wvGridRisingEdge....................................................................................498wvGridSetLockCount ...............................................................................498wvGridSetStartNum..................................................................................499wvJumpCursorToGridNum.......................................................................500wvLastView ..............................................................................................500wvPanDown ..............................................................................................501wvPanLeft .................................................................................................501wvPanRight ...............................................................................................502wvPanUp ...................................................................................................502wvRemoveGrid .........................................................................................503wvReportMarker .......................................................................................503wvRestoreMarker ......................................................................................504wvSetCursor ..............................................................................................504wvSetMarker .............................................................................................505wvScrollDown...........................................................................................506wvScrollUp ...............................................................................................506wvShowDeltaFreq.....................................................................................507wvSortSignal .............................................................................................508wvZoom ....................................................................................................508wvZoomAll ...............................................................................................509wvZoomCursorMarker..............................................................................509wvZoomIn .................................................................................................510wvZoomOut ..............................................................................................510

    Edit..................................................................................................................512wvCopy .....................................................................................................512wvCopyFilePathToClipboard ...................................................................512wvCut ........................................................................................................513wvMoveSelected .......................................................................................513wvPaste .....................................................................................................514wvSetPosition............................................................................................514wvUndo .....................................................................................................515

    Search .............................................................................................................516wvGetSearchNextTime .............................................................................516wvGetSearchPrevTime .............................................................................516wvGoToTime ............................................................................................517wvSearchDown .........................................................................................517wvSearchNext ...........................................................................................518wvSearchPrev............................................................................................518wvSearchUp ..............................................................................................519

    xviii Verdi3 and Siloti Tcl Reference

  • Contents

    wvSearchNextBySignal ............................................................................520wvSearchPrevBySignal.............................................................................520wvSetSearchConstraint .............................................................................521wvSetSearchMode.....................................................................................522

    Analog.............................................................................................................524wvAnalogExpression ................................................................................524wvAnalogToDigital...................................................................................524wvAverageMinMaxRMS..........................................................................525wvGetSignalAMMR .................................................................................526wvOverlay .................................................................................................527wvRulerGrid..............................................................................................527wvVerticalFit.............................................................................................528wvWaveSlew.............................................................................................529wvZoomValue...........................................................................................529

    Compare..........................................................................................................531wvCompareClearResult ............................................................................531wvCompareDisplayed ...............................................................................531wvCompareResult .....................................................................................532wvCompareSelected..................................................................................532wvCompareSelectedToFile .......................................................................533wvCompareSignalsFromFile.....................................................................534wvCompareTwoGroups ............................................................................534wvComparisonOptions..............................................................................535wvMessageTypes ......................................................................................537wvSelectComparisonErrors.......................................................................538wvSelectMessages.....................................................................................539wvSetErrorViewingOptions ......................................................................539

    Property Result ...............................................................................................541wvRptDumpProperty ................................................................................541wvRptShowResultForm ............................................................................541

    Toggle Coverage.............................................................................................543wvMultiToggleCoverageReport ...............................................................543wvToggleCoverageReport ........................................................................544

    Configuration..................................................................................................546wvChangeDisplayAttr...............................................................................546wvDisplayRuler.........................................................................................547wvGetFileTimeRange ...............................................................................547wvGetDisplaySignals ................................................................................548wvGetDeltaY.............................................................................................549wvGetFileTimeUnit ..................................................................................549wvGetSigValueByTime ............................................................................550wvGetWindowTimeUnit...........................................................................551

    Verdi3 and Siloti Tcl Reference xix

  • Contents

    wvSetDblClkActiveTrace .........................................................................551wvSetDefaultValue ...................................................................................552wvSetDisplayAttr ......................................................................................553wvSetFileTimeScale .................................................................................554wvSetOptions ............................................................................................555wvSetPreference........................................................................................557wvSetSpacing............................................................................................562wvSetWindowTimeUnit ...........................................................................563wvSwitchDisplayAttr ................................................................................563

    Print.................................................................................................................565wvCapture .................................................................................................565wvPrint ......................................................................................................565

    Access .............................................................................................................569wvGetActiveFile .......................................................................................569wvGetActiveFileName..............................................................................569wvIterChildScope......................................................................................570wvIterScopeSignal ....................................................................................570wvIterTopScope ........................................................................................571wvScopeIterNext.......................................................................................571wvSignalIterNext ......................................................................................572wvTopScopeIterNext ................................................................................572

    Get Signal .......................................................................................................574wvGetSelectedSignals...............................................................................574wvGetSignalClose.....................................................................................574wvGetSignalDumpAU ..............................................................................575wvGetSignalOpen .....................................................................................575wvGetSignalSetOptions ............................................................................576wvGetSignalSetSignalFilter ......................................................................579

    Power ..............................................................................................................581wvAddIsoControl ......................................................................................581wvAddPowerMode ...................................................................................581wvAddPowerSignals .................................................................................582wvAddRetControls....................................................................................583wvAddSignalsToListPX ...........................................................................583wvFindLvsCmd.........................................................................................584wvFindRetCmd .........................................................................................584wvListPowerRelatedX ..............................................................................585wvSavePowerRelatedX.............................................................................586wvShowDrivingDomains ..........................................................................586wvShowPowerState...................................................................................587

    Right-Click Commands ..................................................................................588wvAddTriggerSignal.................................................................................588

    xx Verdi3 and Siloti Tcl Reference

  • Contents

    wvCopySignalFullPathToClipboard .........................................................588wvDeleteTriggerSignal .............................................................................589wvShowDriverSignals...............................................................................589wvShowLoadSignals.................................................................................590

    nState 591

    Window...........................................................................................................591fsmCloseWindow.............