UltraScale FPGA Transceivers Wizard v1 - Xilinx...UltraScale FPGA Transceivers Wizard v1.5 LogiCORE...

100
UltraScale FPGA Transceivers Wizard v1.5 LogiCORE IP 製品ガ イ ド Vivado Design Suite PG182 2015 2 23 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。 資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最 新情報につきましては、必ず最新英語版をご参照ください。

Transcript of UltraScale FPGA Transceivers Wizard v1 - Xilinx...UltraScale FPGA Transceivers Wizard v1.5 LogiCORE...

  • UltraScale FPGA Transceivers Wizard v1.5

    LogiCORE IP 製品ガイド

    Vivado Design Suite

    PG182 2015 年 2 月 23 日

    本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

  • UltraScale FPGAs Transceivers Wizard v1.5 japan.xilinx.com 2PG182 2015 年 2 月 23 日

    目次

    第 1章 : 概要機能概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5アプリ ケーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6ラ イセンスおよび注文情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

    第 2章 : 製品仕様Wizard IP の基本概念 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7パフォーマンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9リ ソース使用量 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10ポートの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

    第 3章 : コアを使用するデザイン一般的なデザイン ガイ ド ライン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46リセッ ト コン ト ローラー ヘルパー ブロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47ト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51レシーバー ユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53ユーザーデータ幅サイズ変更ヘルパー ブロッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56ト ランス ミ ッ ター バッファー バイパス コン ト ローラー ヘルパー ブロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57レシーバー バッファー バイパス コン ト ローラー ヘルパー ブロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58ト ランシーバー コモン プリ ミ ティブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59

    第 4章 : デザイン  フローの手順コアのカスタマイズおよび生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62コアへの制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76シ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79合成およびインプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79

    第 5章 : サンプル デザインサンプル デザインの目的 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80階層および構造 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81リ ンク ステータスおよび初期化 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84VIO コア インスタンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87便利な機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88サンプル デザインの変更 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89サンプル デザインの制限事項 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90

    第 6章 : テストベンチサンプル デザインのシ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91シ ミ ュレーシ ョ ンの動作 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92

    http://japan.xilinx.com

  • UltraScale FPGAs Transceivers Wizard v1.5 japan.xilinx.com 3PG182 2015 年 2 月 23 日

    付録 A : 移行およびアップグレードVivado Design Suite への移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94旧バージ ョ ンからのアップグレード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94従来のデバイス ファ ミ リからの移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94

    付録 B : デバッグザイ リ ンクス ウェブサイ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95Vivado ラボ ツール. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96

    付録 C : その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100

    http://japan.xilinx.com

  • UltraScale FPGAs Transceivers Wizard v1.5 japan.xilinx.com 4PG182 2015 年 2 月 23 日 Production 製品仕様

    はじめに

    UltraScale™ FPGAs Transceivers Wizard は、 1 つ以上のシ リ アル ト ランシーバーを簡単な方法で強力に設定できる IP コアです。 各種の業界標準規格をサポート したプリセッ ト設定を選択するこ と も、 すべてのパラ メーターをユーザーが指定するこ と もできます。 UltraScale FPGAs Transceivers Wizard は非常に柔軟性が高く、 ト ランシーバー、 設定したオプシ ョ ン、任意に有効化したポート を持つカスタマイズした IP コアを生成します。 また、 一般的な機能をシンプルにする各種ヘルパー ブロ ッ ク もオプシ ョ ンで含める こ とができます。 さ らに、 UltraScale FPGAs Transceivers Wizard で生成されるサンプル デザインを利用する と、 シ ミ ュレーシ ョ ンおよびハードウェア環境でのデモが容易になり ます。

    機能

    • 業界標準規格に対応した ト ランシーバー設定をプリセット と して用意

    • シンプルで直感的な機能選択フロー

    • ト ランシーバーのパラ メーターを自動で設定

    • 性能を最適化する高度なオプシ ョ ン

    • ト ランシーバー サイ トおよび基準クロ ッ クの選択インターフェイス

    • ト ランシーバーの一般的な使用法から複雑な使用法までをシンプルにするヘルパー ブロッ ク

    • 任意のト ランシーバー ポート をオプシ ョ ンで外部へ引き出すこ とが可能

    • 設定可能な PRBS ジェネレーター/チェッカーおよびリ ンク ステータス インジケーターを含むサンプル デザインによ り、 シ ミ ュレーシ ョ ンおよびハード ウェア環境で機能デモが可能

    • 各ヘルパー ブロ ッ クはコア内またはサンプル デザインに配置可能 (前者は簡単に利用でき、 後者はユーザーによるカスタマイズが可能)

    IP の概要

    この LogiCORE™ IP について

    コアの概要

    サポート される

    デバイス ファ ミ リ (1)Kintex® UltraScale FPGAVirtex® UltraScale FPGA

    サポート される

    ユーザー インターフェイス

    該当なし

    リ ソース 表 2-2 を参照

    コアに含まれるもの

    デザイン ファイル RTL

    サンプル デザイン Verilog

    テス トベンチ Verilog

    制約ファイル XDC

    シ ミ ュレーシ ョ ン モデル

    SecureIP ト ランシーバー シ ミ ュレーシ ョ ンモデルを含むソース HDL

    サポート される ソフ ト ウェア ド ラ イバー

    なし

    テスト済みデザイン  フロー

    デザイン入力 Vivado® Design Suite

    シ ミ ュレーシ ョ ン

    サポー ト されるシ ミ ュレータについては、

    『Vivado Design Suite ユーザー ガイ ド :リ リース ノー ト ガイ ド、 インス トール

    およびラ イセンス』 を参照して ください。

    合成 Vivado 合成

    サポート

    japan.xilinx.com/support で提供

    注記 :1. サポート されているデバイスの一覧は、 Vivado IP カタログを参

    照して ください。

    http://japan.xilinx.com/cgi-bin/docs/rdoc?t=vivado+release+noteshttp://japan.xilinx.com/cgi-bin/docs/rdoc?t=vivado+release+noteshttp://japan.xilinx.com/cgi-bin/docs/rdoc?t=vivado+release+noteshttp://japan.xilinx.com/supporthttp://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG182&Title=UltraScale%20FPGA%20Transceivers%20Wizard%20v1.5%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.5&docPage=4

  • UltraScale FPGAs Transceivers Wizard v1.5 japan.xilinx.com 5PG182 2015 年 2 月 23 日

    第 1章

    概要UltraScale™ FPGAs Transceivers Wizard (以降、 「Wizard IP」 と も呼ぶ) は、 ザイ リ ンクス UltraScale FPGA の 1 つ以上のシ リ アル ト ランシーバーを簡単な方法で設定および使用できるよ うにする IP コアです。 コアの詳細は、 第 2 章 「製品仕様」 を参照してください。

    この製品ガイ ドでは、 この Wizard IP コアについて説明します。 シ リ アル ト ランシーバーの動作および使用法の詳細は、『UltraScale アーキテクチャ GTH ト ランシーバー Advance 仕様ユーザー ガイ ド』 (UG576) [参照 1] または 『UltraScaleアーキテクチャ GTY ト ランシーバー Advance 仕様ユーザー ガイ ド』 (UG578) [参照 2] を参照してください。

    機能概要Wizard IP には次に示す機能があ り ます。

    • 抽象度の高い Vivado 統合設計環境 (IDE) によるカスタマイズ フロー。 こ こでの選択に基づき、 サポート されるト ランシーバー機能が設定され、 プ リ ミ ティブのパラ メーターが自動で設定

    • 業界標準規格をターゲッ ト と した各種ト ランシーバー設定をプリセッ ト と して選択可能

    • ト ランシーバーの性能を最適化する高度な設定オプシ ョ ン

    • ト ランシーバー サイ ト 、 基準クロ ッ ク、 リ カバリ ク ロ ッ クの選択インターフェイスによ り、 ク ロ ッ ク配線の制約を満たしながら 1 つ以上のト ランシーバー チャネルを有効化可能

    • カンマ検出およびアライ メン ト、 チャネル ボンディング、 ク ロ ッ ク補正、 バッファー制御、 高度なクロ ッキング、 一部のプロ ト コル固有機能に関するオプシ ョ ン機能のコンフ ィギュレーシ ョ ン インターフェイス

    • ト ランシーバーの一般的な使用法から複雑な使用法までをシンプルにするヘルパー ブロ ッ ク。 各ヘルパー ブロ ッ クは、 コアに含めるかコアの外部に含めるかを選択可能

    ° コア外部のサンプル デザインに含めたヘルパー ブロ ッ クは、 ユーザーによるカスタマイズが可能

    • 有効にした ト ランシーバーの コモン プリ ミ ティブはコアまたはサンプル デザインのどちらにも含めるこ とができ、 複数コアでのリ ソース共有が簡単になるよ うに接続

    • ト ランシーバー プリ ミ ティブの任意のポート をコア最上位のポート と して引き出せるインターフェイス

    • 設定可能な PRBS (擬似ランダム バイナリ シーケンス) データ ジェネレーター /チェッカー、 リ ンク ステータスインジケーター ロジッ クを含む合成可能なサンプル デザインによ り、 シ ミ ュレーシ ョ ンおよびハード ウェア環境でのコアと ト ランシーバーのデモが簡単に実行可能

    ° サンプル デザインの PRBS ロ ッ クをループバッ クでモニターし、 リ ンク ステータスの結果を表示するシミ ュレーシ ョ ン テス トベンチ

    ° 基本的なサンプル デザインのハード ウェア ブリ ングアップおよび主要なデバッグ信号のプローブを簡略化する VIO (Virtual Input/Output) コア インスタンス

    ° 差動基準クロ ッ ク バッファーのインスタンシエート と接続、 チャネル単位のベクター スライシングなどの便利な機能

    • 選択したコンフ ィギュレーシ ョ ンに応じたタイ ミ ング、 ロケーシ ョ ン、およびその他の制約を含む、 コア レベルおよびサンプル デザイン レベルのザイ リ ンクス デザイン制約 (XDC) ファ イル

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG182&Title=UltraScale%20FPGA%20Transceivers%20Wizard%20v1.5%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.5&docPage=5

  • UltraScale FPGAs Transceivers Wizard v1.5 japan.xilinx.com 6PG182 2015 年 2 月 23 日

    第 1 章 :概要

    アプリケーシ ョ ンUltraScale FPGAs Transceivers Wizard は、ザイ リ ンクス UltraScale FPGA の 1 つ以上のシ リ アル ト ランシーバーを設定および使用する方法と してサポート されています。

    ライセンスおよび注文情報このザイ リ ンクス LogiCORE™ IP モジュールは、 ザイ リ ンクス エンドユーザー ライセンス規約のも とザイ リ ンクスVivado Design Suite を使用して追加コス ト なしで提供されています。 この IP およびその他のザイ リ ンクス LogiCOREIP に関する情報は、 ザイ リ ンクス IP コア ページから入手できます。 その他のザイ リ ンクス LogiCORE IP モジュールおよびツールの価格や提供状況については、 ザイ リ ンクス販売代理店にお問い合わせください。

    http://japan.xilinx.comhttp://japan.xilinx.com/ise/license/license_agreement.htmhttp://japan.xilinx.com/products/intellectual-property/index.htmhttp://japan.xilinx.com/company/contact/index.htmhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG182&Title=UltraScale%20FPGA%20Transceivers%20Wizard%20v1.5%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.5&docPage=6

  • UltraScale FPGAs Transceivers Wizard v1.5 japan.xilinx.com 7PG182 2015 年 2 月 23 日

    第 2章

    製品仕様UltraScale™ FPGAs Transceivers Wizard コアは、 ザイ リ ンクス UltraScale FPGA の 1 つ以上のシ リ アル ト ランシーバーを設定および使用する方法と してサポート されています。 この Wizard IP は個々のアプリ ケーシ ョ ンに合わせてプリミ テ ィブのパラ メーターを自動的に設定するだけでな く、 ポー ト有効化やヘルパー ブロ ッ クなどシ リ アル ト ランシーバーの利用をシンプルにする便利な機能を各種備えています。 この章では、 これらの概念および技術仕様について説明します。

    Wizard IP の基本概念ト ランシーバー プリ ミ ティブ。基本的に、 Wizard IP は 1 つ以上のシ リ アル ト ランシーバー プリ ミ ティブをインスタンシエート、設定、接続し、 これら リ ソースに対する簡略化したユーザー インターフェイスを提供します。 このコアインスタンスは、 Vivado® 統合設計環境 (IDE) でのカスタマイズによって決定した HDL パラ メーター値に基づいてチャネル プリ ミ ティブと コモン プリ ミ ティブを設定します。

    ト ランシーバー設定のプリセッ ト 。業界標準規格をターゲッ ト と した ト ランシーバー設定がプリセッ ト と して定義されており、 Vivado IDE でのカスタマイズ時に選択できます。 これらのプリセッ ト設定は、個々のアプリ ケーシ ョ ンに合わせてさらにカスタマイズできます。

    オプシ ョ ン ポートの有効化。ザイ リ ンクスのシ リ アル ト ランシーバー プリ ミ ティブには多くのポートがあ り ますが、通常、 1 つの使用モードで必要なのはそのご く一部です。 ユーザー インターフェイスをコンパク ト にするため、 デフォルトではカスタマイズしたコアに必要と判断されたポートのみが外部に引き出されますが、 この Wizard IP のオプシ ョ ン ポート有効化インターフェイスを利用する と、 ト ランシーバー プリ ミ ティブのすべてのポートにアクセスできます。

    ヘルパー ブロ ッ ク。このウ ィザードでは、ヘルパー ブロ ッ ク と呼ばれるオプシ ョ ンのモジュールを利用できます。ヘルパー ブロ ッ クは、 ト ランシーバーを使用する際に必要となる一般的または複雑な手順 (シーケンス) を抽象化または自動化します。各ヘルパー ブロ ッ クはコアの内部に含めるこ と も、コア外部のサンプル デザインに含めてユーザーが変更を加えるこ と も可能です。 この リ リースのヘルパー ブロ ッ クには、 次のものがあ り ます。

    • リセッ ト コン ト ローラー。 ト ランシーバーのリセッ ト シーケンスを制御および抽象化します。

    • ト ランス ミ ッ ター ユーザー ク ロ ッキング ネッ ト ワーク。 ト ランス ミ ッ ター ユーザー ク ロ ッキング ネッ ト ワークを駆動するためのリ ソースが含まれます。

    • レシーバー ユーザー ク ロ ッキング ネッ ト ワーク。 レシーバー ユーザー ク ロ ッキング ネッ ト ワークを駆動するためのリ ソースが含まれます。

    • ユーザー データ幅サイズ変更。 ト ランス ミ ッ ターおよびレシーバーのデータ ベクターのサイズを指定したユーザー幅に変更します。

    • ト ランス ミ ッ ター バッファー バイパス コン ト ローラー。必要に応じて、 ト ランス ミ ッ ター バッファー バイパスシーケンスを制御および抽象化します。

    • レシーバー バッファー バイパス コン ト ローラー。 必要に応じて、 レシーバー バッファー バイパス シーケンスを制御および抽象化します。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG182&Title=UltraScale%20FPGA%20Transceivers%20Wizard%20v1.5%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.5&docPage=7

  • UltraScale FPGAs Transceivers Wizard v1.5 japan.xilinx.com 8PG182 2015 年 2 月 23 日

    第 2 章 :製品仕様

    この Wizard IP はシ リ アル ト ランシーバーを簡単に利用できるよ うにするこ とを目的と しています。 ただし、 ト ランシーバーの動作、 使用方法、 制約は十分に理解しておく必要があ り ます。 詳細は、 『UltraScale アーキテクチャ GTHト ランシーバー Advance 仕様ユーザー ガイ ド』 (UG576) [参照 1] または 『UltraScale アーキテクチャ GTY ト ランシーバー Advance 仕様ユーザー ガイ ド』 (UG578) [参照 2] を参照してください。

    Wizard IP の基本概念をコア階層に当てはめたものを図 2-1 に示します。

    ト ランシーバーのチャネル プ リ ミ テ ィブと コモン プ リ ミ テ ィブは、 それぞれト ランシーバー チャネル ラ ッパー モジュールと ト ランシーバー コモン ラ ッパー モジュールによってインスタンシエート されます。 これらの ト ランシーバー プリ ミ ティブは、1 つまたは複数のラ ッパー モジュールを使用してアプリ ケーシ ョ ンで必要なだけインスタンシエートできます。ラ ッパー モジュールは、IP カスタマイズ時に選択した値、または選択した ト ランシーバー プリセット設定に基づいて、 それぞれの ト ランシーバー プ リ ミ テ ィブに適切なパラ メーター値を適用します。 これらのラ ッパーを含め、 このコア階層はユーザーによる変更を加えるこ とができません。

    ユーザー インターフェイスをコンパク トにするため、 デフォル トでは選択した設定で必要と判断された ト ランシーバー プリ ミ ティブ ポートのみが Wizard IP のコア レベル ポート と して外部に引き出されます。入力ベクター A は、1つまたは複数のト ランシーバー チャネル プリ ミ ティブの対応する入力ポート を駆動する有効化したコア ポート を表します。同様に、出力ベクター A' は 1 つまたは複数のト ランシーバー コモン プリ ミ ティブの対応する出力ポートによって駆動されます。 ユーザーの必要とするポートがデフォル ト で有効になっていない場合、 IP カスタマイズ時にポート を個別に有効化でき、 最大限の柔軟性が確保されます。

    X-Ref Target - Figure 2-1

    図 2‐1 : Wizard IP コアのブロック図

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG182&Title=UltraScale%20FPGA%20Transceivers%20Wizard%20v1.5%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.5&docPage=8

  • UltraScale FPGAs Transceivers Wizard v1.5 japan.xilinx.com 9PG182 2015 年 2 月 23 日

    第 2 章 :製品仕様

    は、 コア境界から外部に引き出されていないト ランシーバー プリ ミ ティブ入力ポートは、 Vivado Design Suite IP コアラ ッパー内でコアのカスタマイズ内容に応じた適切な固定値に接続されます。 ネッ ト B は 1 つまたは複数の ト ランシーバー チャネル プ リ ミ テ ィブの入力ポート で、 コア ポート と して有効化されていないものを表します。 これはWizard IP によって自動的に Low に接続されます。 同様に、 ネッ ト B' はト ランシーバー コモン プリ ミ ティブの入力ポートで、 High に接続されます。

    Wizard IP には、 ト ランシーバーの一般的な使用法から複雑な使用法までをシンプルにするオプシ ョ ンのヘルパー ブロ ッ クがあ り ます。 各ヘルパー ブロ ッ クはコア内部またはユーザー変更が可能なサンプル デザインに含めるこ とができます。 ベクター C は、 オプシ ョ ンのヘルパー ブロッ クをコア内部に含めた場合のシンプルなユーザー インターフェイスを表します。ネッ ト D は、これらヘルパー ブロッ ク と ト ランシーバー チャネル/コモン プリ ミ ティブを接続する、 よ り複雑なインターフェイスを表します。

    パフォーマンスWizard IP は、 インスタンシエートする ト ランシーバー プリ ミ ティブのパフォーマンス特性に従って動作します。

    最大周波数

    各デバイスのシ リアル ト ランシーバーのスイ ッチ特性およびシ リアル ト ランシーバー ユーザー クロ ッ クのスイ ッチ特性は、 『Kintex UltraScale アーキテクチャ データシート : DC 特性および AC スイ ッチ特性』 (DS892) [参照 3] および『Virtex UltraScale アーキテクチャ データシート : DC 特性および AC スイッチ特性』 (DS893) [参照 4] を参照してください。 ト ランシーバーとコアを正し く動作させるには、 これらデータシートに記載された周波数の範囲に従う必要があり ます。

    重要 : リセッ ト コン ト ローラー ヘルパー ブロッ クがト ランシーバー プリ ミティブを リセッ トするには、フ リーランニング クロ ッ ク入力 gtwiz_reset_clk_freerun_in が必要です。 エンジニア リ ング サンプル (ES1 または ES2) デバイスをターゲッ ト と した GTH ト ランシーバー コアの設定で CPLL を使用する場合、 drpclk_in ポートの各ビッ ト もこのクロ ッ クで駆動する必要があ り ます。 表 2-1 に示すよ うに、 このクロ ッ クの最大周波数は 200MHz またはト ランシーバー チャネルの最も低速なユーザー クロ ッ ク周波数のどちらか低い方までと します。フ リーランニング クロ ッ クの正確な周波数は IP カスタマイズ時に指定します。 詳細は、 第 4 章 「コアのカスタマイズおよび生成」 を参照してください。 このフ リーランニング クロ ッ クを、 ユーザー クロ ッ クまたはそのソースから生成するこ とはできません。

    その他のパフォーマンス特性

    ト ランシーバー プ リ ミ テ ィブのその他のパフォーマンス特性は、 『UltraScale アーキテクチャ GTH ト ランシーバーAdvance 仕様ユーザー ガイ ド』 (UG576) [参照 1] または 『UltraScale アーキテクチャ GTY ト ランシーバー Advance 仕様ユーザー ガイ ド』 (UG578) [参照 2] を参照してください。

    表 2‐1 : フリーランニング クロックの最大周波数

    ト ランシーバー ユーザー クロック周波数の関係 gtwiz_reset_clk_freerun_in の最大周波数

    FRXUSRCLK2 FTXUSRCLK2 200MHz または FRXUSRCLK2 の低い方

    FRXUSRCLK2 > FTXUSRCLK2 200MHz または FTXUSRCLK2 の低い方

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG182&Title=UltraScale%20FPGA%20Transceivers%20Wizard%20v1.5%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.5&docPage=9

  • UltraScale FPGAs Transceivers Wizard v1.5 japan.xilinx.com 10PG182 2015 年 2 月 23 日

    第 2 章 :製品仕様

    リ ソース使用量Wizard IP の基本的な HDL は非常に構造化されており、 ト ランシーバー プリ ミ ティブのインスタンシエートおよび接続に使用するデバイス リ ソース量はご くわずかです。 エンジニア リ ング サンプル (ES1 または ES2) デバイスをターゲッ トにした GTH ト ランシーバーの設定において、 ト ランス ミ ッ ター PLL タイプ、 レシーバー PLL タイプ、 または選択可能な TXOUTCLK 周波数のソースと して CPLL を使用している場合は、 CPLL キャ リブレーシ ョ ン ロジッ クが追加されます。 この設定では、 有効化した各ト ランシーバー チャネルにつき 1 つの BUFG_GT と約 295 個の LUT、280 個のフ リ ップフロ ップが使用されます。

    表 2-2 に、オプシ ョ ンのヘルパー ブロ ッ クのデバイス リ ソース使用量を示します。 これらのリ ソースは、各ヘルパーブロ ッ クを有効にしてコア内部で使用するか、 それ以外の方法でデザインに含めた場合のみ消費されます。 こ こに示した リ ソース量はヘルパー ブロ ッ ク 1 インスタンス当た りのものです。 ただしヘルパー ブロ ッ クを有効にした構成のほとんどはインスタンスを 1 つしか使用しません。

    必要な リ ソース量は合成後レポートから求めた値であ り、 インプリ メンテーシ ョ ン時に変化する可能性があ り ます。

    表 2‐2 : ヘルパー ブロックのリソース使用量

    ヘルパー ブロック デバイス リソース(ヘルパー ブロック  1 インスタンス当たり )

    タイプ コンフ ィギュレーシ ョ ン LUT フリ ップフロップ

    クロック  バッファー

    リセッ ト コン ト ローラー すべての場合 92 175 0(1)

    ト ランス ミ ッ ター ユーザー ク ロ ッキング ネッ ト ワーク

    FTXUSRCLK = FTXUSRCLK2 0 1 1 (BUFG_GT)

    FTXUSRCLK ≠ FTXUSRCLK2 0 1 2 (BUFG_GT)

    レシーバー ユーザー ク ロ ッキング ネッ ト ワーク

    FRXUSRCLK = FRXUSRCLK2 0 1 1 (BUFG_GT)

    FRXUSRCLK ≠ FRXUSRCLK2 0 1 2 (BUFG_GT)

    ト ランス ミ ッ ター バッファー バイパス コン ト ローラー

    シングルレーン 8 25 0

    マルチレーン

  • UltraScale FPGAs Transceivers Wizard v1.5 japan.xilinx.com 11PG182 2015 年 2 月 23 日

    第 2 章 :製品仕様

    ポートの説明Wizard IP では、インスタンシエート される ト ランシーバー プリ ミ ティブのポートに必要に応じてアクセスできます。また、 コア インスタンスに含めたヘルパー ブロ ッ クを利用するためのユーザー インターフェイスも提供されます。このため、 Wizard IP のユーザー インターフェイスはカスタマイズの内容によって大き く異な り ます。

    インターフェイスをコンパク トにするため、 選択したカスタマイズ内容で必要と判断された ト ランシーバー プ リ ミティブ ポートのみが Wizard IP のコア レベル ポート と して外部に引き出されます。 それ以外のポートにアクセスする必要がある場合は、 IP カスタマイズ時に柔軟なオプシ ョ ン ポート有効化インターフェイスを使用してポート を個別に有効にできます。オプシ ョ ン ポート有効化の詳細は、第 4 章 「コアのカスタマイズおよび生成」 を参照してください。

    ヘルパー ブロ ッ クの有無およびその位置もコアのユーザー インターフェイスに影響します。 ヘルパー ブロ ッ クを有効にしてコア内に含めた場合、 ヘルパー ブロ ッ クが接続する ト ランシーバー プリ ミ ティブ ポートではなく、 コア境界のシンプルなユーザー インターフェイスを利用できます。ヘルパー ブロ ッ クをサンプル デザインに含めた場合は、コア境界ではヘルパー ブロ ッ クが接続する ト ランシーバー プリ ミ ティブの複雑なポートが有効化されます。 有効化されるコアのポートがヘルパー ブロ ッ クの配置によってどのよ うに変化するかを図 2-2 に示します。

    X-Ref Target - Figure 2-2

    図 2‐2 : ヘルパー ブロックの配置と有効化されるポートの関係

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG182&Title=UltraScale%20FPGA%20Transceivers%20Wizard%20v1.5%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.5&docPage=11

  • UltraScale FPGAs Transceivers Wizard v1.5 japan.xilinx.com 12PG182 2015 年 2 月 23 日

    第 2 章 :製品仕様

    リセッ ト  コン ト ローラー ヘルパー ブロックのポートリ セッ ト コン ト ローラー ヘルパー ブロ ッ クにはユーザー インターフェイス と ト ランシーバー インターフェイスが含まれます。 ユーザー インターフェイスを利用する と、 ト ランシーバーのリセッ ト シーケンスを簡単な方法で開始してその完了を監視できます。 ト ランシーバー インターフェイスは、 各種ト ランシーバー プリ ミ テ ィブの リセッ トシーケンスの制御に必要な信号を実装します。

    リセッ ト コン ト ローラー ヘルパー ブロ ッ クのユーザー インターフェイス ポートは、 接頭辞 gtwiz_reset_ で識別されます。 リセッ ト コン ト ローラー ヘルパー ブロ ッ クの使用法は、 第 3 章 「コアを使用するデザイン」 を参照してください。

    表 2-3 に示すリセッ ト コン ト ローラー ヘルパー ブロ ッ クのユーザー インターフェイス ポートは、 リセッ ト コン トローラー ヘルパー ブロ ッ クをコアに含めた設定の場合、 Wizard IP のコア インスタンスに存在します。 これらのポートはヘルパー ブロ ッ ク自体にも存在し、 ヘルパー ブロ ッ クをサンプル デザインに含めた場合は直接アクセスできます。

    表 2‐3 : リセッ ト  コン トローラー ヘルパー ブロックのコアに存在するユーザー インターフェイス ポート  (ヘルパー ブロックをコアに配置)

    名前 方向 幅 クロック  ド メイン 説明

    gtwiz_reset_clk_freerun_in 入力 1 ト ランシーバー プリ ミ ティブを リセットするためのフ リーランニング ク ロ ックです。 デバイ ス コンフ ィ ギュ レーシ ョ ンの前に ト グルを開始しておく必要があ り ます。 最大周波数についての説明は、 9 ページの 「パフォーマンス」を参照してください。

    gtwiz_reset_all_in 入力 1 非同期 ト ランシーバー プリ ミティブの PLL (位相ロ ッ ク ループ) およびアクティブなデータ方向を リ セ ッ ト するためのユーザー信号です。 こ のア ク テ ィ ブHigh 信号を gtwiz_reset_clk_freerun_in のク ロ ッ ク 1 周期以上非同期にパルスする と、 このプロセスが初期化されます。

    gtwiz_reset_tx_pll_and_datapath_in 入力 1 非同期 ト ラ ンシーバー プ リ ミ テ ィ ブの送信データ方向および関連する PLL を リセッ トするためのユーザー信号です。このアクティブ High 信号を gtwiz_reset_clk_freerun_in のクロ ッ ク 1 周期以上非同期にパルスする と、 このプロセスが初期化されます。

    gtwiz_reset_tx_datapath_in 入力 1 非同期 ト ラ ンシーバー プ リ ミ テ ィ ブの送信データ方向を リ セッ トするためのユーザー信号です。 このアクティブ High 信号を gtwiz_reset_clk_freerun_in のクロ ック 1 周期以上非同期にパルスする と、このプロセスが初期化されます。

    gtwiz_reset_rx_pll_and_datapath_in 入力 1 非同期 ト ラ ンシーバー プ リ ミ テ ィ ブの受信データ方向および関連する PLL を リセッ トするためのユーザー信号です。このアクティブ High 信号を gtwiz_reset_clk_freerun_in のクロ ッ ク 1 周期以上非同期にパルスする と、 このプロセスが初期化されます。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG182&Title=UltraScale%20FPGA%20Transceivers%20Wizard%20v1.5%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.5&docPage=12

  • UltraScale FPGAs Transceivers Wizard v1.5 japan.xilinx.com 13PG182 2015 年 2 月 23 日

    第 2 章 :製品仕様

    gtwiz_reset_rx_datapath_in 入力 1 非同期 ト ラ ンシーバー プ リ ミ テ ィ ブの受信データ方向を リ セッ トするためのユーザー信号です。 このアクティブ High 信号を gtwiz_reset_clk_freerun_in のクロ ック 1 周期以上非同期にパルスする と、このプロセスが初期化されます。

    gtwiz_reset_rx_cdr_stable_out 出力 1 gtwiz_reset_clk_freerun_in

    ト ランシーバー プリ ミ ティブの CDR (ク ロ ッ ク データ リ カバリ ) 回路が安定したこ と を示すアクテ ィ ブ High の信号です。 予約のため、 使用しないでください。

    gtwiz_reset_qpll0lock_in 入力 1 * (コモン数) 非同期 QPLL0 ロ ッ ク信号です。 ト ラ ンシーバー コモンをサンプル デザインに配置し、 ト ランス ミ ッ ターまたはレシーバーの PLL タ イプに QPLL0 を使用した場合に存在します。

    gtwiz_reset_qpll1lock_in 入力 1 * (コモン数) 非同期 QPLL1 ロ ッ ク信号です。 ト ラ ンシーバー コモンをサンプル デザインに配置し、 ト ランス ミ ッ ターまたはレシーバーの PLL タ イプに QPLL1 を使用した場合に存在します。

    gtwiz_reset_tx_done_out 出力 1 TX マスター チャネルの

    TXUSRCLK2

    ト ランシーバー プリ ミ ティブの ト ランス ミ ッ ター リ セッ ト シーケンスが完了したこ と を示すアクテ ィブ High の信号です。

    gtwiz_reset_rx_done_out 出力 1 RX マスター チャネルの

    RXUSRCLK2

    ト ランシーバー プリ ミ ティブのレシーバー リセッ ト シーケンスが完了したことを示すアクティブ High の信号です。

    gtwiz_reset_qpll0reset_out 出力 1 * (コモン数) gtwiz_reset_clk_freerun_in

    QPLL0 リ セッ ト信号です。 ト ランシーバー コモンをサンプル デザインに配置し、 ト ランス ミ ッ ターまたはレシーバーの PLL タ イプに QPLL0 を使用した場合に存在します。

    gtwiz_reset_qpll1reset_out 出力 1 * (コモン数) gtwiz_reset_clk_freerun_in

    QPLL1 リ セッ ト信号です。 ト ランシーバー コモンをサンプル デザインに配置し、 ト ランス ミ ッ ターまたはレシーバーの PLL タ イプに QPLL1 を使用した場合に存在します。

    表 2‐3 : リセッ ト  コン トローラー ヘルパー ブロックのコアに存在するユーザー インターフェイス ポート  (ヘルパー ブロックをコアに配置) (続き)

    名前 方向 幅 クロック  ド メイン 説明

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG182&Title=UltraScale%20FPGA%20Transceivers%20Wizard%20v1.5%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.5&docPage=13

  • UltraScale FPGAs Transceivers Wizard v1.5 japan.xilinx.com 14PG182 2015 年 2 月 23 日

    第 2 章 :製品仕様

    表 2-4 に示すリセッ ト コン ト ローラー ヘルパー ブロ ッ クのユーザー インターフェイス ポートは、 リセッ ト コン トローラー ヘルパー ブロ ッ クをサンプル デザインに含めた設定の場合、 コア インスタンスに存在します。

    表 2-5 に示すリセッ ト コン ト ローラー ヘルパー ブロ ッ クのユーザー インターフェイス ポートはコア インスタンスには存在しませんが、 このヘルパー ブロ ッ クをサンプル デザインに含めた場合はリセッ ト コン ト ローラー ヘルパーブロッ ク自体に存在します。

    表 2-6 に示すリセッ ト コン ト ローラー ヘルパー ブロッ クの ト ランシーバー インターフェイス ポートは、リセッ ト コン ト ローラー ヘルパー ブロ ッ クを ト ランシーバー プ リ ミ テ ィブに接続します。 これらの接続は、 このヘルパー ブロ ッ クをコア内に含めた場合は内部接続とな り、 ヘルパー ブロ ッ ク出力によって駆動される ト ランシーバー プリ ミティブ入力はコア インスタンスのオプシ ョ ン ポート と して有効化できません。 これに対し、 このヘルパー ブロ ッ クをサンプル デザインに含めた場合はコア境界をまたいだ接続とな り、 ヘルパー ブロ ッ クに接続する ト ランシーバープリ ミ ティブ ポートは必然的に有効化されます。

    表 2‐4 : リセッ ト  コン トローラー ヘルパー ブロックのコアに存在するユーザー インターフェイス ポート  (ヘルパー ブロックをサンプル デザインに配置)

    名前 方向 幅クロック  ド メ イン

    説明

    gtwiz_reset_tx_done_in 入力 1 非同期 ト ランス ミ ッ ター リセッ ト シーケンスが正常に完了後、コア内に含めたほかのヘルパー ブロ ッ クを動作させるためにこのアクテ ィブ High ポート をアサートする必要があ ります。 リ セッ ト コン ト ローラー ヘルパー ブロ ッ クはデフォルトでこのポート を駆動します。

    gtwiz_reset_rx_done_in 入力 1 非同期 レシーバー リ セッ ト シーケンスが正常に完了後、 コア内に含めたほかのヘルパー ブロ ッ クを動作させるためにこのアクティブ High ポート をアサートする必要があ り ます。リセッ ト コン ト ローラー ヘルパー ブロ ッ クはデフォルトでこのポート を駆動します。

    表 2‐5 : リセッ ト  コン トローラー ヘルパー ブロックのその他のユーザー インターフェイス ポート  (ヘルパー ブロックをサンプル デザインに配置)

    名前 方向 幅クロック  ド メ イン

    説明

    gtwiz_reset_userclk_tx_active_in 入力 1 非同期 ト ランシーバー プリ ミ テ ィブを駆動する TXUSRCLKおよび TXUSRCLK2 信号がアクテ ィブにな り安定する と、ト ランス ミ ッ ター リセッ ト シーケンスを完了するためにこのアクテ ィブ High ポート をアサートする必要があ り ます。 ト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クはデフォルトでこのポート を駆動します。

    gtwiz_reset_userclk_rx_active_in 入力 1 非同期 ト ランシーバー プリ ミ ティブを駆動する RXUSRCLKおよび RXUSRCLK2 信号がアクテ ィブにな り安定する と、レシーバー リセッ ト シーケンスを完了するためにこのアクテ ィブ High ポート をアサートする必要があ り ます。 レシーバー ユーザー ク ロ ッキング ネッ トワーク ヘルパー ブロ ッ クはデフォル ト でこのポートを駆動します。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG182&Title=UltraScale%20FPGA%20Transceivers%20Wizard%20v1.5%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.5&docPage=14

  • UltraScale FPGAs Transceivers Wizard v1.5 japan.xilinx.com 15PG182 2015 年 2 月 23 日

    第 2 章 :製品仕様

    表 2‐6 : リセッ ト  コン トローラー ヘルパー ブロックのト ランシーバー インターフェイス ポート

    名前 方向 幅 クロック  ド メイン 説明

    gtpowergood_in 入力 1 非同期 ト ランシーバー チャネル プリ ミ ティブによって生成されたすべての GTPOWERGOOD 信号の論理積 (AND) です。

    txusrclk2_in 入力 1 マスター ト ランシーバー チャネルの TXUSRCLK2 です。

    plllock_tx_in 入力 1 非同期 ト ランシーバー チャネル プリ ミ ティブの送信データパスにクロ ッ クを供給する PLL によって生成されたすべてのロ ッ ク信号の論理積(AND) です。

    txresetdone_in 入力 1 非同期 ト ランシーバー チャネル プリ ミ ティブによって生成されたすべての TXRESETDONE 信号の論理積 (AND) です。

    rxusrclk2_in 入力 1 マスター ト ランシーバー チャネルの RXUSRCLK2 です。

    plllock_rx_in 入力 1 非同期 ト ランシーバー チャネル プリ ミ ティブの受信データパスにクロ ッ クを供給する PLL によって生成されたすべてのロ ッ ク信号の論理積(AND) です。

    rxcdrlock_in 入力 1 非同期 ト ランシーバー チャネル プリ ミ ティブによって生成されたすべての RXCDRLOCK 信号の論理積 (AND) です。

    rxresetdone_in 入力 1 非同期 ト ランシーバー チャネル プリ ミ ティブによって生成されたすべての RXRESETDONE 信号の論理積 (AND) です。

    pllreset_tx_out 出力 1 gtwiz_reset_clk_freerun_in (非同期に使用)

    ト ランシーバー チャネル プリ ミ ティブの送信データパスにク ロ ッ ク を供給するすべてのPLL のリセッ ト ポートにファンアウ トするアクティブ High の信号です。

    txprogdivreset_out 出力 1 gtwiz_reset_clk_freerun_in (非同期に使用)

    すべてのト ランシーバー チャネル プリ ミ ティブの TXPROGDIVRESET ポートにファンアウトするアクティブ High 信号です。

    gttxreset_out 出力 1 gtwiz_reset_clk_freerun_in (非同期に使用)

    すべてのト ランシーバー チャネル プリ ミ ティブの GTTXRESET ポートにファンアウ トするアクティブ High 信号です。

    txuserrdy_out 出力 1 gtwiz_reset_clk_freerun_in (非同期に使用)

    すべてのト ランシーバー チャネル プリ ミ ティブの TXUSERRDY ポートにファンアウ トするアクティブ High 信号です。

    pllreset_rx_out 出力 1 gtwiz_reset_clk_freerun_in (非同期に使用)

    ト ランシーバー チャネル プリ ミ ティブの受信データパスにク ロ ッ ク を供給するすべてのPLL のリセッ ト ポートにファンアウ トするアクティブ High の信号です。

    rxprogdivreset_out 出力 1 gtwiz_reset_clk_freerun_in (非同期に使用)

    すべてのト ランシーバー チャネル プリ ミ ティブの RXPROGDIVRESET ポートにファンアウトするアクティブ High 信号です。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG182&Title=UltraScale%20FPGA%20Transceivers%20Wizard%20v1.5%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.5&docPage=15

  • UltraScale FPGAs Transceivers Wizard v1.5 japan.xilinx.com 16PG182 2015 年 2 月 23 日

    第 2 章 :製品仕様

    表 2-7 に示すリセッ ト コン ト ローラー ヘルパー ブロ ッ クのポートは固定値に接続する必要があ り ます。 デフォルトでは、 コアのカスタマイズ内容に応じて適切な固定値に接続されます。

    ト ランスミ ッ ターのユーザー クロッキング ネッ トワーク  ヘルパー ブロックのポートト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クのインターフェイスは 1 つのみで、 ト ランシーバー プ リ ミ テ ィブからの出力ク ロ ッ クで駆動される ソース ク ロ ッ ク入力ポー ト が 1 つあ り ます。 このヘルパー ブロ ッ クのポートは、接頭辞 gtwiz_userclk_tx_ で識別されます。 ト ランス ミ ッ ターのユーザー ク ロ ッキング ネット ワーク ヘルパー ブロ ッ クの使用法は、 第 3 章 「コアを使用するデザイン」 を参照してください。

    表 2-8 に示すト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クのユーザー インターフェイス ポートは、 このヘルパー ブロ ッ クをコアに含めた設定の場合、 Wizard IP のコア インスタンスに存在します。

    gtrxreset_out 出力 1 gtwiz_reset_clk_freerun_in (非同期に使用)

    すべてのト ランシーバー チャネル プリ ミ ティブの GTRXRESET ポートにファンアウ トするアクティブ High 信号です。

    rxuserrdy_out 出力 1 gtwiz_reset_clk_freerun_in (非同期に使用)

    すべてのト ランシーバー チャネル プリ ミ ティブの RXUSERRDY ポー ト にフ ァ ンアウ ト するアクティブ High 信号です。

    表 2‐6 : リセッ ト  コン トローラー ヘルパー ブロックのト ランシーバー インターフェイス ポート  (続き)

    名前 方向 幅 クロック  ド メイン 説明

    表 2‐7 : リセッ ト  コン トローラー ヘルパー ブロックの固定値接続ポート

    名前 方向 幅 クロック  ド メ イン 説明

    tx_enabled_tie_in 入力 1 gtwiz_reset_clk_freerun_in High に接続する と、 gtwiz_reset_all_in への応答シーケンスの一部と して ト ランス ミ ッ ターリ ソースがリセッ ト されます。

    rx_enabled_tie_in 入力 1 gtwiz_reset_clk_freerun_in High に接続する と、 gtwiz_reset_all_in への応答シーケンスの一部と してレシーバー リ ソースがリセッ ト されます。

    shared_pll_tie_in 入力 1 gtwiz_reset_clk_freerun_in High に接続する と、 gtwiz_reset_all_in への応答シーケンスの一部と して共有 PLL が 1 回だけ リセッ ト されます。

    表 2‐8 : ト ランスミ ッ ターのユーザー クロッキング ネッ トワーク  ヘルパー ブロックのコアに存在するポート  (ヘルパー ブロックをコアに配置)

    名前 方向 幅 クロック  ド メイン 説明

    gtwiz_userclk_tx_reset_in 入力 1 非同期 ヘルパー ブロ ッ ク内のク ロ ッキング リ ソースを リセッ トするためのユーザー信号です。gtwiz_userclk_tx_srcclk_in/out が安定するまでアクテ ィブ High にアサート しておく必要があ ります。

    gtwiz_userclk_tx_srcclk_out 出力 1 TXUSRCLK および TXUSRCLK2 出力を派生させバッ フ ァーするための ト ランシーバー プ リミ ティブ由来のクロ ッ ク ソースです。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG182&Title=UltraScale%20FPGA%20Transceivers%20Wizard%20v1.5%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.5&docPage=16

  • UltraScale FPGAs Transceivers Wizard v1.5 japan.xilinx.com 17PG182 2015 年 2 月 23 日

    第 2 章 :製品仕様

    表 2-9 に示すト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クのユーザー インターフェイス ポートは、 このヘルパー ブロ ッ クをサンプル デザインに含めた設定の場合、 コア インスタンスに存在します。

    表 2-10 に示すト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クのユーザー インターフェイス ポートはコア インスタンスには存在しませんが、 このヘルパー ブロ ッ クをサンプル デザインに含めた場合はトランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ ク自体に存在します。

    gtwiz_userclk_tx_usrclk_out 出力 1 ト ランシーバー チャネル プリ ミ ティブの TXUSRCLK を駆動します。gtwiz_userclk_tx_srcclk_in/out からのク ロ ッ クをBUFG_GT プ リ ミ テ ィ ブによ って適切にバッファーおよび分周して生成します。

    gtwiz_userclk_tx_usrclk2_out 出力 1 ト ランシーバー チャネル プリ ミ ティブの TXUSRCLK2 を駆動します。gtwiz_userclk_tx_srcclk_in/out からのク ロ ッ クをBUFG_GT プ リ ミ テ ィ ブによ って適切にバッファーおよび分周して生成します。

    gtwiz_userclk_tx_active_out 出力 1 gtwiz_userclk_tx_usrclk2_out

    ヘルパー ブロ ッ ク内のクロ ッキング リ ソースが リ セッ ト 状態でないこ と を示すアクテ ィブHigh の信号です。

    表 2‐8 : ト ランスミ ッ ターのユーザー クロッキング ネッ トワーク  ヘルパー ブロックのコアに存在するポート  (ヘルパー ブロックをコアに配置) (続き)

    名前 方向 幅 クロック  ド メイン 説明

    表 2‐9 : ト ランスミ ッ ターのユーザー クロッキング ネッ トワーク  ヘルパー ブロックのコアに存在するユーザー インターフェイス ポート  (ヘルパー ブロックをサンプル デザインに配置)

    名前 方向 幅クロック  ド メイン

    説明

    gtwiz_userclk_tx_active_in 入力 1 非同期 ト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クによって生成されたク ロ ッ クがアクティブになったら、 コア内に含めたほかのヘルパー ブロ ッ クを動作させるためにこのアクテ ィブ High ポートをアサー ト する必要があ り ます。 ト ラ ンス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クはデフォルトでこのポート を駆動します。

    gtwiz_userclk_tx_reset_in 入力 1 非同期 このコア ポートは、エンジニア リ ング サンプル (ES1 または ES2) デバイ スをターゲッ ト と した GTH ト ラ ンシーバーの設定で CPLL を使用する場合に存在します。 ト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クをサンプル デザインに含めた場合、 ヘルパー ブロ ッ クの gtwiz_userclk_tx_reset_in ポー ト と同じソースで駆動する必要があり ます。

    表 2‐10 : ト ランスミ ッ ターのユーザー クロッキング ネッ トワーク  ヘルパー ブロックのその他のユーザー インターフェイス ポート  (ヘルパー ブロックをサンプル デザインに配置)

    名前 方向 幅クロック  ド メ イン

    説明

    gtwiz_userclk_tx_srcclk_in 入力 1 TXUSRCLK および TXUSRCLK2 出力を派生させバッファーするためのト ランシーバー プリ ミ ティブ由来のクロ ッ ク ソースです。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG182&Title=UltraScale%20FPGA%20Transceivers%20Wizard%20v1.5%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.5&docPage=17

  • UltraScale FPGAs Transceivers Wizard v1.5 japan.xilinx.com 18PG182 2015 年 2 月 23 日

    第 2 章 :製品仕様

    レシーバーのユーザー クロッキング ネッ トワーク  ヘルパー ブロックのポート

    レシーバーのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クのインターフェイスは 1 つのみで、 ト ランシーバー プ リ ミ テ ィブからの出力ク ロ ッ クで駆動される ソース ク ロ ッ ク入力ポート が 1 つあ り ます。 このヘルパー ブロ ッ クのポートは、 接頭辞 gtwiz_userclk_rx_ で識別されます。 レシーバーのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クの使用法は、 第 3 章 「コアを使用するデザイン」 を参照して ください。

    表 2-11 に示すレシーバー ユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クのユーザー インターフェイス ポートは、 このヘルパー ブロ ッ クをコアに含めた設定の場合、 Wizard IP のコア インスタンスに存在します。

    表 2-12 に示すレシーバーのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クのユーザー インターフェイスポートは、 このヘルパー ブロ ッ クをサンプル デザインに含めた設定の場合、 コア インスタンスに存在します。

    表 2-13 に示すレシーバーのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クのユーザー インターフェイスポートはコア インスタンスには存在しませんが、 このヘルパー ブロ ッ クをサンプル デザインに含めた場合はレシーバー ユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ ク自体に存在します。

    表 2‐11 : レシーバーのユーザー クロッキング ネッ トワーク  ヘルパー ブロックのコアに存在するポート  (ヘルパー ブロックをコアに配置)

    名前 方向 幅 クロック  ド メ イン 説明

    gtwiz_userclk_rx_reset_in 入力 1 非同期 ヘルパー ブロ ッ ク内のクロ ッキング リ ソースを リセッ トするためのユーザー信号です。gtwiz_userclk_rx_srcclk_in/out が安定するまでアクティブ High にアサート したままにします。

    gtwiz_userclk_rx_srcclk_out 出力 1 RXUSRCLK および RXUSRCLK2 出力を派生させバッファーするための ト ランシーバー プリ ミ ティブ由来のクロ ッ ク ソースです。

    gtwiz_userclk_rx_usrclk_out 出力 1 ト ランシーバー チャネル プリ ミ ティブの RXUSRCLK を駆動します。gtwiz_userclk_rx_srcclk_in/out からのク ロ ッ クを BUFG_GT プ リ ミ テ ィ ブによ って適切にバッファーおよび分周して生成します。

    gtwiz_userclk_rx_usrclk2_out 出力 1 ト ランシーバー チャネル プリ ミ ティブの RXUSRCLK2 を駆動します。gtwiz_userclk_rx_srcclk_in/out からのク ロ ッ クを BUFG_GT プ リ ミ テ ィ ブによ って適切にバッファーおよび分周して生成します。

    gtwiz_userclk_rx_active_out 出力 1 gtwiz_userclk_rx_usrclk2_out

    ヘルパー ブロ ッ ク内のクロ ッキング リ ソースが リ セッ ト状態でないこ と を示すアクテ ィブHigh の信号です。

    表 2‐12 : レシーバーのユーザー クロッキング ネッ トワーク  ヘルパー ブロックのコアに存在するユーザー インターフェイス ポート  (ヘルパー ブロックをサンプル デザインに配置)

    名前 方向 幅クロック  ド メイン

    説明

    gtwiz_userclk_rx_active_in 入力 1 非同期 レシーバー ユーザー ク ロ ッキング ネッ ト ワーク ヘルパーブロ ッ クによ って生成された ク ロ ッ クがア ク テ ィ ブになったら、コア内に含めたほかのヘルパー ブロ ッ クを動作させるためにこのアクティブ High ポート をアサートする必要があ り ます。 レシーバー ユーザー ク ロ ッキング ネット ワーク ヘルパー ブロ ッ クはデフォルトでこのポート を駆動します。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG182&Title=UltraScale%20FPGA%20Transceivers%20Wizard%20v1.5%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.5&docPage=18

  • UltraScale FPGAs Transceivers Wizard v1.5 japan.xilinx.com 19PG182 2015 年 2 月 23 日

    第 2 章 :製品仕様

    ユーザー データ幅のサイズ変更ヘルパー ブロックのポートユーザー データ幅のサイズ変更ヘルパー ブロ ッ クは、 ト ランス ミ ッ ター ユーザー データ インターフェイス用とレシーバー ユーザー データ インターフェイス用の 2 つのモジュールで構成されます。 どちらのモジュールにもユーザー インターフェイス と ト ランシーバー インターフェイスが含まれます。 ユーザー インターフェイスは、 ユーザーデータ幅に ト ランシーバー チャネル数を掛けた単一のベクターと して提供されます。 ト ランシーバー インターフェイスは、 ト ランシーバー チャネル プリ ミ ティブのデータ送信および受信ポートに接続するために必要なビッ ト割り当ておよびインターリーブ/デインターリーブを実行します。

    ユーザー データ幅のサイズ変更ヘルパー ブロ ッ クのユーザー インターフェイス ポートは、接頭辞 gtwiz_userdata_ で識別されます。 ユーザー データ幅のサイズ変更ヘルパー ブロ ッ クの詳細は、 第 3 章 「コアを使用するデザイン」 を参照して ください。 このヘルパー ブロ ッ クの ト ランス ミ ッ ターおよびレシーバー モジュールのユーザー インターフェイス と ト ランシーバー インターフェイスを表 2-14 ~表 2-17 に示します。

    表 2‐13 : レシーバーのユーザー クロッキング ネッ トワーク  ヘルパー ブロックのその他のユーザー インターフェイス ポート  (ヘルパー ブロックをサンプル デザインに配置)

    名前 方向 幅クロック  ド メイン

    説明

    gtwiz_userclk_rx_srcclk_in 入力 1 RXUSRCLK および RXUSRCLK2 出力を派生させバッフ ァーするための ト ランシーバー プ リ ミ テ ィブ由来のクロ ッ ク ソースです。

    表 2‐14 :ユーザー データ幅のサイズ変更ヘルパー ブロックのユーザー インターフェイス ポート  (ト ランスミ ッ ター モジュール)

    名前 方向 幅 クロック  ド メイン 説明

    gtwiz_userdata_tx_in 入力 (TX ユーザー データ幅)* (チャネル数)

    各チャネルの TXUSRCLK2

    ト ラ ンシーバー チャネルで送信するデータ用のユーザーインターフェイスです。

    表 2‐15 :ユーザー データ幅 のサイズ変更ヘルパー ブロックのユーザー インターフェイス ポート  (レシーバー モジュール)

    名前 方向 幅 クロック  ド メ イン 説明

    gtwiz_userdata_rx_out 出力 (RX ユーザー データ幅)* (チャネル数)

    各チャネルの RXUSRCLK2

    ト ラ ンシーバー チャネルで受信するデータ用のユーザーインターフェイスです。

    表 2‐16 :ユーザー データ幅のサイズ変更ヘルパー ブロックのト ランシーバー インターフェイス ポート  (ト ランスミ ッ ター モジュール)

    名前 方向 幅 クロック  ド メイン 説明

    txdata_out 出力 128 * (チャネル数) 各チャネルの TXUSRCLK2 ト ランシーバー チャネル プリ ミ ティブのTXDATA へ接続されます。

    txctrl0_out 出力 16 * (チャネル数) 各チャネルの TXUSRCLK2 ト ランシーバー チャネル プリ ミ ティブのTXCTRL0 へ接続されます。

    txctrl1_out 出力 16 * (チャネル数) 各チャネルの TXUSRCLK2 ト ランシーバー チャネル プリ ミ ティブのTXCTRL1 へ接続されます。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG182&Title=UltraScale%20FPGA%20Transceivers%20Wizard%20v1.5%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.5&docPage=19

  • UltraScale FPGAs Transceivers Wizard v1.5 japan.xilinx.com 20PG182 2015 年 2 月 23 日

    第 2 章 :製品仕様

    ト ランスミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロックのポートト ランス ミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クにはユーザー インターフェイス と ト ランシーバー インターフェイスが含まれます。 ユーザー インターフェイスを利用する と、 ト ランシーバーの ト ランスミ ッ ター バッファー バイパス シーケンスを簡単な方法で開始してそのステータスを監視できます。 ト ランシーバーインターフェイスは、 ト ランシーバー プリ ミ ティブのバッファー バイパス シーケンスの制御に必要な信号を実装します。

    このヘルパー ブロ ッ クのユーザー インターフェイス ポートは、 接頭辞 gtwiz_buffbypass_tx_ で識別されます。 ト ランス ミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クの使用法は、第 3 章「コアを使用するデザイン」を参照してください。

    表 2-18 に示すト ランス ミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クのユーザー インターフェイス ポートは、 このヘルパー ブロ ッ クをコアに含めた設定の場合、 Wizard IP のコア インスタンスに存在します。 これらのポートはヘルパー ブロ ッ ク自体にも存在し、 ヘルパー ブロ ッ クをサンプル デザインに含めた場合は直接アクセスできます。

    この構成では、 ヘルパー ブロ ッ クのク ロ ッ ク ポート gtwiz_buffbypass_tx_clk_in は ト ランス ミ ッ ター マスター チャネルの TXUSRCLK2 と同じ ソースによってコア内部で駆動されるため、 外部には引き出されません。

    表 2‐17 :ユーザー データ幅サイズ変更ヘルパー ブロックのト ランシーバー インターフェイス ポート  (レシーバー モジュール)

    名前 方向 幅 クロック  ド メイン 説明

    rxdata_in 入力 128 * (チャネル数) 各チャネルの RXUSRCLK2 ト ランシーバー チャネル プリ ミ ティブのRXDATA へ接続されます。

    rxctrl0_out 入力 16 * (チャネル数) 各チャネルの RXUSRCLK2 ト ランシーバー チャネル プリ ミ ティブのRXCTRL0 へ接続されます。

    rxctrl1_out 入力 16 * (チャネル数) 各チャネルの RXUSRCLK2 ト ランシーバー チャネル プリ ミ ティブのRXCTRL1 へ接続されます。

    表 2‐18 : ト ランスミ ッ ターのバッファー バイパス コン トローラー ヘルパー ブロックのコアに存在するユーザー インターフェイス ポート  (ヘルパー ブロックをコアに配置)  

    名前 方向 幅 クロック  ド メイン 説明

    gtwiz_buffbypass_tx_reset_in 入力 1 gtwiz_buffbypass_tx_clk_in

    ヘルパー ブロ ッ ク内のロジ ッ ク を リセッ トするためのユーザー信号です。すべての ト ラ ンシーバー チャネルでTXUSRCLK2 が安定 し た直後に ア クティブ High の同期パルスを供給する必要があ り ます。

    gtwiz_buffbypass_tx_start_user_in 入力 1 gtwiz_buffbypass_tx_clk_in

    このアクティブ High のユーザー信号を同期パルスする と ト ラ ン ス ミ ッ ターバッファー バイパス シーケンスが強制的に再開されます。

    gtwiz_buffbypass_tx_done_out 出力 1 gtwiz_buffbypass_tx_clk_in

    ト ランス ミ ッ ター バッファー バイパスシーケンスが完了したこ と を示すアクティブ High の信号です。

    gtwiz_buffbypass_tx_error_out 出力 1 gtwiz_buffbypass_tx_clk_in

    ト ランス ミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クでエラー条件が発生したこ と を示すアクティブ High の信号です。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG182&Title=UltraScale%20FPGA%20Transceivers%20Wizard%20v1.5%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.5&docPage=20

  • UltraScale FPGAs Transceivers Wizard v1.5 japan.xilinx.com 21PG182 2015 年 2 月 23 日

    第 2 章 :製品仕様

    表 2-19 に示すト ランス ミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クのユーザー インターフェイス ポートはコア インスタンスには存在しませんが、 このヘルパー ブロ ッ クをサンプル デザインに含めた場合はトランス ミ ッ ター バッファー バイパス コン ト ローラー ヘルパー ブロ ッ ク自体に存在します。

    表 2-20 に示すト ランス ミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クの ト ランシーバー インターフェイス ポートは、 このヘルパー ブロ ッ クを ト ランシーバー プリ ミ ティブに接続します。 これらの接続は、 このヘルパー ブロ ッ クをコア内に含めた場合は内部接続とな り、 ヘルパー ブロ ッ ク出力によって駆動される ト ランシーバー プリ ミ ティブ入力はコア インスタンスのオプシ ョ ン ポート と して有効化できません。 これに対し、 このヘルパー ブロ ッ クをサンプル デザインに含めた場合はコア境界をまたいだ接続となり、 ヘルパー ブロ ッ クに接続するト ランシーバー プリ ミ ティブ ポートは必然的に有効化されます。

    マルチレーン バッファー バイパス シーケンスを実行するには、 各信号のポート幅に ト ランス ミ ッ ターのバッファーバイパス コン ト ローラー ヘルパー ブロ ッ クが接続する ト ランシーバー チャネルの数を掛けます。

    表 2‐19 : ト ランスミ ッ ターのバッファー バイパス コン ト ローラー ヘルパー ブロックのその他のユーザー インターフェイス ポート  (ヘルパー ブロックをサンプル デザインに配置)

    名前 方向 幅クロック  ド メイン

    説明

    gtwiz_buffbypass_tx_clk_in 入力 1 ト ランス ミ ッ ターのバッファー バイパス コン トローラー ヘルパー ブロッ クを制御するために使用する ト ラ ンシーバー プ リ ミ テ ィ ブ由来のクロ ッ クです。 ト ランス ミ ッ ター マスター チャネルの TXUSRCLK2 と同じ ソースで駆動する必要があ り ます。

    gtwiz_buffbypass_tx_resetdone_in 入力 1 非同期 ト ランス ミ ッ ター リセッ ト シーケンスが完了してバッファー バイパス シーケンスが開始可能になったこ とを示すアクティブ High の信号です。

    表 2‐20 : ト ランスミ ッターのバッファー バイパス コントローラー ヘルパー ブロックのト ランシーバー インターフェイス ポート

    名前 方向 幅 クロック  ド メイン 説明

    txphaligndone_in 入力 1 * (チャネル数) 非同期 ト ランシーバー チャネル プリ ミ ティブのTXPHALIGNDONE へ接続されます。

    txphinitdone_in 入力 1 * (チャネル数) 非同期 ト ランシーバー チャネル プリ ミ ティブのTXPHINITDONE へ接続されます。

    txdlysresetdone_in 入力 1 * (チャネル数) 非同期 ト ランシーバー チャネル プリ ミ ティブのTXDLYSRESETDONE へ接続されます。

    txsyncout_in 入力 1 * (チャネル数) 非同期 ト ランシーバー チャネル プリ ミ ティブのTXSYNCOUT へ接続されます。

    txsyncdone_in 入力 1 * (チャネル数) 非同期 ト ランシーバー チャネル プリ ミ ティブのTXSYNCDONE へ接続されます。

    txphdlyreset_out 出力 1 * (チャネル数) 固定値に接続 ト ランシーバー チャネル プリ ミ ティブのTXPHDLYRESET へ接続されます。

    txphalign_out 出力 1 * (チャネル数) 固定値に接続 ト ランシーバー チャネル プリ ミ ティブのTXPHALIGN へ接続されます。

    txphalignen_out 出力 1 * (チャネル数) 固定値に接続 ト ランシーバー チャネル プリ ミ ティブのTXPHALIGNEN へ接続されます。

    txphdlypd_out 出力 1 * (チャネル数) 固定値に接続 ト ランシーバー チャネル プリ ミ ティブのTXPHDLYPD へ接続されます。

    txphinit_out 出力 1 * (チャネル数) 固定値に接続 ト ランシーバー チャネル プリ ミ ティブのTXPHINIT へ接続されます。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG182&Title=UltraScale%20FPGA%20Transceivers%20Wizard%20v1.5%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.5&docPage=21

  • UltraScale FPGAs Transceivers Wizard v1.5 japan.xilinx.com 22PG182 2015 年 2 月 23 日

    第 2 章 :製品仕様

    レシーバーのバッファー バイパス コン ト ローラー ヘルパー ブロックのポート

    レシーバーのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クにはユーザー インターフェイス と ト ランシーバー インターフェイスが含まれます。 ユーザー インターフェイスを利用する と、 ト ランシーバーのレシーバー バッファー バイパス シーケンスを簡単な方法で開始してそのステータスを監視できます。 ト ランシーバー インターフェイスは、 ト ランシーバー プリ ミ ティブのバッファー バイパス シーケンスの制御に必要な信号を実装します。

    このヘルパー ブロ ッ クのユーザー インターフェイス ポートは、接頭辞 gtwiz_buffbypass_rx_ で識別されます。 レシーバー バッファー バイパス コン ト ローラー ヘルパー ブロ ッ クの使用法は、第 3 章 「コアを使用するデザイン」 を参照してください。

    表 2-21 に示すレシーバーのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クのユーザー インターフェイスポートは、 このヘルパー ブロッ クをコアに含めた設定の場合、 Wizard IP のコア インスタンスに存在します。 これらのポートはヘルパー ブロ ッ ク自体にも存在し、 ヘルパー ブロ ッ クをサンプル デザインに含めた場合は直接アクセスできます。

    この構成では、ヘルパー ブロ ッ クのクロ ッ ク ポート gtwiz_buffbypass_rx_clk_in はレシーバー マスター チャネルの RXUSRCLK2 と同じ ソースによってコア内部で駆動されるため、 外部には引き出されません。 シングルレーンバッファー バイパス シーケンスを使用する場合は、各ト ランシーバー チャネルにこのヘルパー ブロ ッ クのインスタンスが 1 つだけ存在します。 各ポート幅にこの乗数を掛け、 各ヘルパー ブロ ッ ク インスタンスは関連するチャネルの RXUSRCLK2 と同じ ソースで駆動します。

    txphovrden_out 出力 1 * (チャネル数) 固定値に接続 ト ランシーバー チャネル プリ ミ ティブのTXPHOVRDEN へ接続されます。

    txdlysreset_out 出力 1 * (チャネル数) gtwiz_buffbypass_tx_clk_in (非同期に使用)

    ト ランシーバー チャネル プリ ミ ティブのTXDLYSRESET へ接続されます。

    txdlybypass_out 出力 1 * (チャネル数) 固定値に接続 ト ランシーバー チャネル プリ ミ ティブのTXDLYBYPASS へ接続されます。

    txdlyen_out 出力 1 * (チャネル数) 固定値に接続 ト ランシーバー チャネル プリ ミ ティブのTXDLYEN へ接続されます。

    txdlyovrden_out 出力 1 * (チャネル数) 固定値に接続 ト ランシーバー チャネル プリ ミ ティブのTXDLYOVRDEN へ接続されます。

    txphdlytstclk_out 出力 1 * (チャネル数) 固定値に接続 ト ランシーバー チャネル プリ ミ ティブのTXPHDLYTSTCLK へ接続されます。

    txdlyhold_out 出力 1 * (チャネル数) 固定値に接続 ト ランシーバー チャネル プリ ミ ティブのTXDLYHOLD へ接続されます。

    txdlyupdown_out 出力 1 * (チャネル数) 固定値に接続 ト ランシーバー チャネル プリ ミ ティブのTXDLYUPDOWN へ接続されます。

    txsyncmode_out 出力 1 * (チャネル数) 固定値に接続 ト ランシーバー チャネル プリ ミ ティブのTXSYNCMODE へ接続されます。

    txsyncallin_out 出力 1 * (チャネル数) 非同期 ト ランシーバー チャネル プリ ミ ティブのTXSYNCALLIN へ接続されます。

    txsyncin_out 出力 1 * (チャネル数) 非同期 ト ランシーバー チャネル プリ ミ ティブのTXSYNCIN へ接続されます。

    表 2‐20 : ト ランスミ ッターのバッファー バイパス コントローラー ヘルパー ブロックのト ランシーバー インターフェイス ポート  (続き)

    名前 方向 幅 クロック  ド メイン 説明

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG182&Title=UltraScale%20FPGA%20Transceivers%20Wizard%20v1.5%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.5&docPage=22

  • UltraScale FPGAs Transceivers Wizard v1.5 japan.xilinx.com 23PG182 2015 年 2 月 23 日

    第 2 章 :製品仕様

    表 2-22 に示すレシーバーのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クのユーザー インターフェイスポートはコア インスタンスには存在しませんが、 このヘルパー ブロ ッ クをサンプル デザインに含めた場合はレシーバー バッファー バイパス コン ト ローラー ヘルパー ブロ ッ ク自体に存在します。

    表 2-23 に示すレシーバーのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クの ト ランシーバー インターフェイス ポートは、このヘルパー ブロ ッ クを ト ランシーバー プリ ミ ティブに接続します。これらの接続は、このヘルパーブロ ッ クをコア内に含めた場合は内部接続とな り、 ヘルパー ブロ ッ ク出力によって駆動される ト ランシーバー プリミ ティブ入力はコア インスタンスのオプシ ョ ン ポート と して有効化できません。 これに対し、 このヘルパー ブロ ックをサンプル デザインに含めた場合はコア境界をまたいだ接続とな り、 ヘルパー ブロ ッ クに接続する ト ランシーバー プリ ミ ティブ ポートは必然的に有効化されます。

    マルチレーン バッファー バイパス シーケンスを実行するには、各ポート幅にレシーバーのバッファー バイパス コント ローラー ヘルパー ブロ ッ クが接続する ト ランシーバー チャネルの数を掛けます。シングルレーン バッファー バイパス シーケンスを使用する場合、 各ト ランシーバー チャネルにはこのヘルパー ブロッ クのインスタンスが 1 つのみ存在するため、 乗数は 1 です。

    表 2‐21 : レシーバーのバッファー バイパス コン ト ローラー ヘルパー ブロックのコアに存在するユーザー インターフェイス ポート  (ヘルパー ブロックをコアに配置)

    名前 方向 幅 クロック  ド メイン 説明

    gtwiz_buffbypass_rx_reset_in 入力 1 gtwiz_buffbypass_rx_clk_in

    ヘルパー ブロ ッ ク内のロジッ クを リセッ トするためのユーザー信号です。すべての ト ランシーバー チャネルでRXUSRCLK2 が安定した直後にア クテ ィブ High の同期パルスを供給する必要があ り ます。

    gtwiz_buffbypass_rx_start_user_in 入力 1 gtwiz_buffbypass_rx_clk_in

    このアクテ ィブ High のユーザー信号を同期パルスする と レシーバー バッファー バイパス シーケンスが強制的に再開されます。

    gtwiz_buffbypass_rx_done_out 出力 1 gtwiz_buffbypass_rx_clk_in

    レシーバー バッファー バイパス シーケ ン ス が完了し た こ と を示すア クティブ High の信号です。

    gtwiz_buffbypass_rx_error_out 出力 1 gtwiz_buffbypass_rx_clk_in

    レシーバーのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クでエラー条件が発生したこ と を示すアクティブ High の信号です。

    表 2‐22 : レシーバーのバッファー バイパス コン ト ローラー ヘルパー ブロックのその他のユーザー インターフェイス ポート  (ヘルパー ブロックをサンプル デザインに配置)

    名前 方向 幅クロック  ド メイン

    説明

    gtwiz_buffbypass_rx_clk_in 入力 1 レシーバーのバッファー バイパス コン ト ローラー ヘルパー ブロ ッ クを制御するために使用する ト ランシーバー プリ ミ ティブ由来のクロ ックです。 レシーバー マスター チャネルの RXUSRCLK2 と同じ ソースで駆動する必要があり ます。

    gtwiz_buffbypass_rx_resetdone_in 入力 1 非同期 レシーバー リセッ ト シーケンスが完了してバッファー バイパス シーケンスが開始可能になったこ とを示すアクティブ High の信号です。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG182&Title=UltraScale%20FPGA%20Transceivers%20Wizard%20v1.5%20LogiCORE%20IP%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.5&docPage=23

  • UltraScale FPGAs Transceivers Wizard v1.5 japan.xilinx.com 24PG182 2015 年 2 月 23 日

    第 2 章 :製品仕様

    表 2‐23 : レシーバー バッファー バイパス コン ト ローラー ヘルパー ブロックのト ランシーバー インターフェイス ポート

    名前 方向 幅 クロック  ド メイン 説明

    rxphaligndone_in 入力 1 * (チャネル数) 非同期 ト ランシーバー チャネル プリ ミ ティブのRXPHALIGNDONE へ接続されます。

    rxdlysresetdone_in 入力 1 * (チャネル数) 非同期 ト ランシーバー チャネル プリ ミ ティブのRXDLYSRESETDONE へ接続されます。

    rxsyncout_in 入力 1 * (チャネル数) 非同期 ト ランシーバー チャネル プリ ミ ティブのRXSYNCOUT へ接続されます。

    rxsyncdone_in 入力 1 * (チャネル数) 非同期 ト ランシーバー チャネル プリ ミ ティブのRXSYNCDONE へ接続されます。

    rxphdlyreset_out 出力 1 * (チャネル数) 固定値に接続 ト ランシーバー チャネル プリ ミ ティブのRXPHDLYRESET へ接続されます。

    rxphalign_out 出力 1 * (チャネル数) 固定値に接続 ト ランシーバー チャネル プリ ミ ティブのRXPHALIGN へ接続されます。

    rxphalignen_out 出力 1 * (チャネル数) 固定値に接続 ト ランシーバー チャネル プリ ミ ティブのRXPHALIGNEN へ接続されます。

    rxphdlypd_out 出力 1 * (チャネル数) 固定値に接続 ト ランシーバー チャネル プリ ミ ティブのRXPHDLYPD へ接続されます。

    rxphovrden_out 出力 1 * (チャネル数) 固定値に接続 ト ランシーバー チャネル プリ ミ ティブのRXPHOVRDEN へ接続されます。

    rxdlysreset_out 出力 1 * (チャネル数) gtwiz_buffbypass_rx_clk_in (非同期に使用)

    ト ランシーバー チャネル プリ ミ ティブのRXDLYSRESET へ接続されます。

    rxdlybypass_out 出力 1 * (チャネル数) 固定値に接続 ト ランシーバー チャネル プリ ミ ティブのRXDLYBYPASS へ接続されます。

    rxdlye