The preparation, properties and applications of silicon nitride thin films deposited by...

30
Thin Solid Films, 204 (1991) 77-106 PREPARATION AND CHARACTERIZATION 77 THE PREPARATION, PROPERTIES AND APPLICATIONS OF SILICON NITRIDE THIN FILMS DEPOSITED BY PLASMA- ENHANCED CHEMICAL VAPOR DEPOSITION MANJU GUPTA, V. K. RATHI, R. THANGARAJAND O. P. AGNIHOTRI Semiconductor EngineeringLaboratory, Department of Physics, Indian Institute of Technology, New Delhi 110016 (India) K. S. CHARI Microelectronics Development•Division, Department of Electronics, 1, Eastern Avenue, Maharani Bagh, New Delhi 110 065 (India) (ReceivedNovember6, 1990;revisedFebruary 14, 1991; acceptedApril 2, 1991) The purpose of this paper is to review the preparation and characterization of thin films of silicon nitride deposited by the technique of plasma-enhanced chemical vapor deposition. The applications of silicon nitride thin films in integrated circuit technology are also reviewed. The paper also introduces the modifications to the plasma chemical vapor deposition system used to avoid plasma bombardment of the substrate and to reduce hydrogen incorporation in the layers in the form of Si--H and N--H bonds. The effect on film properties of post-deposition annealing at a temperature higher than the deposition temperature is reported. 1. INTRODUCTION In integrated circuit technology, insulating films of silicon dioxide and silicon nitride are used in many ways. These films exhibit a wide range of electrical, optical and mechanical properties such as band gap, refractive index, dielectric constant, stress etc. depending on their chemical composition and the method of preparation; hence their applications are also diverse1. Examples of frequently used coatings are thermally grown silicon oxide, silicon nitride grown by plasma-enhanced chemical vapor deposition (PECVD) and silicon oxynitrides which have properties inter- mediate between those of the oxide and nitride. In recent years, special attention has been devoted to the use of silicon nitride in semiconductor device technology, and intensive studies have been undertaken to investigate its properties since it can be used safely for interlayer isolation, device passivation and mechanical protection 2-6. Among a number of different methods used to produce thin films 7, the most important is PECVD (in which the activation energy needed for the reaction is provided by an rlf. plasma) because of the growing interest in thin film dielectrics deposited at low temperatures, especially in the range 200-500 °C a-21. 0040-6090/91/$3.50 © Elsevier Sequoia/Printed in The Netherlands

Transcript of The preparation, properties and applications of silicon nitride thin films deposited by...

Page 1: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

Thin Solid Films, 204 (1991) 77-106 PREPARATION AND CHARACTERIZATION 77

THE PREPARATION, PROPERTIES AND APPLICATIONS OF SILICON NI TRIDE THIN FILMS DEPOSITED BY PLASMA- E N H A N C E D CHEMICAL VAPOR DEPOSITION

• MANJU GUPTA, V. K. RATHI, R. THANGARAJ AND O. P. AGNIHOTRI Semiconductor Engineering Laboratory, Department of Physics, Indian Institute of Technology, New Delhi 110016 (India) K. S. CHARI Microelectronics Development •Division, Department of Electronics, 1, Eastern Avenue, Maharani Bagh, New Delhi 110 065 (India)

(Received November 6, 1990; revised February 14, 1991 ; accepted April 2, 1991)

The purpose of this paper is to review the preparation and characterization of thin films of silicon nitride deposited by the technique of plasma-enhanced chemical vapor deposition. The applications of silicon nitride thin films in integrated circuit technology are also reviewed.

The paper also introduces the modifications to the plasma chemical vapor deposition system used to avoid plasma bombardment of the substrate and to reduce hydrogen incorporation in the layers in the form of S i - -H and N - - H bonds.

The effect on film properties of post-deposition annealing at a temperature higher than the deposition temperature is reported.

1. INTRODUCTION

In integrated circuit technology, insulating films of silicon dioxide and silicon nitride are used in many ways. These films exhibit a wide range of electrical, optical and mechanical properties such as band gap, refractive index, dielectric constant, stress etc. depending on their chemical composition and the method of preparation; hence their applications are also diverse1. Examples of frequently used coatings are thermally grown silicon oxide, silicon nitride grown by plasma-enhanced chemical vapor deposition (PECVD) and silicon oxynitrides which have properties inter- mediate between those of the oxide and nitride.

In recent years, special attention has been devoted to the use of silicon nitride in semiconductor device technology, and intensive studies have been undertaken to investigate its properties since it can be used safely for interlayer isolation, device passivation and mechanical protection 2-6.

Among a number of different methods used to produce thin films 7, the most important is PECVD (in which the activation energy needed for the reaction is provided by an rlf. plasma) because of the growing interest in thin film dielectrics deposited at low temperatures, especially in the range 200-500 °C a-21.

0040-6090/91/$3.50 © Elsevier Sequoia/Printed in The Netherlands

Page 2: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

78 M. GUPTA et al.

In this paper, we present a review of published works on the deposition by PECVD and characterization of'silicon nitride films. However, because there is a range of deposition parameters (e.g. deposition temperature, r.f. power, r.f. frequency, chamber pressure, gas flow rate, reactant gas ratio etc.) which can be altered to provide a wide range of properties of silicon nitride films, the interrelation of all the deposition parameters is complex and prediction of film properties is difficult; hence the results of typical films deposited under different conditions are outlined.

Annealing at a temperature higher than the deposition temperature improves the film properties to a great extent. The results of annealing on film properties are also reported.

PECVD has disadvantages such as the incorporation of pinholes due to plasma bombardment and hydrogen incorporation in the films 21. Photo CVD is a technique that has developed rapidly and has aroused particular interest because of its damage-free and low temperature properties 23 25. However, the deposition rate using photo CVD is quite small (around 50/~ min 1) and would not be acceptable on an industrial scale.

2. PLASMA CHEMICAL VAPOR DEPOSITION TECHNIQUES

2.1. R¢[] plasma chemical vapor deposition systems The plasma currently used in all commercial reactors is a low pressure glow

discharge developed in an r.f. field. In other words, the reactant gases are ionized and the number density of positive ions equals the number density of electrons so that the plasma is electrically neutral. The electron temperature can be as high as 20 000-30 000 K while the heavy particles will remain at room temperature. Along the electrode surface, a plasma "sheath" will develop where electrical neutrality is no longer maintained. (The plasma sheath is referred to as the physical surface bounding the plasma and charge neutrality is violated to some extent near this surface.) The very high electron temperature creates many dissociated species which can recombine on a nearby surface, allowing the film to grow 26.

The conventional r.f. plasma CVD system is based on a parallel plate reactor and employs capacitive coupling of r.f. power into a glow discharge containing a gas mixture appropriate for the growth of particular insulating films 2~, The technique can be used to deposit thin films of a-Si, silicon dioxide, silicon nitride, silicon oxy~aitride etc. and employs gas mixtures of Sill4 and either O 2 or N 2 0 (for oxide or oxynitride), and Sill 4 and N2 or NH3 (for nitride). The use of N2 in place o f N H 3 has many advantages, e.g. it is non-corrosive, non-flammable, free from water contamin- ation etc. 28. Also, NH3-free silicon nitride films contain less hydrogen and hence have smaller etch rates. However, the deposition rate can be increased by using NH3 as a source of nitrogen (since the dissociation energy of N z is higher). The films deposited using NH3 have been shown to exhibit a lower refractive index. This is due to the incorporation of hydrogen in the film 28. Silicon nitride coatings have also been deposited from a mixture of SiC14 and NH3 z9. SiCI 4 is preferred to silane as the silicon source because it is easier and safer to use. However, the process is not much used owing to contamination of the coatings by chlorine.

A schematic diagram of the reaction apparatus, showing the chamber,

Page 3: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

PECVD SILICON NITRIDE FILMS 79

associated pumping system, gas lines and r.f. power source is shown in Fig. 1 (see ref. 30). The gas mixture enters the chamber either through a matrix of small holes in the upper electrode which is at a floating potential 3~ or the gases are introduced separately where N 2 or NH 3 is introduced from the top of the chamber and the silane is passed through a dispersing ring from the side just over the surface of the sample 32. The substrate is placed on the lower electrode. The distance between the electrodes is variable. Mass flowmeters monitor the flow rates of the gases entering the chamber. The sample is brought to the desired temperature (around 200-350 °C) by resistive heating. The r.f. discharge is initiated and sustained by coupling the r.f. energy inductively or capacitively. The gas pressure is controlled by a throttle valve located in the roots blower line. Similar but separate lines are provided for CF4 and 0 2 and an appropriate mixture of these two gases is used for plasma etch cleaning of the chamber 3°. The r.f. power to the cathode is supplied by an r.f, power supply. Depending on the equipment used, the chamber pressure range is approximately 200 mTorr to 3 Torr and r.f. power supply frequencies range from about 30 kHz to 13.56 MHz. The influence of discharge frequency on various properties of PECVD nitride films will be discussed later.

RF IMPEDANCE MATCHING HETWORK

Fig. 1. Schematic representation of the apparatus used for reactive plasma deposition of silicon nitride films.

Unlike the conventional CVD technique, PECVD should be classified as a highly non-equilibrium reaction process in which the gas phase plasma reactions generate species which could introduce the required bonding groups into the deposited film. The species produced by the dissociation of reactant gas molecules by electron impact are very reactive radicals, atoms, ions and even unexcited gas molecules. Film formation takes place as a result of reactions between a number of excited species such as excited molecules of NH 3 (or N:) and Sill4, NH, NHE (radicals), electrons and unexcited molecules following r.f. excitation of the mixture of reactant gases Sill4 and NH 3 (or Nz) and their condensation on the heated substrate 27,33,34.

Page 4: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

80 M. GUPTA e t al.

Since there are many ground state and excited species, e.g. molecules, atoms, ions, electrons, radicals etc., the mechanism of the reaction is complex 35. The films produced in this way contain hydrogen as well as silicon and nitrogen.

The overall equation describing the different reactions to produce nitride films is

(NH3)* or (N2)* + ( S i H j ~ Si3N 4 (200-400 °C)

The incorporated silane radicals are unable to give away their hydrogen because of the low substrate temperature, and a hydrogen-containing film results 33.

2.2. Remote plasma-enhanced chemical vapor deposition Films deposited by PECVD generally contain a high concentration of bonded

hydrogen, from 5% to 30% (as deduced from IR absorption measurements) incorporated in the form of Si - -H and N - - H bonds in nitrides3% These films do not perform well as gate insulators in active devices 2v. Localized states can be generated within the band gap of silicon nitride by Si-H and SiNH groups 37. In these cases, the localized states are more than about 1 eV away from either of the band edges and therefore can be active as deep trapping or recombination centers. A low temperature process which eliminates these groups is "remote" PECVD / 7, 38 43.

Using this process, it has been possible to deposit nitride films at substrate temperatures between 350 and 500 '>C with no measurable IR absorption associated with the bonding groups mentioned above 2v.

The process is a modification of PECVD in which only one of the constituent gases (the nitrogen-containing molecule) is r.f. excited. The excited atoms, molecules, radicals and electrons etc. are transported out of the plasma region to the deposition region where they are mixed with unexcited silane and CVD reaction takes place at the heated substrate 2v. The remote PECVD system is shown in Fig. 2.

N H3~2,0~

i | ~ - PYREX TUBE

0 o i l U ° ~ - ~F. co l t 0 0 "

~'~ GAS RING

FEED THROUGh J " SUBSTRAZE

TO ~ HEATER PUMPIN~ SYSTEJ~F BLOC~

Fig. 2. Schematic representation of a remote PECVD chamber.

Page 5: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

PEcvD SILICON NITRIDE FILMS 81

N2* reacts with Sill4 according to the following reaction:

2N2" + 3SiH4 ~ Si3N 4 + 6H 2

2.3. Microwave plasma deposition In the conventional plasma CVD system, the substrate is placed in the plasma

and hence the substrate and the film being grown are exposed to high energy plasma particles. Such a film has a rough surface and contains defects such as pinholes. Also, the true effect of the substrate temperature on the film quality cannot be studied 44. Hence the methods to be preferred are those in which deposition takes place outside the plasma and film deposition occurs without plasma bombardment.

Silicon nitride films can be deposited by vapor phase deposition with microwave gas discharge with a cavity type coaxial line microwave discharge tube, where the plasma is confined in a desired space and film deposition takes place outside the plasma. Hence no heating or damage occurs as a result of plasma bombardment of the substrate 45-47.

Microwave discharges have been used for plasma etching 4a and plasma deposition 49'5°. Microwave energy is created with a 2.45GHz magnetron and introduced into the plasma chamber through a rectangular waveguide and a window made of a fused quartz tube (see Fig. 3, ref. 26).

MICROWAVE 2.45 GIt z /

II I I[ RECTAHGULAR - - - 1 1 IJ "t WA"G"'°E

C O O L I N G ~ ~ II II II ~ - " jy. r

GAS(.22~.~_IJ - - PLASMA 1: ° ' ~ ' ~? --~LASHA[~TRACTION s,., \", "'NO'IJ .1" . " " ~ SPECIMEN

' IJ Fig. 3. Schematic representation of the system used for plasma deposition using microwave discharges.

The electromagnetic field of the microwave discharge can interact very efficiently with the plasma if the pressure is low (about 0.10mTorr) so that the applied frequency corresponds with the electron cyclotron frequency for a magnetic field of 875 G and a resonant condition is achieved. Under these conditions, high degrees of ionization and dissociation are achieved efficiently. The plasma thus

Page 6: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

82 M. GUPTA et al.

created is then passed through an axisymmetric magnetic field, which confines it until it impinges on the wafer 2~'.

A comparison of the compositional properties of nitride films prepared by microwave plasma CVD and conventional plasma CVD methods has been done by Hirao and coworkers 51. ~ 2

2.4. More recent developments in plasma processes 2.4.1. Multipole confined diffusion plasma produced by a 13.56 MHz electrode-

less source

For some years microwave sources have been used to create a plasma which is then allowed to diffuse into a larger volume where the substrate holder is situated53"s4.

The microwave energies are created at very high frequencies (of the order of gigahertz). However, a large volume of uniform plasma can be created in a chamber surrounded by multipoles using an inductively coupled source operating at the much lower frequency of 13.56 MHz 5~. Under these conditions, surface magnetic fields can be used to contain the plasma electrons and increase the density and uniformity of the plasma. Generally, these surface fields are created by permanent magnets (24 sets of permanent magnets) surrounding the reactor, arranged to produce cusps which can adiabatically reflect the electrons (Fig. 4(a), ref. 55). Plasmas confined in this way have been used for the deposition of thin films 56.

2.4.2. Induction heated plasma-assisted chemical vapor deposition To avoid radiation damage and hydrogen incorporation in the films, a new

induction heated plasma (IH plasma) assisted CVD method has been developed by Mito and Sekiguchi 22. The IH plasma is generated in an inductively coupled quartz tube by supplying high r.f. power (3-4 kW) at 1 Torr pressure. Intense vacuum ultra violet (VUV) emissions (120 nm) are radiated from the IH plhsma, so it is expected that Sill 4 is dissociated by the VUV emissions without ionic collisions. Gas in the IH plasma is thermally excited, so that radicals with long lifetimes are produced.

The method can operate in two deposition modes: mode I is photo (VUV emission) and radical-assisted CVD where no plasma comes into contact with the substrates. It can be used as a damageless deposition process, as photo CVD; mode II is a glow-like plasma generated around IH plasma. It can be used as a versatile but high rate PECVD. A schematic diagram of the experimental system for IH plasma- assisted CVD is shown in Fig. 4(b).

It is expected that the deposition mode I will be used as a damageless and low hydrogen content process with low deposition rate (around 60 • min-1), while mode II can be used as a high deposition rate (around 500,& min 1) and low hydrogen content deposition process.

2.4.3. Helium plasma-enhanced chemical vapor deposition This process is a direct PECVD process utilizing very high levels of helium

dilution of the reactive gases to eliminate many of the problems normally associated with plasma deposition. The only modification necessary to run the helium PECVD process is the use of a high flow, mass flow controller for the helium gas line. High purity helium is used for additional dilution. The main difference between this process and the conventional PECVD process is that the helium flow rate is 2-3

Page 7: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

PECVD SILICON NITRIDE FILMS 83

GAS - GLASS SOURCE

~ ~ MULTIPOLIr llli "

(a) pu.Ps (b)

Glow Like

Con¢gve S~blllrelo \ Grating YUV fielder

"~"" ~ ~ "J"~ - - III

Tube

Hatching Network

RF Generator ~k (13.Sll MHz) Puar Iz ~r-~).~

SIH4

Fig. 4. Schemat ic d i a g r a m s of(a) a mul t ipo le p l a sma reactor with r.f. source and (b) an induc t ion-hea ted p lasma-ass i s ted C V D reactor.

orders of magnitude higher than the flow rate of SiH~ (only about 1 standard cm 3 min 1).

The helium is thought to play an important role in maintaining a relatively cool plasma and shielding the reactive gases from fragmentation. It is light, inert and does not introduce deleterious ion bombardment or chemical effects, and the high degree of dilution effectively suppresses gas phase nucleation. The film-substrate interfaces have been shown to exhibit no sign of plasma-induced damage. Thus the deposition of high quality films at a somewhat reduced deposition rate is achieved with the process57'ss

2.4.4. Plasma-enhanced chemical vapor deposition of fluorinated silicon nitride Films deposited using SiH4-N 2 or SiH4-NH 3 gas mixture contain consider-

able amounts of hydrogen incorporated in the films. Since hydrogen atoms are considered to move in the film and cause various unstable phenomena to occur in semiconductor devices, it is desirable to lower the hydrogen concentration or to stabilize the hydrogen bonds in order to improve the device reliability 59,60.

Fluorinated silicon nitride deposited from the reactive plasma of an SiF4-N2-H 2 or SiH4-NHa-NF 3 gas mixture is reported to have a higher thermal stability s9-63. Although the hydrogen content is not less than that in film deposited from an SiH4-NH 3 or SiHa-N 2 gas mixture, the hydrogen bonds become stronger and stable up to 640 °C 59. H2 and/or Sill4 gas is added to SiF4 gas to reduce film etching by SiF 4 gas, and thus the hydrogen is also incorporated in the films 64,65.

The fluorine content in the films is approximately 25 at.~o. The increase in fluorine atoms greatly influences the dielectric constant which is lowered (4.8-6.0) with increasing SiF4 flow rate 62.

The films have relatively high resistivity (7 x 1016 ~ cm), high breakdown strength (10 MV cm- ~) and low deep trap density 59.

3. EFFECT OF PROCESS VARIABLES ON FILM PROPERTIES

PECVD offers a wide range of deposition variables, hence film properties can

Page 8: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

84 M. GUPTA et al.

be tailored to the required stoichiometry (silicon-rich or nitrogen-rich). The various process variables are (1) the reactant gas ratio, (2) the total gas

flow rate, (3) the total pressure, (4) the substrate temperature, (5) the r.f. power, and (6) the r.f. frequency.

The effects of the above process variables on various film properties are discussed below. Film properties can be divided into physical, chemical, mechanical, optical, electrical and magnetic properties.

3.1. Physical and structural properties 3.1.1. Densi O' With an increase in the SiH4-to-NH 3 ratio, the film density shows a broad peak

(p ~ 2.55 g cm -3) for 0.58 ~< [SiH4]/ [NH3] ~< 0.79 and decreases again at [-SiH4] / [NH3] ~ 0.9. However, this is not accompanied by a corresponding increase in etch rate, presumably because the silicon content is now much higher. The broad maximum in density corresponds to a broad minimum in the etch rate.

The density is unaffected by the total pressure and increases with substrate temperature 3°.

According to Claassen e t al. 66, an increase in discharge frequency produces nitride films with low density. This is because the extent of ion bombardment decreases strongly as soon as the ions become slow relative to the r.f. field. This means that the flux of ions to the growing layer strongly decreases at high frequencies, leading to nitride layers with a low density.

3.1.2. Deposition rate The higher temperature results in an increase in the rate of diffusion of reactive

species to the substrate, probably resulting in more dense films and hence a decrease in deposition rate with increasing temperature 31

The deposition rate also increases with r.f. power to a certain value and then becomes constant. This is because the dissociation of reactant gases increases with r.f. power until dissociation of all the molecules is complete, at which point no further increase occurs 67'68.

The deposition rate increases with chamber pressure and with SiH4-to-NH 3 ratio 3° (see Fig. 5, ref. 69).

At high deposition rates layers are formed with a low density and can be etched relatively quickly. However, low deposition rates yield denser films and a slower etch rate 66.

The deposition rate varies from system to system and for most of the conditions used it is around 120/~ min - 1 To

3.1.3. Composition The composit ion is determined by the [Si] / [N] ratio, the [ S i - - H ] / [ N - - H ]

bond ratio and the total amount of hydrogen incorporated in the film 66. The films are silicon rich for [Si] / [N] ratios greater than 0.75 and nitrogen rich for [Si] / [N] ratios smaller than 0.75. Film stoichiometry and hydrogen content have a great influence on film properties and both silicon-rich and nitrogen-rich films can be prepared, depending on the experimental conditions. For given processing con- ditions, the gas flow ratio determines the film stoichiometry. Silicon-rich rather than nitrogen-rich films are formed much more readily, probably because S i - - H or

Page 9: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

PECVD SILICON N I T R I D E FILMS 8 5

8O

c

70 o~

¢,¢

0 ~ 50

0

N ~.0

0 I I I I 0.6 0.8 1.0 1,2 1.~ 1.6

NITRIDE COMPOSITION SI/N

Fig. 5. Deposition rate for films deposited at 300°C and 0.3 Torr vs. chemical composmon o! me nlrns.

Si--Si bonds are more easily formed than the sp 2 hybrid orbital of nitrogen atoms in planar trigonal arrangement 66.

It has been established that hydrogen can influence the physical, chemical and mechanical properties of the nitrogen-rich films but there is no clear evidence for the hydrogen content influencing the optical and electrical properties; the film stoichiometry has the most influence on these properties 71' 72

The hydrogen concentration is mainly determined by the substrate tempera- ture and is reported to range from 2 to 40 at.~o. It depends on the gas composition for a given substrate temperature 35,72, 73. It has been observed that the concentration of hydrogen atoms and the [Si]/[N] ratio in the bulk of the plasma silicon nitride layers is fairly constant over the depth of the sample. Under these conditions, IR absorption spectroscopy can be used to measure the amount of hydrogen in the layer. Without NH3, Sill4 is the only supplier of hydrogen, and the amount of hydrogen in the as-grown layer is much lower than that found using NH 3. At high [Si]/[N] ratios, almost all the hydrogen atoms are bonded to silicon. At [Si]/EN] ratios less than 0.75, the number of N - - H bonds is larger than the number of Si--H bonds 66.

The hydrogen content also depends on the discharge frequency because at lower frequencies silane dissociates better, resulting in films with less hydrogen incorporation and lower etch rates. An increase in frequency yields higher hydrogen contents in the films 66. The influence of r.f. frequency on the density and hydrogen content is shown in Fig. 6 (ref. 74).

The hydrogen content has been found to lie in the range (1.6-2.1)x 1022 (H atom) cm-3, i . e . about 25 at.~o hydrogen in the film 35'75.

The approximate bulk composition of silicon nitride films is silicon 45 at.Yo, nitrogen 34 at.~o and hydrogen 21 at.~o 76

There is an appreciable decrease in hydrogen content with increasing substrate temperature (almost exponential). Another effect is the decrease in hydrogen content

Page 10: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

86 M. GUPTA et al.

'gl ¢m3~ --o •

2"8 f I 2.~ --2 I I I . .

(H) o-- --..-.~ "-'-0 ~ --o {at. '/.)

[ ;o 1 A I

0.1 1.0 10

FREQ. ( M Hz )

Fig. 6. Influence of the operating frequency on the density and hydrogen content [H] (deposition temperature 300 C: [Si]/[N] - 0.93).

after annealing in nitrogen ambient at 600+C. This is attributed to hydrogen diffusion and accumulation at the film substrate interface 7~.

Since the composition and properties of PECVD silicon nitride vary appreci- ably with the process variables, a rapid and simple test to determine film composition is needed. The position of a defined optical absorption edge in the near- UV and visible meets this requirement over a quite broad range of [Si] / [N] ratio. A typical calibration curve and the procedure are given by Rand and Wonsidler 7s.

The IR techniques used for hydrogen analysis are as follows: (1) Multiple reflection IR (MR|R) technique 7~ where films deposited over the

aluminum-coated substrate are used, (2) Transmission Fourier transform IR (FTIR) technique where films depos-

ited over bare silicon substrates are used. The absorption peaks are due to the N - - H stretching bond (3350 cm 1) and

the S i - - H stretching bond (2160 cm 1). For a semi-quantitative analysis of film hydrogen content, the unit areas [UA] of the absorption peaks at 3350 and 2160 cm 1 are calculated to represent the content of N - - H and S i - - H bonds respectively, and their sum is used to relate to the total hydrogen content 3~. They are defined as

N - - H bond area [UA]y H = 1.4x x l 0 0

film thickness

S i - -H bond area [UA]si_ H = × 100

film thickness

[UA] to ta t t ! = [ U A ] N H 4 - [ U A ] s i - - l t

where the correction factor of 1.4 assigned for the NT-H bond was suggested by Lanford and Rand 35.

3.1.4. Structure and growth morphology For general applications such as electrical insulation, passivation and masking,

it is better to have the film in amorphous form to reduce interfacial strain and give greater continuity s°. The amorphous nature of the films deposited by PECVD has

Page 11: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

PECVD SILICON NITRIDE FILMS 87

been established by transmission electron microscopy (TEM) where no contrast effects due to crystallites or voids could be seen in the bright or dark-field mode 3°. Electron diffraction patterns showed broad, diffuse halos characteristic of amorph- ous structure.

The surface roughness can be investigated using scanning electron microscopy (SEM)31. The growth morphology revealed by SEM was always found to be smooth with no nodular growth or hillocks on perfectly clean samples. Nodular growth occurs as a result of surface contamination 3°.

The pinhole density is not affected by the reactant gas ratio or r.f. power density but depends on deposition temperature 3~. The typical value for pinhole density at 200 °C is 25 cm- 2 7o

3.2. Chemical properties: etch rate

The etch rate varies by more than four orders of magnitude, independent of the deposition system, as the hydrogen content varies from 2 to 40 at.~o. It has also been reported 3° that the excess nitrogen atoms induce higher etch rates. As the concentration of S i - -H and N - - H bonds increases, the concentration of S i - -N bonds decreases, which increases the density of various defects in the film. At the same time, the decrease in density will increase the porosity of the film, which in turn increases the specific area of the surface exposed to etching solution.

The reaction scheme thought to account for the dissolution of plasma silicon nitride is bond breaking by HF 2- ions al. The four basic different reactions, each proceeding more or less simultaneously with different rate constants are

(a) Si--Si + HF2

(b) S i - - N + H F / - ~ reaction products such as H2SiF 6

(c) N - - H + H F 2- ~ and(NH4)2SiF4(ref. 81)

(d) S i - -H + HF 2- k-~

The effects of various process variables on the etch rate are outlined below. (1) The etch rate in buffered HF (BHF) is found to be very sensitive to the

hydrogen content and the hydrogen content decreases as the substrate temperature i ncreasesV 3; hence the etch rate decreases appreciably with substrate temperature. A universal correlation between the etch rate and hydrogen content was demonstrated by Chow et al. 73 with etch rates varying over three orders of magnitude. Figure 7 shows a plot of etch rate vs. percentage of hydrogen. The correlation shown holds for all samples deposited by plasma CVD in spite of the great variety of deposition conditions used.

(2) The hydrogen content decreases after annealing at higher temperatures (around 500-600 °C) and hence the etch rate also decreases.

(3) The etch rate is unaffected by chamber pressure or total gas flow rate 31. (4) The etch rate in BHF is a function of the [Si] /[N] ratio and gas phase

composition. It increases as the [Si]/[N] ratio decreases 66. (5) The etch rates of layers deposited in the SiH4-NH3-N 2 system are higher

than those of layers deposited in the SiH4-NH3-Ar system 66.

Page 12: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

8 8 M. G U P T A e t al.

10 3 z ~E

10 2

w 10

| #o

#

,b ~b 3'o ~b s'o ~o % H

Fig. 7. The correlation of BOE etch rate with hydrogen content.

3.3. Mechanical properties 3.3.1. Stress The mechanical stress in the substrate/film system across the film thickness and

the substrate manifests itself in the form of bending. If the stress is too high, the film induces defects in the substrate material or tends to peel off. High tensile stresses (when the film is under tension) cause cracking of the film. Hence low compressive stresses (film is under compression) are usually preferred.

The total measured stress is composed of four different types of stresses which contribute to the bending of the film/substrate systemSe :

O'y ~ 0 " ~ -~- O'vo I ~ Ointr -~- O'ex l

where cra~ is important when the difference in the thermal expansion coefficients of the film and the substrate is not negligible and the deposition temperature is significantly different from the measurement temperature. Ac~ is called the thermal expansion mismatch between the film and the substrate. The stress avoj caused by the growth process of the film is called the volume stress, ai,tr is called the intrinsic stress and may be due to surface or interface phenomena or to plastic deformation of the film or the substrate, aex, may be induced external forces (usually aex t = 0).

Thus, the bending of a substrate during film deposition is described as the combined action of forces due to thermal effects, the force due to momentum transfer from the vapors and a structure-dependent force termed the intrinsic force.

The force due to momentum transfer or the stress caused by the growth process of the film is shown usually to be small 83. No attempts have been made to separate the remaining two components.

The corresponding film stress is given as 72,

E D 2 0 " - -

6(1--v) Rt

where E is Young's modulus for the substrate, v is the Poisson ratio for the substrate,

Page 13: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

PECVD SILICON NITRIDE FILMS 89

D is the thickness of the substrate, t(t ~ D) is the film thickness, and R is the net radius of curvature.

By convention, R is negative for convex curvature (compressive stress) and positive for concave curvature (tensile stress) 42.

A simple formula for the thermal bending forces in terms of substrate temperature and the difference in temperature between the substrate faces has been given by Laugier 84.

The curvature of the wafer (used to deduce the film stress from the change in curvature induced in the silicon substrate due to the deposited film) can be measured either by an optically levered laser technique 85 or using a classical method based on Newton's rings 76.

In general the films can be prepared with either tensile or compressive stress depending on the r.f. frequency, hydrogen content and film stoichiometry 72'86.

It has been reported 87 that the stress of the films is compressive and almost independent of frequency between 50kHz and 1 MHz. A large change occurs between 1 and 5 MHz with the stress changing from compressive to tensile, and little change is observed between 5 and 13.56 MHz.

Films with an I-Si]/[NJ ratio of 1.00 are reported to be under tensile stress and films with an [Si]/[N] ratio 0f0.85 are reported to be under compressive stress 88.

[Si] /[N] ratio 1.06 0.85

Stress +7.4 × 10 9 --3.9 × 10 9

(dyn cm - 2)

Films with less hydrogen content are under tensile stress and films with higher hydrogen content are under compressive stress. The exact mechanism for the stress difference for nitrides deposited by different processes is not clearly understood at present, although it has been attributed to the differences in hydrogen content and short-range order of the Si--H, N - - H and Si - -N bonds for different nitride films 7.,89.

The stress changes from compressive to tensile as the substrate temperature increases. From this, the following can be concluded.

(1) The intrinsic stress is mainly dependent on the hydrogen concentration in the films. Since hydrogen can only be singly coordinated, it tends to relieve the mechanical strains that accompany a non-hydrogenated amorphous silicon nitride network 72.

(2) It also indicates that the films have a smaller coefficient of thermal expansion than the silicon substrate 42.

Stress remains nearly constant with total pressure 3°. Also, the match between the film and the silicon substrate improves as the film

composition becomes richer in silicon and hence the stress decreases. Because of high tensile stresses, the usable film thickness is restricted to

approximately 2000 ~ 90 because dielectric films under stress crack when either the temperature or the thickness is increased. The behavior of stress with substrate temperature is shown in Fig. 8.

Page 14: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

90 M. GUPTA et al.

~"E 5

% x

I / I

I - -

I I 100 2 0 0 300 3 5 0

SUBSTRATE TEMPERATURE (*C) Fig. 8. Stress vs. substrate temperature at a silane to ammonia ratio of().l.

3.3.2. Cracking resistance Cracking occurs when dielectric films are under tension. The cracking

resistance can be improved by reducing the stress and increasing the film density. The combination of low density and high tensile stress results in poor crack resistance of nitride films at temperatures greater than or equal to 450 ~C 85.

It has been reported that thick silicon nitride films (1 p,m) crack on heating to 450 ~DC if the film stresses are too high (greater than or equal to 8 x 109 dyn cm 2) or if the densities and deposition temperatures are too low (less than or equal to 2.2 g cm 3 and less than or equal to 275 °C respectively) 3°.

The cracking resistance CR of a film at a given temperature is a function of density of the film material, the intrinsic stress a j, the thermal mismatch with silicon A:~ (difference in thermal expansion coefficients of the film and the substrate), and substrate temperature T~ 8~:

p E' ~ 7

J CR ~ poa~--aJ 1 --v' T~ Ac~dT

where a~ is the ultimate tensile stress of a structurally "perfect" film of density, E' is Young's modulus, and v' is Poisson's ratio for the film.

From the equation, it is obvious that CR will be improved ifp is increased, aj is made small, A~ is made small and T~ is high relative to the test temperature 3~.

An increase in discharge frequency leads to film with poor cracking resistance °6.

The cracking resistance can be ascertained by heating the film up to 450-550 °C

Page 15: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

PECVD SILICON NITRIDE FILMS 91

for about half an hour in air ambient and examining under an optical microscope. If cracking occurs, it is easily revealed.

3.4. Optical properties Optical investigations of thin silicon nitride films enable important conclusions

to be drawn about their structure, composition and other physicochemical properties. Among these optical properties, the refractive index and the absorption and reflection of light are the most useful because IR absorption results provide the most direct information about the local bonding environment of the constituent atoms and hence the molecular structure of the layers27; the refractive index is related to the film density, and etch characteristics can be predicted from the value of the refractive index. Reflection spectra in the visible, UV and IR ranges enable the thickness of nitride films deposited onto silicon to be measured.

3.4.1. IR and UF spectra From IR spectra, the following vibrational peaks can be identified72:

N - - H 2 (3445 cm- 1), N - - H (3330 cm- 1) and Si - -H (2150 cm- !) related to stretching modes; N - - H (1180 cm~ -1) and N--H2(1540 cm -1) related to bending modes: Si N (870 cm -1) asymmetric stretching mode; S i - -N (470 cm -~) symmetric stretching mode.

The main absorption band of nitride film on silicon wafer at room temperature lies between 1100 and 700 cm- 1 and peaks at approximately 820 cm 1 69

The changes in the absorption peaks, and the wave numbers at which they occur, as a result of changes in the reactant gas ratio and substrate temperature are characterized as follows.

(1) The IR absorption characteristics of nitride film on silicon wafer measured between 500 and 25 °C are nearly the same in shape but the main absorption peak is shifted towards lower frequencies (from 870 to 820 cm -1) with decreasing temperature 69. This seems to be due to structural loosening of the film as the temperature decreases 91.

(2) It has been shown that as the [SiH4]/[NH3] ratio increases, i.e. as the silicon content increases, the film moves further and further away from stoichiome- try and the UV absorption edge increases 76. Thus the value of the UV absorption edge is a useful indicator of the [Si]/[N] ratio in the film. It represents absorption primarily associated with the Si - -N bond and any effect which strains or otherwise affects this bond will shift it to a different wavelength 92. A gradual change in the absorption peak from 870 to 820 cm-1 was observed for a change in silane concentration from 0.1 to 2.5 mol.~o 69.

(3) Absorption due to S i - -H and N - - H bonds decreases rapidly with increasing deposition temperature while that corresponding to the Si - -N bond increases (Fig. 9, ref. 72). This is because the amount of hydrogen incorporated in the film decreases and the film becomes denser at higher temperatures. From this, it seems that the role of hydrogen in amorphous networks is two-fold72: hydrogen acts to passivate the dangling silicon and nitrogen bonds which are the principal source of localized gap states; a certain amount of hydrogen may be intentionally incorpo- rated into the film to reduce some of the mechanical strain present in the silicon nitride network.

Page 16: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

92 M. GUPTA et al.

t SILICON NITRIDE I 295K S i - N ( s )

"~ ,-- N-H(~) $i-H($) N-H (b) ... Si-

I 1 I / \ Ts ° 4 0 0 C ~ / / \ \

,~ - • 2 8 . ~ / / \ \ g . o " c ~ - - - - I - / / / \

I I I ~ I I ~ 0 0 0 3 0 0 0 2 0 0 0 1 5 0 0 1 0 0 0 5 0 0

WAVENUMISER (cm - I )

Fig. 9. Typical IR spectra for PECVD nitrides.

3.4.2. Refractive index The value of the refractive index decreases fairly linearly from 2.0 for pure

nitride to 1.46 for pure oxide 1. Thus the gradual change in composition with change in reactant gas ratio is reflected in the refractive index of the films. Increasing the [SiH4]/I-NH3] ratio in the gas mixture increases the [Si] / [N] ratio in the films and this corresponds to an increase in the refractive index 67 (see Fig. 10, ref. 32). The variation is linear with composition of the layers and can be expressed as 66,

n = 0 70 ~_-=-¢ + 1.39 " [ ] r , ~

The refractive index increases with temperature, related to the increase in the density of the films 3°'93. A plasma silicon nitride layer deposited at 300 °C has a refractive index n ,~ 1.9 for an [Si]/[-N] ratio 0f0.75, compared with the value of 2.0 for a higher temperature with the same [Si] / [N] ratio 66'94. The difference is due to the large amount of hydrogen atoms incorporated in the layers.

The refractive index is unaffected by the chamber pressure or total gas flow r a t e 31.

3.4.3. Optical band gap The optical band gap energy can be determined from a plot of (~hv) 1/2 as a

function of hv in the strong absorption region, i.e. where the absorption coefficient >> 5 x 104 cm 1 14; the typical value obtained is 5.1 eV 95

The region of strong absorption can be expressed as,

~hv = A ( h v - Eg) 2

where hv is the photon energy.

Page 17: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

P E C V D S I L I C O N N I T R I D E F I L M S 93

The relation allows an exact determination of the band gap Eg 96 from the intersection of the linear part of curve with the energy axis.

2 , 3

2 . 6

2 .4 ,

o

~ o o ,.~ 2 . 2

n,,

IL

o 2 , 0 X

X - 1 . 8 , I i I i I I I I ,

O.a 0.8 1.0 1.2 1./* 1.6 1.8

NITRIDE COHPOSITION Si/N Fig. 10. Variation of the refractive index with composition ([-Si]/[N]) for films deposited at 300°C and 0.3 Torr. The stoichiometric composition ([Si]/[N] = 0.75) is marked with a line.

As the temperature of deposition is increased, a shift in the linear part of the curve towards lower energies is observed. Also, a decrease in the optical band gap occurs as a result of annealing above 500 °C. These results are mainly attributed to the loss of hydrogen from the layers during annealing or deposition at higher temperatures.

Several studies have shown that structural and thermal disorder rather than the hydrogen content is the fundamental factor in determining the optical band gap 97. However, the structural disorder is related to the hydrogen concentration in the layers since, when hydrogen leaves the film, the number of dangling bonds increases and hence the optical gap Eg is decreased.

The absorption edge is shifted from 5.1 eV for stoichiometric films to 2.25 eV for silicon-rich films. The decrease in the band gap energy with increasing silane concentration is shown in Fig. 11 (ref. 14).

3.5. Electrical properties Silicon nitride films are electrical insulators. Electrical properties include the

properties of silicon-nitride-silicon interface, the breakdown voltage, the dielectric constant, electrical resistivity and conduction mechanisms. The electrical properties are deduced from current-voltage (I-E) and capacitance-voltage (C-V) curves.

3.5.1. Current-voltage and capacitance-voltage characteristics Both the I-E and C-V characteristics are strongly dependent on film stoich-

iometry, but there is no strong dependence on hydrogen concentration 72'98 Analysis of the I-E curves (Fig. 12) indicates that ohmic behavior dominates the

Page 18: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

94 M. GUPTA et al.

;>

>.. LJ~

c,J

Z w

r ,

I I 1 2

S i l l 4 C O N C E N T R A T I O N ( m o t % )

Fig. 11. Band gap energy vs. silane concentration for films deposited at a pressure of 0.4 Torr, flow rate of 40cm 3 min 1 (standard temperature and pressure), plate power input of 100W, and substrate temperature of 400 ~C.

- 1 0 -

- 12

- 1 4

. . J

- 1 6 ~ I ~ I ~ I , Z, 6 12 16

E1/2 { 1 0 2 ~ )

Fig. 12. Plot of log I vs. E t/2 for a film thickness 1000/~ deposited at 0.13 W cm 2, substrate temperature of 200 "C and silane to ammonia ratio of 0.1.

Page 19: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

PECVD SILICON NITRIDE FILMS 95

conduction up to an electrical field of 105 V cm- 1, with Poole-Frenkel emission dominating for E ~> 105 V cm- 1 99

The Poole-Frenkel conduction process is based on the capture and emission of carriers from the trap levels in the bulk of the dielectric. The Poole-Frenkel equation is

I ~ E n e x p [ - q{t~l 3-(qE./neOe.)l/2}/kT]

where On, En and en are the ionization energy, electric field in the nitride and permittivity of the dielectric. The dielectric breakdown strength is greater for nitrogen-rich films. An increase in gas flow ratio ([NHa]/[SiH4]) or substrate temperature improves the breakdown field strength and electrical quality of the film 72. The dielectric strength for most of the films lies in the range (1-5)x 106V

- 1 7 6 c m

The resistivity measured at room temperature increases from 107 to 1014 ~ cm as the [Si]/[N] ratio decreases from 1.6 to 0.8 33. The exponential decrease of resistivity vs. [Si]/[N] ratio is consistent with the earlier observations of Sinha and Smith loo.

As seen from the high frequency (1 MHz) C - V characteristics at room temperature of the Metal/Nitride/Semiconductor (MNS) structure, a negative value of flat band voltage VFB is obtained, indicating the existence of positive charges at the interface and in the bulk of the film. Depending on the polarity of the bias stress, the shifts in V~B observed in either direction from the initial position are lower for nitrogen-rich films.

MNS diodes show hysteresis of the C - V curve. The magnitude of hysteresis is larger for films deposited at higher temperatures 69. The hysteresis is smaller for nitrogen-rich film s lO 1,10 2.

The values of static dielectric constant were computed from the maximum capacitance values of the C-V curve. The dielectric constant of the films deposited onto silicon substrates varied from 8 to 5 with temperatures in the range 500-25 °C at a fixed silane concentration 69.

The interface state density deduced from these curves is approximately 2 x 1012 cm -2 eV -1 for as-deposited films which is reduced to 101°-1011 cm -2 eV -1 by post-metallization thermal annealing at 400 °C 72.

It has been established that the number of traps in silicon nitride can be minimized to a large degree by choosing the right processing conditions 2°'72. Experimental results have also shown that the nitrogen-rich nitride films have a much smaller number of dangling bonds in comparison- with silicon-rich material, and that this number can be further minimized by depositing nitrogen-rich films at substrate temperatures higher than 350 °C lO3-1o5. From these results, it appears that the densities of trapping centers in PECVD nitrides are dependent on film composition as well as the deposition temperature 2°.

3.5.2. Conduction mechanisms The temperature, thickness and field dependence of the current indicates that a

transition in current mechanisms occurs at a film thickness of approximately 80 A. Below this thickness, the current is characterized by a low temperature and large thickness dependence. Above this value of thickness, the current is proportional to

Page 20: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

96 M. GUPTA et al.

exp[flV ~/2] and depencls strongly on temperature. This indicates that the emission mechanism is a type of field-assisted, thermal ionization of electrons into the conduction band of the insulator 1°6' 1 o 7.

It has been established that the current in thin insulating films is due to either a thermal or a tunneling mechanism. For a film thickness below 50 A, direct tunneling from metal to semiconductor is reported to be the dominant conduction mechanism for metal/ insulator/semiconductor diodes 1°s'1 o9. Above 200-300 A, Poole Frenkel emission at high fields and temperatures has been found to dominate ~ 10,~1. The Poole-Frenkel emission is field-enhanced thermal excitation of carriers from their appropriate traps into the conduction or valence band. This type of emission does not show a polarity effect because it occurs from impurity centers deep within the insulator. In contrast to the Poole-Frenkel mechanism, in the tunneling mechanism, the current has only a small variation with temperature and is largely dependent on thickness ~°6.

It is not possible to observe both mechanisms on the same device owing to the large differences in field and thickness at which they occur.

3.6. Magnetic properties An electron spin resonance (ESR) signal with g value close to 2.002 has been

reported for low defect density nitrogen-rich films prepared by PECVD 72. The defect is identified as a silicon atom coordinated to three nitrogen atoms. Spin densities below 10 ~6 cm-3 have been measured in nitrogen-rich films deposited at temperatures higher than 350 '~C.

Silicon dangling bonds with different nearest neighbor configurations and energy levels can be resolved by ESR in silicon nitride 1~2'l 13. The ESR signals are attributed to silicon dangling bonds. The silicon dangling bonds with three silicon atoms at the nearest sites are related to a g value of 2.0055 and those with three nitrogen atoms to a g value of 2.004 114. The intermediate g values are correlated with the numbers of nitrogen atoms.

ESR studies of the structural changes in SiN films strongly suggest that structural re-ordering of the films takes place during thermal annealing a ~4.

The trapping of holes occurs at a site with a g value of 2.0052, corresponding to a pure silicon environment, while electron trapping occurs at a site with a g value of 2.0028, corresponding to a pure nitrogen environment.

3.7. Radiation effects Using electron loss spectroscopy (ELS) of ion-bombarded silicon nitride films,

new electron states due to broken S i - -N bonds could be determined within the band gap of the insulators. The measured energy losses were interpreted using electron energy level schemes of the amorphous films. Combined Auger electron spectros- copy (AES) and ELS experiments have been used to provide information about the radiation sensitivity, the deviation from stoichiometry and the chemical bonding states of electron- and ion-beam-damaged nitride films. The AES and ELS experiments could be performed in an ultrahigh vacuum chamber equipped with a single pass cylindrical mirror analyzer and a quadrupole mass analyzer.

The radiation hardness of silicon nitride in terms of bond breaking could be

Page 21: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

PECVD SILICON NITRIDE FILMS 97

confirmed by ELS. The electron states and the excitations due to damage caused by ion bombardment and tbose due to deviation from the ideal stoichiometry have been discussed by Hezell ~ 5. Figure 13 shows the valence electron excitation spectra (ELS spectra) of the as-grown films and after argon ion bombardment at 500 eV and 2 keV. Intense loss peaks appear at 4.6 eV and 6.8 eV after ion bombardment which are attributed to broken Si--N bonds.

A

' o

z 'Io

!

3,2 ,~',j' " v " ' / . . . . as grown

,~, ~ns.e ~ a f t e r

(,.v?;,

~ after Ar + 2 k e V

0 1 20 3 40

El (eV)

Fig. 13. Effect of argon ion bombardment (for 5 min) on the ELS spectrum.

4. COMPOSITIONAL ANALYSIS OF DEPOSITED FILMS

The nature, surface condition and temperature of the substrate greatly influence the composition of thin films prepared by PECVD. The deposits are contaminated when the surface is only poorly cleaned of impurities. The presence of traces of oxygen or water in the gases causes appreciable changes in thin film composition. A deviation from stoichiometry at the surface or at the interface can readily be detected by the various characterization techniques discussed below. As the layer thickness is reduced continuously in device structures, interfaces have an increasing influence on the ultimate behavior of integrated circuits. Hence thin film and surface analysis techniques need to be used to characterize the interfaces.

4.1. Auger electron spectroscopy 1 ~ 6,11 v

The stoichiometry of silicon nitride films is evaluated using AES. When an electron beam or photon beam strikes the surface of the sample, Auger electrons are ejected. AES is based on investigation of the energy distribution of these electrons. When used in conjunction with either surface scanning or surface etching by ion sputtering, the volume of the film can be investigated. Peak heights of nitrogen, silicon and oxygen signals are plotted as a function of sputter time. The oxygen at the nitride-silicon interface originates from the native oxide present at the substrate when deposition of the nitride started. The oxygen measured on the top of the nitride is due to slight oxidation of the samples, presumably occurring during unloading from the reactor ~. The native oxide can be removed by a fluorine-containing plasma prior to deposition ~ ~ 8.

Page 22: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

98 M. GUPTA et al.

Typical AES depth profiles for thin films (800/~) deposited by PECVD are shown in Fig. 14 (ref. 17) for the 2~o Sill4 process. These depth profiles indicate uniform distribution of silicon and nitrogen through the bulk of the film together with a small amount of carbon and oxygen at the surface which decreases rapidly to low levels within 50 • of the surface 17. The compositional ratio of silicon to nitrogen for this process is 0.89.

100

8.0

0 1 2 3 4 5 6

SPUTTER TIME (mln)

Fig. 14. Auger depth profile of a 700/~ thick film on a silicon substrate. The Auger spectrum taken near the film interface is also shown.

6o

o z ~0 l-- ~C

4.2. Rutherford backscattering spectroscopy ( RBS) z 19,120 The 2 MeV He + ions are incident on the sample surface and the backscattered

particles are detected at a small angle with the incident beam. A depth profile is obtained by monitoring the number of backscattered ions as a function of backscattered ion energy. The peaks are obtained at the expected positions if the corresponding elements are located at the surface of the sample, e.g. silicon, nitrogen, oxygen, carbon etc. The carbon peak results from contamination in the vacuum chamber of the RBS apparatus.

The method has also been used to establish the [Si] / [N] ratio in silicon nitride films. The atomic composition of the nitride layers was measured as a function of nitrogen to silane flow rates by RBS analysis of the films by Helix et al. 3z and an Nz:SiH 4 ratio of approximately 250 was found to result in a stoichiometric composit ion ([Si] /[N] = 0.75). The sensitivity of the RBS technique to oxygen has been found to be better than 2 at.~o.

4.3. X-ray emission spectroscopy When an energetic particle is impinging onto a material, photons will be

emitted depending on the energy of the incident particle. These photons may have wavelengths from far IR to hard X-rays. Emitted photons with special energies or wavelengths are used to study the electronic or atomic structure of a material. Electron bombardment of a sample produces an X-ray continuum, as well as X-ray

Page 23: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

PECVD SILICON NITRIDE FILMS 99

peaks which are characteristic of the material121,122. X-ray emission spectroscopy is a powerful tool for obtaining information about the electronic structure of the materials where the energy of emitted photons ranges from 20 to 500 eV (soft X-rays).

4.4. Electron spectroscopy for chemical analysis ( ESCA ) 123,124 The sample is bombarded with monoenergetic X-rays followed by measure-

ment of the kinetic energy distribution of the emitted electrons. This method has allowed traces of oxygen, carbon etc. to be detected in silicon nitride films. Typical X-ray photoelectron spectra for silicon and nitrogen peaks are shown in Fig. 15 (ref. 17).

t .u %

t4J

Z

u t

I I / _ / ! I 402 398 39it 1108 104

N l s 2"/,. SIH 4

100 96 92

BINDIRfi ENERGY (eV)

Fig. 15. X-ray photoelectron spectrum of the Si 2p and N ls peaks for the 2% silane process.

Both the Si 2p and N ls peaks are broad with a full width at half-maximum (FWHM) of 2.64 and 2.3 eV respectively. This large value of FWHM indicates that a greater distribution of species with different binding energies is contributing to the photoelectron lines.

4.5. Nuclear reaction techniques The quantitative analysis of hydrogen content in the films is performed by a

combination of nuclear reaction technique and IR spectroscopy 35'v2. Quantitative hydrogen profiling of the samples was carried out by Lanford et al. using the resonant nuclear reaction,

15N+H ~ 12C+4He+y-ray

A beam of accelerated 15N2÷ ions impinges upon the SiN film and penetrates completely through to the silicon substrate. The number of y-rays measured coming from the sample is proportional to the hydrogen concentration at the film surface. The beam energy is increased and a concentration profile of hydrogen vs. depth is determined by measuring the 7-ray yield vs. i s N energy.

Page 24: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

100 M. GUPTA et al.

The concentration of hydrogen CH in atoms per cubic centimeter is given by,

dE C H = k Y - -

d X

where k is an apparatus constant, Y is the y-ray yield, and d E / d X is the rate of energy loss of the beam in the film.

The nuclear reaction is independent of the reference material, substrate and bonding environment, i.e. the total hydrogen content is detected regardless of the environment vz. Hence the bonding arrangements have to be analyzed by IR spectroscopy.

The various bonds present and the wavenumbers at which they occur is discussed in Section 3.4.1.

Calibration factors for S i - -H and N - - H absorption band areas have also been determined, enabling IR transmission spectra to be used for hydrogen analyses of these films 35.

5. APPLICATIONS

Silicon nitride has found a number of applications in the refractories field because of its high melting point, hardness, low thermal expansion etc. It is attracting growing interest as an insulating, masking and passivating layer 6. Some of the important applications of silicon nitride films are given below.

5.1. Diffusion mask applications The excellent chemical stability makes its use attractive for device passivation

and diffusion masking. As a result, it has been widely used with semiconductor substrates, e.g. silicon, germanium and GaAs, in planar device technology. It is impervious to diffusion by boron, phosphorus, aluminium and arsenic 125.

5.2. Glass-to-metal seals

The important property of silicon nitride that makes its use possible for this purpose is its impermeability to oxygen and metal ions. Good seals have been effected with molybdenum, copper, tantalum, kovar and gold.

5.3. Passivation Improper passivation can result in excessive stress and interfacial traps, leading

to degradation in circuit performance. This degradation can occur as a result of variable charges within the interfacial traps, leading to active channel modulation with time, temperature and electric field. Therefore, the development of a reproducible device passivation process is critical to the production of semi- conductor devices with long-term reliability ~8.

Silicon nitride serves as a barrier to the migration of charged ionic species, which are a major source of device instability, and is hence widely used for the passivation and stabilization of semiconductor devices.

Silicon nitride is used for both chemical and electrical passivation of

Page 25: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

PECVD SILICON NITRIDE FILMS 101

semiconductor surfaces as it can withstand the corrosive action of most reagents and prevents ion diffusion x26A27.

The passivation of a MESFET channel has been achieved (with less than 5~o degradation in device parameters) using silicon nitride deposited by PECVD 88.

5.4. Isolation Dielectric isolation reduces leakage currents between adjacent components

and capacitive coupling by an order of magnitude. Silicon nitride is useful in providing a dielectric isolation medium for semiconductor devices 6.

PECVD silicon nitride can be used as interlayer insulation in multilevel metallizations for very-large-scale integrated circuits. It is considered to have inferior insulating properties because of its lower band gap and higher density of traps. Also, elements of surface morphology such as substrate hillocks or substrate steps could cause insulation defects with a consequent severe limitation on the fabrication yield. High breakdown strength and excellent uniformity were observed with NH 3 films while N 2 films exhibit electrical leakage paths along the step edges 128. Films with good insulation characteristics suitable for use as interlayer insulation can be obtained by optimizing the deposition conditions.

5.5. Encapsulation Silicon nitride is used as a dielectric encapsulant to provide surface protection

to III-V compound semiconductors. The requirements for high speed digital devices in signal processing, microwave

devices and opto-electronic elements have created considerable interest in GaAs planar device fabrication. Ion implantation is a more useful procedure for the planar processing of III-V compound semiconductors x29'130 since conventional diffusion techniques cause severe technological problems in the selective impurity doping of these materials. Howe.ver, the process of ion implantation introduces considerable lattice damage. The implanted GaAs samples must be annealed at approximately 900 °C to reduce this damage and to activate the implanted species electrically. The incongruent evaporation of GaAs at these temperatures makes it necessary tc encapsulate the sample in a suitable dielectric. Silicon nitride layers have been used successfully to anneal ion-implanted GaAs with negligible surface degradation 32.

5.6. Memory devices Silicon nitride is actively used as an Metal/Nitride/Oxide/Semiconductor

(MNOS) storage transistor, non-volatile, single-element, semiconductor memory device. The nitride acts as both insulator and storage medium13 t,132

The use of PECVD silicon nitride as the trapping medium in MNOS non- volatile memory transistors is limited owing to its excess silicon content which reduces its resistance, resulting in poor memory performance. However, the use of PECVD nitride in the fabrication of MNOS devices is very attractive because of the low deposition temperature 133.

Excess silicon and hydrogen play an extremely important role in silicon nitride used as a charge trapping layer in the MNOS memory device 134,135. Furthermore, the bonding of hydrogen to silicon (Si--H) has been shown to correlate strongly

Page 26: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

102 M. GUPTA et al.

with the memory window size of MNOS capacitors 133. PECVD nitride contains 20-40 atSJo hydrogen. Also, the concentration of N - - H and Si - -H bonds can be varied by controlling the deposition conditions, thereby making the trap density and conductivity, and consequently the memory properties, somewhat selectable t36,137.

5.7. Solar cell applications Plasma-deposited silicon nitride was used as an oxidation mask in the

fabrication of high efficiency GaAs solar cells by Turner and Connors 13s. It was found that gold contacts can be protected from dissolution during anodic oxidation by coating them with a film of plasma nitride. Plasma deposition of silicon nitride can be used on these shallow-junction GaAs devices with no degradation of junction properties.

Plasma-deposited nitride has been used as antireflection coatings on InP solar cells 139, silicon solar cells 14° and InP/InGaAsP light-emitting diodes. The films can also be applied for front and back surface passivation of conventional solar cells with diffused p -n junctions. In order not to degrade the passivated surface, however, care has to be taken that the film deposition is not followed by a high temperature step TM.

The application of silicon nitride as a dielectric for high efficiency silicon inversion layer solar cells is limited owing to the detrimental effects of the relative radiation hardness of metal/insulator/semiconductor devices with silicon nitride as insulator 115

6. CONCLUSIONS

As seen from the study of the composition of plasma silicon nitride layers deposited under different deposition conditions, the [Sn] / [N] ratio of the layers depends strongly on gas-phase composition (reactant gas ratio); hence the PECVD technique can produce silicon-rich as well as nitrogen-rich films where nitrogen-rich films seem to have more attractive properties. Also, with the appropriate control of process variables, films have been made with an [Si]/[N] ratio of 0.75 (stoich- iometric). The large hydrogen content in PECVD nitrides mainly controls the physical, chemical and mechanical properties while the optical and electrical properties are more influenced by the film stoichiometry.

The relationship between the index of refraction and etch rate in BHF suggests that the film quality can be deduced from the refractive index value when a direct measurement of etch rate is not possible.

AES depth profiles of layers indicate the presence of oxygen at the interface between silicon and silicon nitride and at the surface.

ACKNOWLEDGMENT

We are thankful to the Department of Electronics and Council of Scientific and Industrial Research for financial assistance.

Page 27: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

PECVD SILICON NITRIDE FILMS 103

REFERENCES

1 A.E.T. Kuiper, F. H. P. M. Habraken, A. van Oostrom and Y. Tamminga, Philips J. Res., 38 (1983) 1.

2 T.L. Chu and R. K. Smelzer, J. Vac. Sci. Technol., 10 (1973) I. 3 L.V. Gregor, SolidState Technol., 14 (4) (1971) 37. 4 W. Kern, SolidState Technol., 21 (12) (1975) 25. 5 J .T. Milek (ed.), Handbook of Electronic Materials, Vol. 3, Silicon Nitride for Microelectronic

Applications, Part I: Preparation and Properties, Plenum, New York, 1971. 6 J .T. Milek (ed.), Handbook of Electronic Materials, Vol. 6, Silicon Nitride for Microelectronic

Applications, Part H: Applications and Devices, Plenum, New York, 1972. 7 C.E. Morosanu, Thin Solid Films, 65 (1980) 171. 8 K.M. Mar and G. M. Samuelson, Solid State Technol., 23 (4) (1980) 137. 9 R. Rosier, W. C. Benzing and J. Baldo, Solid State Technol., 19 (6) (1976) 45.

10 Y. Kuwano, Jpn. J. Appl. Phys., 7 (1968) 88. 11 M. Shiloh, B. Gayer and F. E. Brinckman, J. Electrochem. Soc., 24 (1977) 295. 12 R. Gereth and W. Scherber, J. Electrochem. Soc., 119 (1972) 1248. 13 R.L. Bersin, Solid State Technol., 19 (1976) 31. 14 Y. Catherine and G. Turban, Thin Solid Films, 41 (1977) L57. 15 V.S. Nguyen, S. Burton and P. Pan, J. Electrochem. Soc., 131 (10) (1984) 2348. 16 W.A.P. Claassen, H. A. J. Th. v. d. Pol and A. H. Goemans, J. Electrochem. Soc., 133 (10) (1986)

2348. 17 V.S. Dharmadhikari, Thin Solid Films, 153 (1987) 459. 18 C.S. Yoo and A. G. Dixon, Thin Solid Films, 168 (2) (1988) 281. 19 B. Ullrich, F. Kuchar, R. Meisels, F. Olcaytug and A. Jachimowicz, Thin Solid Films, 168 (2) (1988)

157. 20 J. Kanicki and S. Hug, Appl. Phys. Lett., 54 (8) (1989) 733. 21 P. Boher, M. Renand, L. J. van Ijzendoorn and Y. Hily, Appl. Phys. Lett., 54 (6) (1989) 51 I. 22 H. Mi toandA. Sekiguchi, J. Vac. Sci. Technol. A,4(3)(1986)475. 23 R. Padmanabhan and B. J. Miller, J. Vac. Sci. Technol. A, 4 (3) (1986) 363. 24 J.Y. Chen, R. C. Henderson, J. T. Hall and J. W. Peters, J. Electrochem. Soc., 131 (9) (1984) 2146. 25 M. Berti, M. Meliga, G. Rovai, S. Stano and S. Tamagno, Thin Solid Films, 165 (1988) 279. 26 A. Sherman, Thin Solid Films, 113 (1984) 135. 27 G. Lucovsky, P. D. Richard, D. V. Tsu, S. Y. Lin and R. J. Markunas, J. Vac. Sci. Technol. A, 4 (3)

(1986) 681. 28 S. Fujita, N. Zhou and A. Sasaki, Jpn. J. Appl. Phys., 22 (2) (1983) L100. 29 Y. Ron, A. Raveh, C. Carmi, A. Inspektor and R. Avni, Thin Solid Films, 107 (2) (1983) 181. 30 C. Blaauw, J. Electrochem. Soc., 131 (5) (1984) 1114. 31 A.K. Sinha, H. J. Levistein, T. E. Smith, G. Quintana and S. E. Haszko, J. Electrochem. Soc, 125

(1978) 601. 32 M.J. Helix, K. V. Vaidyanathan, B. G. Streetman, H. B. Dietrich and P. K. Chatterjee, Thin Solid

Films, 55 (1978) 143. 33 H. Dun, P. Pan, F.R. Whi teandR. W. Douse, J. Electrochem. Soc.,128(7)(1981)1555. 34 W.L. Jolly, Adv. Chem., 80 (1969) 156. 35 W.A. LanfordandM. J. Rand, J. Appl. Phys.,49(4)(1978)2473. 36 A.C. Adams, SolidState Technol., 26 (1983) 135. 37 G. LucovskyandS. Y. Lin, J. Vac. Sci. Technol. B, 3(1985) l122. 38 P.D. Richard, R. J. Markunas, G. Lucovsky, G. G. Fountain, A. N. Mansour and D. V. Tsu, J.

Vac. Sci. TechnoL A, 3 (1985) 867. 39 G. Lucovsky and D. V Tsu, J. Non-Cryst. Solids, 90 (1987) 259. 40 L. Bardos, J. Musil and P. Taras, Thin Solid Films, 102 (1983) 107. 41 R.N.S. Sodhi, W. M. Lan and S. I. J. Ingrey, J. Vac. Sci. TechnoL A, 7 (3) (1989) 663. 42 S.V. Hattangady, G. G. Fountain, R. A. Rudder and R. J. Markunas, J. Vac. Sci. Technol. A, 7 (3)

(1989) 570. 43 P. Boher, J. Schneider, M. Renaud, Y. Hily and J. Bruines, J. Appl. Phys., 66 (7) (1989) 3410.

Page 28: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

104 M. GUPTA et al.

44 J .C. Knights, J. Non-Crvst. Solids, 35 36 (1980) 159. 45 M. Shibagaki, Y. Horiike and T. Yanqazaki, Jpn. J. Appl. Phys., 17 (Suppl.) ( 19783 215. 46 I. Kato, S. Wakana, S. Hara and H. Kezuka, Jpn. J. Appl. Phys., 21 (19723 L470. 47 I. Kato, S. Hara and S. Wakana, J. Appl. Phys., 54 (!983) 4883. 48 K. Suzuki, S. Okudaira and I. Kanomato , J. Electroehem. Sot., 126 (1979) 1024. 49 S. Matsuo and M. Kiuchi, in C. J. Dell "Oca and W. M. Bullis (eds.), Proc. Syrup. on Very-Large-

Scale Integration Science and Technology, Detroit, MI, 1982, Vol. 7, Electrochemical Society, Pennington, N J, 1982, p. 79.

50 Y. ManabeandT. Mitsuyu, J. Appl. Phys.,66(6)(198932475. 51 T. Hirao, M. Kltagawa, I'. Kamada, K. Tsukamoto, Y. Yoshioka, K. Kuramasu, 1'. Korechika and

K. Wasa. Jpn. J. Appl. Phys., 27 (1988) 1609. 52 T. Hirao, K. Setsune, M. Kitagawa, T, Kamada, K. Wasa, K, Tsukamoto and T. lzumi, Jpn. J.

Appl. Phys., 27(1988) 30. 53 S. Matsuo and Y. Adachi, Jpn. J. Appl. Phys., 21 ( 1981 ) L4. 54 K. Suzuki, S. Okudaira, N. Sakudo and I. Kanomata , Jpn. J. Appl. Phy.~., 16 ( 19773 1979. 55 R .W. Boswell, A.J . P e r r y a n d M . Emami, J. Vac. Sei. Teehnol. A,7(63(198933345. 56 B. Drevillon, J. Huc, A. Lloret. J. Perrin, G. de Rosny and J. P. M. Schmitt, Appl. Phys. Left., 37

(1980) 646. 57 J. Batey, E. Tierney, J. Stasiak and T. N. Nguyen, Appl. Sur/i Sci., 39 ( 19893 1. 58 J. Batey and E. Tierney, J. Appl. Phys., 60 (1986) 3136. 59 S. Fujita, H. Toyoshima, T. Ohishi and A. Sasaki, Jpn. J. Appl. Phys., 23 (3) (1984) L144. 60 S. Fujita, H. Toyoshima, T. Ohishi and A. Sasaki, Jpn. J. Appl. Phys., 23 (3) (19843 L268. 61 D .L . Flamm, C, P. Chang, D. E. lbbotson and J. A. Mucha, Solid State Technol., 30 (3) ( 19873 43. 62 S. Fujita, T. Ohishi, H. Toyoshima and A. Sasaki, J. Appl. Phys., 57 (2) ( 19853 426. 63 R.E. Livengood and D. W. Hess, Appl. Phys. Lett., 50 (103 (19873 560. 64 B.K. Agrawal, Phys. Rev. Left., 46 ( 1981 ) 774. 65 L.L . Ley, H . R . Shanks, C . K . Fang, K.J. Grun t sandM. Kardona, Phys. Ret,.B, 22(1980)6140. 66 W. A. P. Claassen, W. G. J. N. Valkenburg, F. H. P. M. Habraken and Y. Tamminga , J.

Electrochem. Sot., 130(123(1983)2419. 67 A . R . Reinberg, J. Electron. Mater., 8 ( 19793 345. 68 R.S. Rosler and G. M. Engle, Solid State Technol., 22 ( 19793 88. 69 Y. Kuwano, Jpn. J. Appl. Phys., 8 (7) (1969) 876. 70 V .K. Rathi, M. Gupta, S. P. Singh, K. S. Chari and O. P. Agnihotri, Proc. Int. Syrnp. on Electron

Devices. Circuits and Systems, December 1987, p. 303. 71 C. Chaussat , E. Bustarret, J. C. Bruyere ~nd R. Groleau, Physiea B, 129 (1985) 215. 72 J. Kanicki, Mater. Res. Soc. Syrup. Proc., 118 (1988) 671. 73 R. Chow, W. A. Lanford, W. Ke-Ming and R. S. Rosier, J. Appl. Phys., 53 (1982) 5630. 74 W . A . P . Claassen, W. G. J. M. Valkenburg, W. M. V. D. Wijgert and M. F. C. Willemsen, Th#7

Solid Films, 129 (1985) 239. 75 J~sephZ.Xie `S .P .Murarka`X.S .Gu~andW.A.Lanf~rd`J .V~c .S~i .Te~hn~.B`7(2) (~989)~5~. 76 P .N . Kember and S. C. Liddell, Semieond. Int., (August, 19853. 77 W. Kern and R. S. Rosler, J. Vac. Sci. Technol., 14 ( 19773 [082. 78 M.J. RandandD. R, Wonsidler, J. Electroehem. Soc.,125(1)(1978399. 79 H.J . Stein and H. A. R. Wegener, J. Electrochem. Soc.. 124 (1977) 908. 80 S .M. Hu, J. Electrochem. Sot.. 113(7)(1966) 693. 8l J.S. Judge, J. Electrochem. Soc., 118(1971) 1772. 82 P. Paduschek, CH. H o p f l a n d H . Mitlehner, Thin Solid Films, 110(1983) 291. 83 M. Laugier, Thin Solid Films, 66 (1980) L7. 84 M. Laugier. Thin Solid Films, 66 (1980) L I 1, 85 A, K. Sinha, H. J. Levinstein and T. E. Smith, J. Appl. Phys., 49 (4) (1978) 2423. 86 C. Blaauw, J. Appl. Phys., 54 (9) (1983) 5064. 87 H.Y. Kumagai , J. Vae. Sei. Technol. A, 4 (3) (1986) 1800. 88 E.Y. Chang, G. T. Cibuzar, T. K. Yard and K. P. Pande, Mater. Res. Soc. ~S~vmp. Proc., 126, p. 271. 89 R. Chow, R. S. Rosier, W. A. Lanford and K. Wang, J. Electrochem. Soc. Extended Abstr., 80 2

(1981)770 772.

Page 29: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

PECVD SILICON NITRIDE FILMS 105

90 W.A. Kohler, Trans. A1ME, 246 (1970) 735. 91 H.F. Sterling and R. C. G. Swann, Solid State Electron., 8 (1965) 653. 92 M.J. Rand and D. R. Wonsidler, J. Electrochem. Soc., 125 (19783 99. 93 A.K. Sinha and E. Lugujjo, Appl. Phys. Lett., 32 (1978) 245. 94 H. Watanabe, K. Katoh and S. I. Imagi, Thin Solid Films, 136 (1986) 77. 95 M. Gupta, V. K. Rathi, S. P. Singh, O. P. Agnihotri and K. S. Chari, Thin Solid Films, 164 (1988)

309. 96 J. Bauer, Phys. Status Solidi A, 39 (1977) 411. 97 N.M. Ravindra and F. Demichelis, Phys. Rev. B, 32 (19853 6591. 98 O. Sanchez, C. Gomez-Aleixandre, M. Fernandez and J. M. Albella, Vacuum, 39 (7 8) (1989) 727. 99 K. Maeda, K. Fukuda, K. Matsumura and N. Ibaraki, Jpn. J. Appl. Phys., 28 (6) (19893 1000.

100 A.K . SinhaandT. E. Smith, J. Appl. Phys.,49(1978)2756. 101 D. Jousse, J. Kanicki, F. Mehran and P. M. Lenahan, Proc. Electrochemical Society Syrup. on

Dielectric Films on Compound Semiconductors, Electrochemical Society, Pennington, N J, 1988. 102 W.S. Lau, S.J. FonashandJ. Kanicki, J. Appl. Phys.,66(6)(1989)2765. 103 D. Jousse, J. Kanicki, D. T. Krick and P. M. Lenahan, Appl. Phys. Lett., 52 (1988) 445. 104 D.L. Jones, J. Non-Crvst. Solids, 77 78 (1985) 957. 105 D.T. Krick, P. M. Lenahan and J. Kanicki, Appl. Phys. Lett., 51 (1987) 608. 106 J.H. Sanchez-Lassise and J. R. Yeargan, J. Electrochem. Soc., 120 (3) (1973) 423. 107 E. Suzuki, H. Hiraishi, K. Ishii and Y. Hayashi, Appl. Phys. Lett., 40 (8) (1982) 681. 108 W.E. Dahlke and S. M. Sze, Solid State Electron., 10 (1967) 865. 109 J. Shewchun, A. Waxman and G. Warfield, SolidState Electron., 10 (19673 1187. 110 S.M. Sze, J. Appl. Phys., 38 (1967) 295 I. 111 J.R. Yeargan and H. L. Taylor, J. Electrochem. Soc., 115 (1968) 273. 112 D. Jousse, J. Kanicki and J. H. Stathis, Appl. Phys. Lett., 54 (11) (1989) 1043. 113 A. Morimoto, I. Kobayashi, M. Kumeda and T. Shimizu, Jpn. J. Appl. Phys., 25 (9) (1986) L752. 114 T. Hirao, T. Kamada, M. Kitagawa, K. Setsune, K. Wasa, A. Matsuda and K. Tanaka, Jpn. J.

Appl. Phys., 27 (4) (1988) 528. 115 R. Hezel, Radiat. Eft., 65 (1982) 101. 116 J.S. Johannessen, W. E. Spicer and Y. E. Strausser, Thin Solid Films, 32 (1976) 311. 117 P.H. Holloway, Surf. Sci., 54 (1976) 506. 118 W.A.P. Claassen, W. G. J. N. Valkenburg, A. E. T. Kuiper and Y. Tamminga, Proc. 4th European

Conf. on Chemical Vapour Deposition, Eindhoven, May 31 June 2, 1983. 119 O. Meyer and W. Scherber, J. Phys. Chem. Solids, 32 (1971) 1909. 120 C.J. Mogab and E. Lugujjo, J. Appl. Phys., 47(1976) 1302. 121 S.M. Sze (ed.), VLSI Technology, McGraw-Hill, New York, 1983. 122 M. Iwami, M. Kusaka, M. Hirai and H. Nakamura, Appl. Surf. Sci., 41-42 (1989) 57. 123 A. Benninghoven, Appl. Phys., 1 (1973) 3. 124 R. Flitsch and S. Raider, J. Electrochem. Soc., 120 (1973) 83C. 125 A. Hashimoto, M. l~obayashi, T. Kamijoh, H. Takano and M. Sakuta, J. Electrochem. Soc., 133

(7) (1986) 1464. 126 C.J. Dell'Oca and M. L. Barry, Solid State Electron., 15 (1972) 659. 127 P.M. Petroff, G. A. Rozgony and T. T. Sheng, J. Electrochem. Soc., 123 (1976) 565. 128 M. Maeda and H. Nakamura, Thin Solid Films, 112 (3) (1983) 279. 129 F.J. Leonberger, J. P. Donnelly and C. O. Bozler, Appl. Phys. Lett., 28 (1976) 616. 130 P.K. Chatterjee and B. G. Streetman, SolidState Electron., 20 (19773 305. 131 J.S. Uranvala, J. G. Simmons and H. A. Mar, Solid State Electron., 19 (1976) 375. 132 A. M. Goodman, E. C. Ross and M. T. Duffy, RCA Rev.,31(1970) 342. 133 M.A. Khaliq, Q.A. Shams, W.D. BrownandH. A. Naseem, J. Electron. Mater.,17(5)(1988)355. 134 W.D. Brown and M. A. Kaliq, Thin Solid Films, 186 (1990) 73. 135 M.A. Khaliq, Q. A. Shams, W. D. Brown and H. A. Naseem, Solid State Electron., 31 (8) (19883

1229. 136 Q.A. Shams and W. D. Brown, Microelectron. J., 20 (6) (19893 49. 137 Q.A. ShamsandW. D. Brown, J. Appl. Phys.,66(7)(198933131. 138 G.W. Turner and M. K. Connors, J. Electrochem. Soc., 131 (5) (1984) 1211.

Page 30: The preparation, properties and applications of silicon nitride thin films deposited by plasma-enhanced chemical vapor deposition

106 M. GUPTA et al.

139 G . W . Turner, J .C .C. FanandJ. J. Hsieh, Appl. Phvs. Lett.,37(1980)400. 140 F .W. Sexton, Sol. Ener,'4y Mater., 7 (1982) 1. 141 R. Hezel and K. Jaeger, J. Electroehem. Soe., 136 (2) (1989) 518.