The Development of Full Field High Resolution Imprint ...

8
The Development of Full Field High Resolution Imprint Templates Shusuke Yoshitake 1 , Hitoshi Sunaoshi 1 , Kenichi Yasui 1 , Hideo Kobayashi 2 , Takashi Sato 2 , Osamu Nagarekawa 2 , Ecron Thompson 3 , Gerard Schmid 3 , Douglas J. Resnick 3 1 NuFlare Technology, Inc., 8, Shinsugita-cho, Isogo-ku, Yokohama 235-0032, Japan 2 HOYA Corporation R&D Center 3-3-1 Musashino,Akishima-shi,Tokyo 196-8510 Japan 3 Molecular Imprints, Inc, 1807-C West Braker Lane, Austin, TX 78758 USA Abstract Critical to the success of imprint lithography and Step and Flash Imprint Lithography (S-FIL ® ) in particular is the manufacturing 1X templates. Several commercial mask shops now accept orders for 1X templates. Recently, there have been several publications addressing the fabrication of templates with 32nm and sub 32nm half pitch dimensions using high resolution Gaussian beam pattern generators. Currently, these systems are very useful for unit process development and device prototyping. In this paper, we address the progress made towards full field templates suitable for the fabrication of CMOS circuits. The starting photoplate consisted of a Cr hard mask (< 15nm) followed by a thin imaging layer of ZEP 520A. The EBM-5000 and the EBM-6000 variable shape beam pattern generators from NuFlare Technology were used to pattern the images on the substrates. Several key specifications of the EBM-6000, resulting in improved performance over the EBM-5000 include higher current density (70 A/cm 2 ), astigmatism correction in the subfields, optimized variable stage speed control, and improved data handling to increase the maximum shot count limitation. To fabricate the template, the patterned resist serves as an etch mask for the thin Cr film. The Cr, in turn, is used as an etch block for the fused silica. A mesa is formed by etching the non-active areas using a wet buffered oxide etch (BOE) solution. The final step in the template process is a dice and polish step used to separate the plate into four distinct templates. Key steps in the fabrication process include the imaging and pattern processes. ZEP520A was chosen as the e-beam resist for its ability to resolve high resolution images. This paper documents the resolution and image placement capability with the processes described above. Although ZEP520A is slow relative to chemically amplified e-beam resists, it is only necessary to pattern 1/16 th the area relative to a 4X reduction mask. Write time calculations for 1X templates have also been performed, and are compared to 4X photomasks. Keywords: S-FIL, template, imprint lithography, full field, imprint mask 1. Introduction Small feature imprint lithography has existed for several years. The original technique involved the use of a patterned template which is impressed onto a thermo plastic material and, with the combination of heat and pressure, the pattern in the template was transferred to the substrate. Compact disks were one of the early applications for the technology. Recently the technique has been significantly improved with the development of Step and Flash Imprint Lithography (S-FIL ® ). 1 This technique involves deposition of a low viscosity monomer on the substrate, lowering a template into the fluid which then flows into the patterns of the template. Following this fill step, the monomer is exposed to UV light to cross-link it and convert it into a solid, and the template is removed leaving the solid pattern on the substrate. 2 The advantages of this development make it uniquely capable for CMOS applications. Critical to the success of the technology is the manufacturing 1X templates. Several commercial mask shops now accept orders for 1X templates. Recently, there have been several publications addressing the fabrication of templates with 32nm and sub 32nm half pitch dimensions using high resolution Gaussian beam pattern generators. 3,4 Currently, these systems are very useful for unit process development and device prototyping. In this paper, we address the progress made towards full field templates suitable for the fabrication of CMOS circuits.

Transcript of The Development of Full Field High Resolution Imprint ...

Page 1: The Development of Full Field High Resolution Imprint ...

The Development of Full Field High Resolution Imprint Templates

Shusuke Yoshitake1, Hitoshi Sunaoshi1, Kenichi Yasui1, Hideo Kobayashi2, Takashi Sato2, Osamu Nagarekawa 2, Ecron Thompson3, Gerard Schmid3, Douglas J. Resnick3

1NuFlare Technology, Inc., 8, Shinsugita-cho, Isogo-ku, Yokohama 235-0032, Japan 2HOYA Corporation R&D Center 3-3-1 Musashino,Akishima-shi,Tokyo 196-8510 Japan

3Molecular Imprints, Inc, 1807-C West Braker Lane, Austin, TX 78758 USA

Abstract

Critical to the success of imprint lithography and Step and Flash Imprint Lithography (S-FIL®) in particular is the manufacturing 1X templates. Several commercial mask shops now accept orders for 1X templates. Recently, there have been several publications addressing the fabrication of templates with 32nm and sub 32nm half pitch dimensions using high resolution Gaussian beam pattern generators. Currently, these systems are very useful for unit process development and device prototyping. In this paper, we address the progress made towards full field templates suitable for the fabrication of CMOS circuits.

The starting photoplate consisted of a Cr hard mask (< 15nm) followed by a thin imaging layer of ZEP 520A. The EBM-5000 and the EBM-6000 variable shape beam pattern generators from NuFlare Technology were used to pattern the images on the substrates. Several key specifications of the EBM-6000, resulting in improved performance over the EBM-5000 include higher current density (70 A/cm2), astigmatism correction in the subfields, optimized variable stage speed control, and improved data handling to increase the maximum shot count limitation.

To fabricate the template, the patterned resist serves as an etch mask for the thin Cr film. The Cr, in turn, is used as an etch block for the fused silica. A mesa is formed by etching the non-active areas using a wet buffered oxide etch (BOE) solution. The final step in the template process is a dice and polish step used to separate the plate into four distinct templates.

Key steps in the fabrication process include the imaging and pattern processes. ZEP520A was chosen as the e-beam resist for its ability to resolve high resolution images. This paper documents the resolution and image placement capability with the processes described above. Although ZEP520A is slow relative to chemically amplified e-beam resists, it is only necessary to pattern 1/16th the area relative to a 4X reduction mask. Write time calculations for 1X templates have also been performed, and are compared to 4X photomasks. Keywords: S-FIL, template, imprint lithography, full field, imprint mask

1. Introduction

Small feature imprint lithography has existed for several years. The original technique involved the use of a patterned template which is impressed onto a thermo plastic material and, with the combination of heat and pressure, the pattern in the template was transferred to the substrate. Compact disks were one of the early applications for the technology. Recently the technique has been significantly improved with the development of Step and Flash Imprint Lithography (S-FIL®).1 This technique involves deposition of a low viscosity monomer on the substrate, lowering a template into the fluid which then flows into the patterns of the template. Following this fill step, the monomer is exposed to UV light to cross-link it and convert it into a solid, and the template is removed leaving the solid pattern on the substrate.2 The advantages of this development make it uniquely capable for CMOS applications.

Critical to the success of the technology is the manufacturing 1X templates. Several commercial mask shops now accept orders for 1X templates. Recently, there have been several publications addressing the fabrication of templates with 32nm and sub 32nm half pitch dimensions using high resolution Gaussian beam pattern generators.3,4 Currently, these systems are very useful for unit process development and device prototyping. In this paper, we address the progress made towards full field templates suitable for the fabrication of CMOS circuits.

Page 2: The Development of Full Field High Resolution Imprint ...

The starting photoplate consisted of a Cr hard mask (< 15nm) followed by a thin imaging layer of ZEP 520A. The EBM-5000 and the EBM-6000 variable shape beam pattern generators from NuFlare Technology were used to pattern the images on the substrates. Several key specifications of the EBM-6000, resulting in improved performance over the EBM-5000 include higher current density (70 A/cm2), astigmatism correction in the subfields, optimized variable stage speed control, and improved data handling to increase the maximum shot count limitation.

To fabricate the template, the patterned resist serves as an etch mask for the thin Cr film. The Cr, in turn, is used as an etch block for the fused silica. A mesa is formed by etching the non-active areas using a wet buffered oxide etch (BOE) solution. The final step in the template process is a dice and polish step used to separate the plate into four distinct templates.

Key steps in the fabrication process include the imaging and pattern processes. ZEP520A was chosen as the e-beam resist for its ability to resolve high resolution images. This paper documents the resolution and image placement capability with the processes described above. Although ZEP520A is slow relative to chemically amplified e-beam resists, it is only necessary to pattern 1/16th the area relative to a 4X reduction mask. Write time calculations for 1X templates have also been performed, and are compared to 4X photomasks.

2. Experimental Details

Both the EBM -5000 and EBM-6000 systems were used to generate patterns. Figure 1b displays the standard

specifications of the EBM-6000. To enable high current density operation, a low aberration electro-optical system (EOS) based on the EBM-5000 EOS was adopted, as shown in Figure 1a. The Turret electron gun, in which multiple cathodes are nested, has been developed to reduce downtime. A 50 kV electron beam is irradiated onto the two apertures to generate 5 types of shaped images (rectangle and four kinds of triangles). The shaped aperture image is de-magnified and focused onto the target. The beam position is controlled by an octapole electrostatic deflector to implement vector scanning of the beam. The max shot size is reduced to 0.8 µm square, to avoid both increased coulomb interactions in the column and resist heating effects. A small subfield size of 32 µm (64µm for the EBM-5000) and a newly developed astigmatism correction function improves the shot accuracy in sub-deflection field. The high speed blanker and its control system enable better than 0.1 % dose control accuracy.

Figure 1. a) Electro-optcial system (EOS) of the NuFlare EBM-6000 e-beam pattern generator. b) Key attributes of the system include increased current density and improved image placement accuracy.

System Architecture

Condenser lenses 1st shaping aperture

Shaping deflectors

2nd shaping aperture

Electron gun

Sub deflectors

Main deflectors

Projector lenses

Objective lens

Reticle

2.5 nm (3σ, divided shot)Local CD uniformity

8 nm (3σ)Image placement accuracy

0.1 nm ~ 100 nm(0.1nm or 0.25nm

increment)

Data address unit

VSB-12Standard input data

32 µmSub deflection field size

512 µmMain deflection field size

0.8 µm squareMaximum beam size

LaB6Cathode

70 A/cm2Current density

50 kVAcceleration voltage

2.5 nm (3σ, divided shot)Local CD uniformity

8 nm (3σ)Image placement accuracy

0.1 nm ~ 100 nm(0.1nm or 0.25nm

increment)

Data address unit

VSB-12Standard input data

32 µmSub deflection field size

512 µmMain deflection field size

0.8 µm squareMaximum beam size

LaB6Cathode

70 A/cm2Current density

50 kVAcceleration voltage

Page 3: The Development of Full Field High Resolution Imprint ...

The pattern used to tes t resolution is shown in Figure 2. Two basic test cells were employed. The first cell consisted of cleavable lines and arrays of both contacts and posts. Also incuded in this test pattern were arrays of mini-CD cells. Minimum feature size in each case was 32 nm. In addition, patterns were also written with varying amounts of feature bias. As an example, the 32 nm dense features were negatively biased anywhere from 0 to -16 nm in increments of -4 nm. The second test cell consisted of arrays of dense gratings, serpentines, Metal1 patterns, Logic patterns, and DRAM contact arrays. Again, various amounts of biasing were employed in the pattern layout.

An eight pass writing strategy was used to expose the ZEP520A resist. After development, the chromium and fused silica were etched using Cl2/O2 and fluorine-based chemistry, respectively. The details of the process are discussed in Reference x. Mesa lithography and a mesa etch process, followed by a dice and polish step were employed to create a finished 65 mm x 65 mm template.12

Imprinting of the template pattern was performed by using a Molecular Imprints Imprio 250 imprint tool. A Drop-On-Demand method was employed to dispense the photo-polymerizable acrylate based imprint solution in field locations across a 200 mm silicon wafer. The template was then lowered into liquid-contact with the substrate, displacing the solution and filling the imprint field. UV irradiation through the backside of the template cured the acrylate monomer. The process was then repeated to completely populate the substrate. Details of the imprint process have previously been reported.13 Figure 2. Test pattern used to analyze the resolution of the exposure and pattern transfer processes. The test pattern includes dense lines, contact holes, and CMOS-like structures.

Contact/PostResolution Cell(3 Sets of Biasing)

Cleavable contacts

Cleavable lines

Mini-CD Cell

Metal1,Logic, DRAM,Contact,Mini-Grating

Logic Metal1

Contacts

Contact/PostResolution Cell(3 Sets of Biasing)

Cleavable contacts

Cleavable lines

Mini-CD Cell

Metal1,Logic, DRAM,Contact,Mini-Grating

Logic Metal1

Contacts

Page 4: The Development of Full Field High Resolution Imprint ...

3. Results

a. Resist Processing

The initial exposures for this work were performed on an EBM-5000. Micrographs of some of the ZEP520A images from these exposures are depicted in Figure 3. On the first plate exposed, the minimum coded feature size for the Metal1 And DRAM contact patterns was 45 nm. Both patterns were well resolved. Small arrays of 35 nm contacts also appear resolved. The line/space patterns look good down to approximately 35 nm on this plate. It should be noted, however, that the contrast of the image and small size of the image make it hard to judge feature quality. As a result, it was decided that final judgements on feature quality would be reserved for the pattern transferred images.

Figure 3. SEM images of ZEP520A electron beam resist. Dense line/space and contact arrays were resolved down to 35 nm. The 45 nm Metal1 patterns were also clearly resolved. b. Template Fabrication

Following pattern transfer, the feature quality was again examined in the SEM. The Cr hardmask was not removed at this step in order to more clearly observe the features in the SEM. The first patterns examined were those written with no or little (-4 nm) bias. The results are shown in Figure 4. Dense lines and serpentines are depicted across the top row. Best resolution was approximately 40 nm for the small cluster of lines. Both the isolated and dense features were well resolved for this pattern.

The CMOS like patterns are shown in the second row of Figure 4. The Metal1 and Logic patterns were successfully resolved down to 45 nm. The Logic patterns are particularly challenging. The pattern is dense and contains a combination of horizontal and vertical features, as well as contact like features. Previous attempts at defining this pattern with chemically amplified resists has been limited to dimensions of ~ 70 nm. The DRAM contact arrays resolved at a half pitch of 57 nm. It is likely that better results can be obtained by fine tuning the etch process specifically to the contact pattern.

35nm

35nm50nm40nm

45nm45nm35nm

35nm50nm40nm

45nm45nm

Page 5: The Development of Full Field High Resolution Imprint ...

Figure 4. SEM images after pattern transfer into the fused silica plate. 40nm line/spaces are resolved. Metal1 and Logic patterns are clean down to 45 nm. The Contact arrays were cleanly etched at a 57nm half pitch

c. Template Fabrication: Feature biasing

Figure 5. Template images with feature bias. Feasibility for 32 nm half pitch resolution is demonstrated.

45 nm 40 nm 45 nm

45 nm 45 nm 57 nm

32nm bias -16nm

36nm bias -16nm

Page 6: The Development of Full Field High Resolution Imprint ...

In a previous study performed with a 100 kV Gaussian beam system, better resolution and improved process latitude was obtained by introducing negative feature biasing to the coded pattern, and then overexposing the pattern during the writing process.R In this study, biases as large as -18nm were applied, resulting in improvements in process latitude of better than 3x.

The same approach was also evaluated for this work, and the results are shown in Figure 5. The smallest lines resolved were 32 nm. The 35 nm Metal1 patterns resolved, as did the 40 nm DRAM contact arrays. These results were obtained with the writing and pattern transferring of only two plates. While there is still room for process optimization the results are very promising. d. Write Time Comparisons

The purpose of adapting a ZEP520A process on to VSB platform is to be able to address customer needs for full field circuits at dimensions below 40 nm. In addition to achieving the dimensional tolerances, write times must also be comparable or better than what will be required for 4X photoplates.

For an initial comparison, two cells, supplied by Mentor Graphics were scaled down from 90 nm to 32 nm and then arrayed to fill a field of 26 mm x 32 mm in the case of a 1X template, and 104 mm x 126 mm in the case of a 4X photomask. Optical proximity correction was also included in the 4X pattern. It is understood that for several reasons, these patterns are not perfect examples of what will be used for actual 32 nm devices. It is very possible, for example, that more extensive OPC will be required for the 32 nm node. The idea, for this exercise, is to determine if the write times are still reasonable for a 1X template, when using a much less sensitive resist.

The two patterns used are shown in Figure 7. Pattern A has a pattern density of nearly 40% for the reticle case, and nearly 37% for the case of a 1X Template. Pattern B, shown on the right, includes much more OPC for the reticle case. The pattern denisities for the reticle and template are 15.88% and 11.88%, respectively. Figure 7. 32nm patterns used for write time comparisons. Patterns across the top include optical proximity correction.

Optical mask A (with OPC)

Template A (without OPC)

Optical mask B (with OPC)

Template B (without OPC)

Reticle APattern density:39.68%

Template APattern density:36.68%

Reticle BPattern density:15.88%

Template BPattern density:11.78%

Page 7: The Development of Full Field High Resolution Imprint ...

After arraying the patterns, GDS files were evaluated, and write times were calculated using NuFlare proprietary software. The results are presented in Table 1. Write times for the template assumed the use of ZEP520A and an 8 pass write. Two different cases were calculated for a 4X mask: FEP171 resist, with a 2 pass write, and PRL009 resist, with a 4 pass write

The write time for the template, for Pattern A, is about 20 percent faster than that of 4X mask using FEP171. The need for 4 passes, in the case of the PRL009, clearly has a negative impact on write time. The effect of shot count becomes very clear in the case of Pattern B. In this case, the write time advantage is approximately 3X relative to the FEP171 reticle, and approximately 7x relative to the PRL009 reticle. For either pattern, it is clear that even if a higher dose was required for the exposure of the ZEP520A resist, the write times would at least be comparable.

Table 1. Write time comparison for the two patterns depicted in Figure 7. For Pattern A, there is a 22 percent improvement in write time for the template. For Pattern B, the write time advantage is at least ~ 3x. e. Image Placement

The final parameter considered in this work is image placement. In addition to exposing two quadrants of a 6025 photoplate with the test pattern described in Section 2, an additional two quadrants were used to expose an array of metrology marks, in order to track image placement across the 1X field. The results are shown in Figure 8.

Figure 8. Average image placement for 26mm x 32mm templates with and without magnification and orthoganility corrections applied. With the corrections applied , the errors are reduced to less than 4nm, 3σ.

68:43:25770.34X Mask, PRL00929:32:55385.14X Mask, FEP17124:07:44223.7Template, ZEP520A

Writing time[hh:mm:ss]

Shot counts[G shot]

Pattern A

68:43:25770.34X Mask, PRL00929:32:55385.14X Mask, FEP17124:07:44223.7Template, ZEP520A

Writing time[hh:mm:ss]

Shot counts[G shot]

Pattern A

60:01:45673.04X Mask, PRL00925:47:05336.54X Mask, FEP1718:37:4378.6Template, ZEP520A

Writing time[hh:mm:ss]

Shot counts[G shot]

Pattern B

60:01:45673.04X Mask, PRL00925:47:05336.54X Mask, FEP1718:37:4378.6Template, ZEP520A

Writing time[hh:mm:ss]

Shot counts[G shot]

Pattern B

Without Correction With CorrectionWithout Correction With Correction

Page 8: The Development of Full Field High Resolution Imprint ...

For individual fields, typical uncorrected values for the x and y 3σ displacements are 5.3 nm and 3.6 nm, respectively. Pictured in Figure 8 are the average displacements of all the fields, both uncorrected and corrected. After correction for magnification and orthoganality, the 3σ x and y displacements are 3.91 nm and 2.2 nm, respectively. It should be noted these results were obtained with an EBM -5000, and that better results are anticipated with the use of an EBM-6000.

4. Conclusion

A template fabrication process was developed by improving the resolution and exposure latitude in ZEP520A resist. Imprinted features with a half pitch of 28 nm were clearly resolved. Resist processes have also been identified that can reduce the half pitch to 20 nm, however pattern transfer optimization of the template is required to realize the resolution enhancements. To address early unit process development at 22 nm, a lift-off process was used to create templates with half pitches as small as 21 nm. Templates were fabricated and successfully used to create corresponding imprints.

Acknowledgments

The authors would like to thank Masaaki Kurihara, Shiho Sasaki, Nobuhito Toyama and Naoya Hayashi from Dai Nippon Printing for pattern transfer work and for providing SEM images. The authors also appreciate the support of S. V. Sreenivasan and Mark Melliar-Smith. This work was partially funded by DARPA (N66001-02-C-8011) and NIST-ATP.

References

1. Henry I. Smith and S. E. Bernacki, J. of Vac. Sci. Technol. Vol. 12, Issue 6, pp. 1321-1323, 1975.

2. P. E. Mauger, A. R. Shimkunas, J. C. Wolfe, S. Sen, H. Löschner, and G. Stengl, J. Vac. Sci. Technol. B 10, 2819 (1992). 3. M. M. Mkrtchyan, J. A. Liddle, A. E. Novembre, W. K. Waskiewicz, G. P. Watson, L. R. Harriott, and D. A. Muller , J. Vac. Sci. Technol. B 16, 3385 (1998) 4. H. C. Pfeiffer et al., J. Vac. Sci. Technol. B 17, 2840 (1999). 5. http://public.itrs.net/ 6. T. Bailey, B. J. Choi, M. Colburn, M. Meissl, S. Shaya. J. G. Ekerdt, S. V. Sreenivasan, and C. G. Willson, J. Vac. Sci. Technol. B 18(6), 3572 (2000). 7. I. McMackin, J. Choi, P. Schumaker, V. Nguyen, F. Xu, E. Thompson, D. Babbs, S. V. Sreenivasan, M. Watts, and N. Schumaker, Proc. SPIE 5374, 222 (2004). 8. D. J. Resnick, W. J. Dauksher, D. P. Mancini, K. J. Nordquist, E. S. Ainley, K. A. Gehoski, J. H. Baker, T. C. Bailey, B. J. Choi, S. C. Johnson, S. V. Sreenivasan, J. G. Ekerdt, C. G. Willson, Proc. SPIE Vol. 4688, p. 205-213, 2002. 9. W. J. Dauksher, D. Mancini, K. Nordquist, D. J. Resnick, P. Hudek, D. Beyer, T. Groves, O. Fortagne, Microelectronic Engineering, Vol 75, Issue 4 , November 2004, 345-351 10. G. Jones, M. Saifullah, K. Subramanian, D. Anderson, D.-J. Kang, W. Huck, M. Welland, to be published in J. Vac. Sci. Technol. B., Nov/Dec 2006. 11. M. Irmscher, J. Butschke, G. Hess, C. Koepernik, F. Letzkus, M. Renno, H. Sailer, H. Schulz, A. Schwersenz, E. Thompson, Proc. SPIE Vol. 6151, (2006). 12. L. J. Myron, L. Gershtein, G. Gottlieb, B. Burkhardt, A. Griffiths, D. Mellenthin, K. Rentzsch, S. MacDonald, G. Hughes, Proc. SPIE, Vol. 5752, pp. 384-391, 2005. 13. M. Colburn, T. Bailey, B. J. Choi, J. G. Ekerdt, S. V. Sreenivasan, Solid State Technology, 67, June 2001. 14. D. J. Resnick, E. Thompson, L. J. Myron, G. M. Schmid, Microlithography World, Feb. 2006. 15. W. Hu, K. Sarveswaran, M. Lieberman, G. H. Bernstein, J. Vac. Sci. Technol. B 22(4), 1711 (2004).