Silicon nanowire heterostructures: growth strategies ... · Silicon nanowire heterostructures:...

21
1 Science Advances Today Sci. Adv. Today 2 (2016) 25230 Silicon nanowire heterostructures: growth strategies, novel properties and emerging applications LOGNOR www.lognor.com/scienceadvancestoday © LOGNOR. All rights reserved. Ramesh Ghosh a and P. K. Giri a,b,* a Department of Physics, Indian Institute of Technology Guwahati, Guwahati -781039, India b Centre for Nanotechnology, Indian Institute of Technology Guwahati, Guwahati -781039, India * Author for correspondence: P. K. Giri, email: [email protected] Received 30 Oct 2015, Accepted 22 Dec 2015, Published Online 22 Dec 2015 1. INTRODUCTION Over the past decades, one dimensional (1D) semiconductor nanostructures, including nanowires (NWs) nanorods (NRs), nanotubes, nanofibers (NFs) and nanobelts, have been attracting a great deal of attention in both basic scientific research and potential technological applications due to their special physical and chemical characteristics [1- 10]. In recent years, NW has emerged as one of the most active research areas in semiconductor industry due to their high surface-to-volume ratios as well as the as-revealed excellent performance in various device applications. Among the important class of semiconductors, Si nanostructures, specially Si NWs are extensively studied due to the ease of synthesis and fascinating properties, such as light emission, antireflective, photocatalytic, electrical and sensing etc [1, 5-7, 10-14]. Si NWs are employed as active component in various electronic and optoelectronic devices, e.g., field effect transistors (FET), light emitting diodes (LED), solar cells, sensors, energy storage and photochemical reactor etc. Various types of 1D Si nanostructures have been grown by several groups worldwide over the past decades by various methods such as chemical vapor deposition (CVD), pulsed laser deposition (PLD), thermal evaporation, template assisted growth, molecular beam epitaxy (MBE), reactive ion etching (RIE), and metal assisted chemical etching (MACE) etc [10, 12, 15-29]. The effect of growth conditions on the morphology has been studied to control the key parameters, such as crystallinity, growth orientation, chemical composition, shapes, diameter, length, etc. of the Si NWs. Such a control over the growth allows one to design and fabricate the Si NWs based innovative nano-devices with tunable characteristics. The key parameters of the performance index of the bare Si NWs based nano-devices are not up to the level for commercialized applications. Therefore, significant improvements are required to meet future demands for applications in variety of fields. As the surface-to-volume ratio in NWs is very high, the surface states play a key role on optical absorption, luminescence, detection, sensing and photocatalysis in determining the electrical, optoelectronic and photocatalytic properties of Si NWs based nano-devices. Thus, modification of the surface of the Si NWs by using hybrid structures or heterostructure (HS) approach could enable superior/efficient performance of the nanoscale devices. In the past few years, several technological methodologies have been developed for the fabrication of high quality NW HSs with suitable external materials. Recent studies on semiconductor NW HSs highlight the significance and developments in the fabrication and applications of HS. Using the HS approach, researchers are able to modify/improve the selective property of the Si NWs according to the requirements. The Si NW HSs find applications in several nanoelectronic and optoelectronic devices, e.g., LED, solar cells, sensor and photochemical reactors etc. These devices show efficient and improved performances compared to the bare Si NWs counterpart. In this review article, first we present a summary of the widely used techniques for the growth of high quality Si NWs and fabrication methodologies of various types of Si NW HSs such as core-shell radial HS, axial HS, hierarchical HS and quantum dot (QD) decorated NW HS. Next, we review the fascinating properties (light emitting, antireflective, photocatalytic, electrical, photovoltaic and sensing etc.) of the Si NW HSs and their potential applications in different devices, primarily LED, solar cells, sensor and photochemical reactors. We highlight the impacts of the HS on the selective properties and performance of the Si NW based devices. The problems and challenges of utilizing Si NW HS in various device applications and the key parameters to improve the devices performances are extensively discussed to highlight the effectiveness of the HS approach. The recent developments in the commercialization of the Si NW HSs and future outlook of the field are presented at the end. In recent years, semiconductor nanowires (NWs) have drawn enormous attention due to their unique optoelectronic properties and excellent performance in variety of applications. With the introduction of NW heterostructures (HSs) into the device, the device performance is improved significantly in many cases. Due to the ease of fabrication, excellent optoelectronic properties and compatibility of forming HS with different inorganic/organic materials, Si NW HSs have attracted a great deal of research interest in last decades. The Si NW HSs exhibit interesting size, shape, and material-dependent properties that are unique when compared with the single-component material. Here we review the recent developments in Si NW HSs: fabrication techniques, their properties (e.g., light emission, antireflection, photocatalysis, electrical, photovoltaic and sensing etc.) and related emerging applications in electronics, photonics, catalysis, sensing and photovoltaics etc. The problems and challenges of utilizing the Si NW HS in the device applications and the key parameters to improve the device performances are discussed extensively. The bottlenecks in the commercialization of the Si NW HS based devices and future outlook of the field are presented at the end.

Transcript of Silicon nanowire heterostructures: growth strategies ... · Silicon nanowire heterostructures:...

Page 1: Silicon nanowire heterostructures: growth strategies ... · Silicon nanowire heterostructures: growth strategies, novel properties and emerging applications ... the surface states

1

Science Advances Today Sci. Adv. Today 2 (2016) 25230

Silicon nanowire heterostructures: growth strategies,

novel properties and emerging applications

LOGNOR

www.lognor.com/scienceadvancestoday © LOGNOR. All rights reserved.

Ramesh Ghosha and P. K. Giri

a,b,*

a Department of Physics, Indian Institute of Technology Guwahati, Guwahati -781039, India

b Centre for Nanotechnology, Indian Institute of Technology Guwahati, Guwahati -781039, India

*Author for correspondence: P. K. Giri, email: [email protected]

Received 30 Oct 2015, Accepted 22 Dec 2015, Published Online 22 Dec 2015

1. INTRODUCTION

Over the past decades, one dimensional (1D) semiconductor

nanostructures, including nanowires (NWs) nanorods (NRs), nanotubes,

nanofibers (NFs) and nanobelts, have been attracting a great deal of

attention in both basic scientific research and potential technological

applications due to their special physical and chemical characteristics [1-

10]. In recent years, NW has emerged as one of the most active research

areas in semiconductor industry due to their high surface-to-volume ratios

as well as the as-revealed excellent performance in various device

applications. Among the important class of semiconductors, Si

nanostructures, specially Si NWs are extensively studied due to the ease

of synthesis and fascinating properties, such as light emission,

antireflective, photocatalytic, electrical and sensing etc [1, 5-7, 10-14]. Si

NWs are employed as active component in various electronic and

optoelectronic devices, e.g., field effect transistors (FET), light emitting

diodes (LED), solar cells, sensors, energy storage and photochemical

reactor etc. Various types of 1D Si nanostructures have been grown by

several groups worldwide over the past decades by various methods such

as chemical vapor deposition (CVD), pulsed laser deposition (PLD),

thermal evaporation, template assisted growth, molecular beam epitaxy

(MBE), reactive ion etching (RIE), and metal assisted chemical etching

(MACE) etc [10, 12, 15-29]. The effect of growth conditions on the

morphology has been studied to control the key parameters, such as

crystallinity, growth orientation, chemical composition, shapes, diameter,

length, etc. of the Si NWs. Such a control over the growth allows one to

design and fabricate the Si NWs based innovative nano-devices with

tunable characteristics. The key parameters of the performance index of

the bare Si NWs based nano-devices are not up to the level for

commercialized applications. Therefore, significant improvements are

required to meet future demands for applications in variety of fields. As

the surface-to-volume ratio in NWs is very high, the surface states play a

key role on optical absorption, luminescence, detection, sensing and

photocatalysis in determining the electrical, optoelectronic and

photocatalytic properties of Si NWs based nano-devices. Thus,

modification of the surface of the Si NWs by using hybrid structures or

heterostructure (HS) approach could enable superior/efficient

performance of the nanoscale devices. In the past few years, several

technological methodologies have been developed for the fabrication of

high quality NW HSs with suitable external materials. Recent studies on

semiconductor NW HSs highlight the significance and developments in

the fabrication and applications of HS. Using the HS approach,

researchers are able to modify/improve the selective property of the Si

NWs according to the requirements. The Si NW HSs find applications in

several nanoelectronic and optoelectronic devices, e.g., LED, solar cells,

sensor and photochemical reactors etc. These devices show efficient and

improved performances compared to the bare Si NWs counterpart.

In this review article, first we present a summary of the widely

used techniques for the growth of high quality Si NWs and fabrication

methodologies of various types of Si NW HSs such as core-shell radial

HS, axial HS, hierarchical HS and quantum dot (QD) decorated NW HS.

Next, we review the fascinating properties (light emitting, antireflective,

photocatalytic, electrical, photovoltaic and sensing etc.) of the Si NW HSs

and their potential applications in different devices, primarily LED, solar

cells, sensor and photochemical reactors. We highlight the impacts of the

HS on the selective properties and performance of the Si NW based

devices. The problems and challenges of utilizing Si NW HS in various

device applications and the key parameters to improve the devices

performances are extensively discussed to highlight the effectiveness of

the HS approach. The recent developments in the commercialization of

the Si NW HSs and future outlook of the field are presented at the end.

In recent years, semiconductor nanowires (NWs) have drawn enormous attention due to their unique optoelectronic properties and

excellent performance in variety of applications. With the introduction of NW heterostructures (HSs) into the device, the device performance is

improved significantly in many cases. Due to the ease of fabrication, excellent optoelectronic properties and compatibility of forming HS with

different inorganic/organic materials, Si NW HSs have attracted a great deal of research interest in last decades. The Si NW HSs exhibit interesting

size, shape, and material-dependent properties that are unique when compared with the single-component material. Here we review the recent

developments in Si NW HSs: fabrication techniques, their properties (e.g., light emission, antireflection, photocatalysis, electrical, photovoltaic

and sensing etc.) and related emerging applications in electronics, photonics, catalysis, sensing and photovoltaics etc. The problems and challenges

of utilizing the Si NW HS in the device applications and the key parameters to improve the device performances are discussed extensively. The

bottlenecks in the commercialization of the Si NW HS based devices and future outlook of the field are presented at the end.

Page 2: Silicon nanowire heterostructures: growth strategies ... · Silicon nanowire heterostructures: growth strategies, novel properties and emerging applications ... the surface states

2

Science Advances Today Sci. Adv. Today 2 (2016) 25230 S

cien

ce A

dv

ance

s T

od

ay

LOGNOR

© LOGNOR. All rights reserved. www.lognor.com/scienceadvancestoday

2. GROWTH OF Si NANOWIRES

The properties of Si NWs strongly depend on their preparation

methods. The production of large area, highly oriented array of NWs

structures is extremely important to control the properties for device

applications. Large amounts of research effort have focused on the

fabrication of Si NWs according to the requirement for the dedicated

device application. The reported methods for the fabrication of Si NWs

are classified into two main approaches: bottom-up and top-down. The

bottom-up approach, which is the oldest method for Si NWs fabrication,

is a gathering process constructed by Si atoms in a sequence to form Si

NWs. Out of several bottom-up approaches, CVD via vapor-liquid-solid

(VLS) process, MBE technique, PLD technique and thermal evaporation

are commonly used. The top-down approach is the selective reduction of

bulk Si wafer by RIE and MACE to form Si NWs. Out of several

traditional approaches, CVD and MACE method are the widely explored

and largely usable methods for their versatility about controllability,

repeatability, quality, low cost and mass production. A lot of review

articles have been published describing the synthesis of Si NWs [1, 4-6,

10, 11, 15, 30-37]. We will give a brief overview of some of the

commonly used methods to fabricate the high class Si NWs for

technological application.

2.1. Chemical Vapor Deposition (CVD)

Among the bottom-up fabrication methods, the CVD approach

offers the concrete capability of fabricating Si NWs with controlled

diameter, density, length, position and doping characteristics, for device

applications. After the first report by Wagner and Ellis [38] in 1964 for Si,

this mechanism is extensively explored by several research groups

worldwide to prepare NWs and NRs from a rich variety of materials [2, 3,

8, 11, 13, 23, 29, 39-45]. CVD growth of Si NWs requires a suitable

noble metal (Au, Al, Cu, Fe etc.), which serves as catalyst and a gaseous

Si precursor such as silane (SiH4), Si tetrachloride (SiCl4) and Si oxide

(SiO) etc. The growth mechanism involves three stages: (1) formation of

liquid metal-Si alloy droplets on substrate kept at higher temperature than

the eutectic point (Teu) of the metal-Si system; (2) dissolution and

diffusion of gaseous Si precursor into the alloy droplets; and (3) Si

precipitation and axial crystal growth due to supersaturation and

nucleation at the liquid-solid interface [5-7]. Figure 1 schematically

illustrates the CVD mechanism of Si NW growth using SiH4 as gaseous

Si precursor and Au as the metal catalyst [7]. A metal capable of forming

a low-temperature eutectic phase with Si is preferable as a suitable

catalyst for the growth of Si NWs. Mostly Au (Teu = 363 C) is used, but

parallel to this Al (Teu = 577.6 C), Cu (Teu = 802 C), In (Teu = 156.6

C), Sn (Teu = 232 C), Fe (Teu = 1207 C) etc. [25, 29, 45-47] have also

been used as metal catalyst for the growth of Si NWs by VLS. Figure 2

shows the SEM image of (a) Au-catalyzed (b) Cu-catalyzed Si NW array

having nearly 100% fidelity over a large (>1 cm2) area [29]. Hochbaum et

al. synthesized controlled Si NWs by using 50 nm Au colloids [45]. SiCl4

was used as the precursor molecule for Si NW and growth temperature

was between 800 and 850 °C. H2 (10%) in Ar was used as the carrier gas.

The density of wire growth was successfully varied from ∼0.1-1.8

NW/μm2. Average NWs diameter could be reduced to ~39 nm. Figure

2(c) shows the SEM image of the arrays of Si NWs, whereas Figure 2(d)

represents the HRTEM lattice image of a single Si NW [45]. Si NWs

were grown directly into microchannels to demonstrate the flexibility of

the deposition technique [45]. Due to high Teu, vapor-solid-solid (VSS)

method has also been developed by using relatively low sub-eutectic

temperature, with the catalyst remaining in the solid phase. The wire

diameter and growth rate are affected by the initial size of the metal

catalyst, the growth temperature, and the type of Si precursor used [6, 47].

Due to high sensitivity of forming oxide for other metals, Au is the most

extensively used metal for the CVD growth of Si NWs. Note that the each

Si NW formed by CVD process contains a metal-Si alloy droplet at the tip

of the NW [6, 7]. The presence of metallic contaminations affects the

properties of intrinsic Si NWs, which are highly undesirable for device

applications. Au catalyst is unfavorable for complementary metal oxide

Figure 1. The Au-Si phase diagram (schematic) and the steps (schematic) of CVD grown Si NWs using Au catalyst.

Figure 2. SEM image of (a) Au-catalyzed, (b) Cu-catalyzed Si NW

array having nearly 100% fidelity over a large (>1 cm2) area

Reprinted with permission from [29]. (c) Cross-sectional image of Si

NW grown from 50 nm Au colloids and resulting Si NW diameters.

(d) HRTEM image of a single crystalline Si NW. Reprinted with

permission from [45].

Page 3: Silicon nanowire heterostructures: growth strategies ... · Silicon nanowire heterostructures: growth strategies, novel properties and emerging applications ... the surface states

3

Science Advances Today Sci. Adv. Today 2 (2016) 25230

Scie

nce

Ad

van

ces

Tod

ay

Scien

ce Advan

ces Today

LOGNOR

www.lognor.com/scienceadvancestoday © LOGNOR. All rights reserved.

semiconductors (CMOSs). Therefore, the other mentioned metals, which

are compatible with CMOSs are preferred [5, 7].

2.2. Pulsed Laser Deposition (PLD)

PLD is another successful method for fabrication of Si NWs [6,

16, 22, 30, 48-50]. In case of PLD, a highly energetic pulsed laser beam is

focused in an ambient temperature on a target made of Si (metal or SiO2

with Si are also used) to form an energetic plasma plume, which then

condenses onto a substrate to form Si NWs. An inert gas is used as a

carrier gas, which transports and cools the ablated products. The main

advantages of PLD technique are: firstly, Si NWs are of high purity, high

yield, and large quantity with fast growth rate; secondly, Si NWs can be

grown in suspension condition without having a substrate and thirdly the

composition of the resulting NWs can be varied by changing the

composition of the target. Target composed of Si (90 %) and Fe (10 %)

kept at 1200 °C shows primarily Si NWs uniform diameter of the order of

10 nm with lengths up to 30 µm [22]. Eisenhawer et al. has grown

controlled Si NWs at 650 °C using Si target and Au metal catalyst [16].

Figure 3(a) shows an SEM image of the as-synthesized Si NWs by PLD

and the inset displays a close-up of a single Si NW. The perpendicular Si

NW growth on the Si (111) surface is also proved by cross-sectional TEM

in Figure 3(b). TEM measurements (shown in Figure 3(c) and (d))

demonstrate that the as-grown Si NWs have a single-crystalline Si shaft

that is covered by a thin native SiOx skin. Figure 3(c) shows the remnants

of the catalyzing Au droplet on the sidewalls of the grown Si NWs [16].

The growth rate of Si NWs was greatly enhanced by using a target

composed of SiO2 and Si powder compared to (a) a metal-Si target, (b) a

pure Si target and (c) a pure SiO2 or SiO target [30, 50, 51]. The Si

nanoparticles embedded in an oxide matrix serve as nuclei for NW

growth. Such a mechanism can avoid the metal contamination and ultra-

thin NWs (diameter ~1 nm) can be produced [51]. High yield and uniform

Si NWs are achieved by this method [48]. The diameter and the

uniformity of the Si NWs not only depends on the metal catalyst but also

it depends significantly on the carrier gas [50]. Though the PLD process is

versatile for the controlled growth of Si NWs, the need of low

wavelength, high-energy, focused pulse lasers with high cost prevents this

method from having wide application.

2.3. Thermal Evaporation

Thermal evaporation technique is a cost effective and easy

fabrication technique to produce a large area, high-purity and ultra-long

(of the order of mm) Si NWs [9, 11, 27, 52-56]. SiO powder is mainly

used as the Si precursor and this process is sometime called as oxide

assisted growth (OAG). SiO powder is heated in a hot zone of a multi-

zone tube furnace and a carrier gas (inert gas) is used to decompose SiO

vapor to Si NWs in the colder zone [52, 55, 56]. In principle, the growth

method is catalyst free but metal catalyst is also used and it provides

relatively rapid growth with controlled diameter, which is consistent with

the concept of CVD growth [20]. By thermal evaporation of SiO powder,

Shi et al. synthesized millimeter length Si NWs on Si substrate with

average diameter 20 nm covered by ~5 nm amorphous Si oxide sheath

[56]. Si NWs with different morphologies and microstructures were

formed over a wide temperature ranged from 890 to 1320 °C by thermal

evaporation of SiO powders at 1350 °C using multi-zone furnace [27, 52].

Very recently Lim et al. has grown ultra-long and uniform diameter (<30

nm) Si NWs on Cu foil with relative thicker oxide layer at 735 °C and

955 °C by heating SiO powder at 1100 °C [55]. Figure 4(a) shows a SEM

image of the NWs grown on Cu foil for 2 hour at a substrate temperature

of 735 °C. This image confirms that dense NWs with length of tens of

micrometers were grown on the Cu surface. Figure 4(b) shows a low

magnification TEM image that confirms the uniformity of the NWs. The

SAED pattern in the inset of Figure 4(b), which was measured from a

single NW, indicates that the NWs are polycrystalline. The HRTEM

Figure 3. (a) SEM image of the as-grown Si NWs; the inset shows a single NW. (b) The cross- sectional TEM image shows the orientation of the

NWs perpendicular to the substrate surface and thereby proves the <111> growth direction of the Si NWs. (c) TEM and (d) HRTEM analysis of a

single Si NW. The residual Au NPs at the sidewalls of the NWs is visible in (c). In (d), the SiOx sheet on the grown NWs is clearly visible. Reprinted

with permission from [16].

Figure 4. (a) SEM image of Si NWs grown on a Cu surface at 735

°C. (b) Low-magnification TEM image of Si NWs. The inset is an

ED pattern of a wire indicating its polycrystalline nature. (c)

HRTEM image of Si NWs. The Si NW is covered with a SiOx shell.

The inset shows the end of a single NW without any metal catalyst.

(d) Plot of diameter of NWs vs temperature. (e) Plot of SiOx shell

thickness at one side vs. temperature. Reprinted with permission

from [55].

Page 4: Silicon nanowire heterostructures: growth strategies ... · Silicon nanowire heterostructures: growth strategies, novel properties and emerging applications ... the surface states

4

Science Advances Today Sci. Adv. Today 2 (2016) 25230 S

cien

ce A

dv

ance

s T

od

ay

LOGNOR

© LOGNOR. All rights reserved. www.lognor.com/scienceadvancestoday

image in Figure 4(c) reveals that the NWs are core-shell structures

consisting of a polycrystalline Si core and an amorphous SiOx shell. The

average diameter of the Si NWs and SiOx layer grown at different

substrate temperatures was measured from HRTEM images and shown in

Figure 4(d) and (e) respectively [55]. The diameter of Si NWs as well as

the oxide layer strongly depends on the source temperature, growth

temperature and the nature of the substrate [52, 55].

2.4. Molecular Beam Epitaxy (MBE)

MBE is also a well-established method for growing high quality

Si NWs [17, 21, 57-60]. The growth mechanism of Si NWs in MBE

process is very much similar to a typical CVD process. High purity Si

plasma is created usually by e-beam evaporation at very high vacuum and

the plasma is directed to the substrate, on which the Si atoms adsorb and

crystallize. Unlike CVD, no carrier gas is used in MBE process. In MBE,

two Si fluxes govern wire growth; first, the direct flux of Si from the Si

source; and second, the flux of diffusing Si adatoms from the Si substrate

surface [17]. However, the nanowhiskers or NWs growth by MBE has a

strong surface-related Si diffusion component, which leads to a larger

growth rate for nanowhiskers with a smaller radius. This is just opposite

to what is well established in the case of CVD-grown nanowhiskers [17].

Schubert et al. have grown Si NWs on Si (111) substrate by using Au

catalyst [17]. Figure 5(a, b) shows the SEM images of the Si NWs for

total growth time of 60 min and 120 min, respectively. Both experiments

confirmed a homogeneous distribution of <111> oriented whiskers of

cylindrical morphology, partly hexagonal facetted. Figure 5(c) shows the

tilted view of sample (b) to get an overview on the arrangement of the

whiskers. These have a diameter in the range from 100 nm to 160 nm,

which is determined by the Au droplet sizes (the initial diameter of the Au

droplets was 70-200 nm). Their average lengths amount to about 95 nm

and 210 nm, respectively. The main advantage of MBE is of its precise

control on the Si flux [17]. Kanungo et al. has grown boron doped Si

NWs by switching evaporation sources by Si and B [21]. The MBE

grown Si NWs are usually grown on Si(111) substrates and the NWS are

also epitaxial and <111> oriented. The NWs are grown at temperature

500-800 C. Though MBE provides excellent controllability of growing

NWs, there are some disadvantages related to this process [4]. The

process requires ultra-high vacuum; the growth rate is slow compared to

the other methods. The average diameter of the NWs is also quite high

[4].

2.5. Reactive Ion Etching (RIE)

In contrast to bottom-up approaches, RIE is one of the well-

known top-down processes for fabricating ordered arrays of Si NWs of

controlled size, density, and tunable properties [10, 15, 18, 19, 61-64].

The process is generally the highly anisotropic dry etching of Si or SiO2

by halogen radicals, mainly fluorine-based compound materials. These

fluorine radicals from the plasma reach the Si surface and form volatile

SiFx, and therefore impede chemical etching. Several studies have

previously measured the etch rates of Si and dielectrics in halogen-based

plasmas and several relevant observations have been made [19, 62, 63]. In

the plasma, the fluorine radicals will rapidly attack the Si surface forming

volatile SiF4 and the layer is etched away. However, on vertical sidewalls

the etching is weaker due to the directionality of the plasma ions and the

sidewalls therefore remain protected from chemical etching by fluorine

radicals. The anisotropic RIE with a mixture of SF6 and O2 gas was

studied in detail by Jansen et al. and the needle like Si nanostructures

were formed in this case [62]. The RIE of Si without protective layer or

masking is anisotropic and the nanostructures formed in this case are also

highly nonuniform. The aspect ratio of the Si NWs can be controlled via

the gas pressure, which determines the directionality of the ions. The

ordered arrays of Si NWs of controlled size and density could be easily

produced on Si wafers by the combination of RIE and lithography [1, 10,

19, 64]. Fu et al. fabricated ordered Si nano and micropillars by

conventional photolithography with AZ5214 photoresist and e-beam

lithography with mask dimensions down to 50 nm [64]. Si etching was

also performed using a mask of Au or Co NPs. RIE of Si wafers were

carried out in three steps: gas flows of SF6, C4F8, and O2 for etching Si,

passivation, and etching the passivation layer. The substrate temperature

was kept at 0 °C by means of a helium-cooled sample holder. Figure 6

shows Si nanopillars fabricated by RIE using mask patterned by (a) UV

lithography, (b) e-beam lithography [64, 65].

2.6. Metal Assisted Chemical Etching (MACE)

During the last decades, MACE has emerged as a promising and

significant tool for the rapid production of large area, aligned and well

controlled Si NWs. MACE is now becoming the most common method

over the other well established methods for the production of high quality

Si NWs. This solution based etching method, called as “metal assisted

chemical etching”, was first introduced by D. Malinovska et al. in 1997

[66]. The idea was to obtain porous silicon by etching Al covered Si

substrate in a solution containing HF, HNO3 and DI water. The arrived at

the conclusion due to the Al film, there is a dramatic increase in the rate

of pore formation on Si wafer. Following this study, Li and Bohn worked

on this method in 2000 using various noble metals like Au and Pd instead

of Al and examined how these metals reacted with HF, H2O2 and ethanol

solution [12]. They obtained rather sharper and straight pores within the

Figure 5. SEM cross section images of Si whiskers grown on a

<111> Si substrate at 0.5 Å/s for (a) 60 min and (b) 120 min growth

time at TS = 552 °C. (c) The sample (b) was tilted to get an

overview on the arrangement of the whiskers. Reprinted with

permission from [17].

Figure 6. Si nanopillars fabricated from mask patterned by (a) UV

lithography, (b) e-beam lithography. Reprinted with permission from

[64].

Page 5: Silicon nanowire heterostructures: growth strategies ... · Silicon nanowire heterostructures: growth strategies, novel properties and emerging applications ... the surface states

5

Science Advances Today Sci. Adv. Today 2 (2016) 25230

Scie

nce

Ad

van

ces

Tod

ay

Scien

ce Advan

ces Today

LOGNOR

www.lognor.com/scienceadvancestoday © LOGNOR. All rights reserved.

Si substrate. In 2002, Peng et al. widely investigated the MACE using

HF/AgNO3 solution where Ag coating and Si etching simultaneously took

place yielding a NW-like structure [26]. After 2000, MACE become the

most powerful tool for rapid fabrication of large area, vertical, ordered,

and single crystalline Si NW arrays due to its ease and low cost of

production. The mechanism of one-step MACE process (simultaneous

metal deposition and etching of Si) and the two-step MACE (pre-

deposited metal of Si wafer) has been explained by different groups [12,

24, 26, 35, 36, 67-70]. However, the shape and size, length, diameter,

density, crystallinity and the properties of the Si NWs strongly depend the

(a) nature of the noble metal, (b) shape and size of the noble metal and the

intermediate distance between them, (c) the etching solution and its

concentration, (d) the doping type, resistivity and the orientation of the Si

wafer, (e), temperature and (f) etching duration [12, 24, 26, 28, 34-36, 67,

69, 71, 72]. Our group has synthesized Si NW arrays by MACE using Ag,

Au and Ag/Au bilayer as noble metal catalyst, and AgNO3/HF and

H2O2/HF as etching solution [24, 67, 73, 74]. We have successfully tuned

the etching parameters such as Si wafer orientation, doping type, doping

level, etching solution concentration, size of noble metal catalyst and the

etching duration and studied the optical properties of the as grown and

surface passivated Si NWs [24, 67, 73, 74]. Figure 7(a) shows FESEM

cross-sectional micrographs of Si NWs grown by Ag assisted chemical

etching of Si (100) (1-10 Ω-cm) in H2O2/HF (1.422 M H2O2 and 4.6 M

HF) for 20 min at room temperature, and inset shows the corresponding

top view image. Figure 7(b) shows the FESEM cross-sectional image

grown in 0.015 M AgNO3 and 5.55 M HF for 1 hour at RT [24]. Figure

7(c) shows the TEM image of an individual Si NW. Figure 7(d) shows a

magnified view of the Si NW, and it is clear that the surface of the Si

NWs is rough due to the side wall etching of the NWs and as a result

arbitrarily shaped Si NCs are formed on the vertical Si NWs. Figure 7(e)

shows the HRTEM image of the surface of the Si NWs. The typical

dimension of a single Si NC is marked by a dashed line. Figure 7(f)

shows the corresponding inverse first Fourier transformed image of the

selected area of Figure 7(e). Figure 7(g) and (h) shows the selected area

electron diffraction pattern and EDX spectrum, respectively. The EDX

spectrum confirms the presence of SiOx layer on the top of the Si

NWs/NCs. It is clear from Figure 7(e) and 7(f) that the Si NCs are single

crystalline and the typical dimension of the Si NC is easily visualized

[73]. In the literature, self-assembling polymers and lithography are used

for patterning the noble metal on Si wafer to control the diameter of the Si

NWs and the spacing between them [69-71, 75, 76]. However, the MACE

grown Si NWs are decorated with arbitrary shaped Si NCs on its surface

due to its sidewall etching, which helps to enhance the optoelectronic

properties of the porous Si NWs arrays [24, 35, 68, 73]. Several review

articles have been published explaining the controlled synthesis, excellent

properties and the device application of MACE grown Si NWs [1, 5, 10,

31-36].

3. FABRICATION OF Si NW HETEROSTRUCTURES

Z. I. Alferov received the Nobel Prize in Physics in 2000 for his

discovery of “The double heterostructure: concept and its application in

physics, electronics and technology” and after that the fabrication of HS is

being intensely investigated in order to exploit the functional properties

arising from the junction of different materials [77]. NWs HSs provide

additional structural complexity and functionality which could

revolutionize the semiconductor industry as well as the fundamental

science. Using suitable external materials for the HS, one can modify the

properties of Si NWs according to the requirements. Although NWs HS

of different compound semiconductors such as, ZnO/CdS [78], ZnO/ZnS

[79], InGaAs/InP[80], InAs/GaAs [81], MoS2/WS2 [82], MnO2/Ag [83],

GaN/AlN [84], TiO2/Ag2O [85], ZnO/CuO [86], SnO2/CdS [87] etc. have

been studied, relatively less studies are reported on Si NWs HS,

Recently, there are reports on the fabrication of radial HS of Si NWs/NRs

using several organic/inorganic materials [74, 88-105]. These are

basically core–shell type NWs with very thin layer of shell. Axial HSs

Figure 7. FESEM cross-sectional image of the Si NWs grown by MACE in (a) H2O2/HF and (b) AgNO3/HF solution for 20 min and 60 min

respectively; inset of (a) shows the corresponding top view image. (c) TEM image of a single Si NW. (d) A magnified view of the Si NW, showing

rough surface of the NWs due to sidewall etching and confirming the presence of Si NCs on its surface. (e) The HRTEM lattice image of a single Si

NC and its shape are marked by dashed line. (f) HRTEM lattice image of the Si NCs; Si (111) NCs show a compressive strain with reduced

interplanar distance. (g) Corresponding selected area electron diffraction pattern of the Si NCs showing different planes of Si. (h) EDX spectrum of

the Si NW/NCs showing the SiOx layer on the surface of the Si NWs/NCs. Reprinted with permission from [73].

Page 6: Silicon nanowire heterostructures: growth strategies ... · Silicon nanowire heterostructures: growth strategies, novel properties and emerging applications ... the surface states

6

Science Advances Today Sci. Adv. Today 2 (2016) 25230 S

cien

ce A

dv

ance

s T

od

ay

LOGNOR

© LOGNOR. All rights reserved. www.lognor.com/scienceadvancestoday

along the length of the Si NWs axis have been reported for a variety of

systems [106-120]. Si NWs covered with dense and uniform ultrasmall

NPs (metals and other inorganic material based NPs) are another form of

NW HS [75, 96, 121-130]. Branched nanostructures on Si NWs are also a

very interesting HS and due to its huge aspect ratio these HSs are

attracting a lot of interest in photocatalysis and photovoltaics [65, 131-

141]. Selective doping of Si NW was carried out for creation of nano-

junctions by introducing the dopant in vertically grown single-crystalline

Si NWs and there are reports on the fabrication of homo-junction in the Si

NWs which can also be treated as Si NWs HS [44, 142, 143]. Figure 8

shows the schematic diagram of different kinds of Si NWs based HS. In

this section, we revisited the use of various strategies for the fabrication of

different types of Si NW HS. In most of the cases or perhaps all the cases,

ex-situ process has been used for the fabrication of the Si NW HSs.

3.1. Si NW Radial Heterostructures

Different inorganic/organic materials, mainly semiconductors

have been used to prepare very thin layer over the NWs for the fabrication

of the Si NW radial HSs. Several studies have been devoted to the effects

of overcoating of thin layers (1–30 Å) of inorganic material such as SiOx

[22], ZnO [96-100], Al2O3 [144, 145], TiO2 [95, 101-103], Fe2O3 [104],

SiNx [146], HfO2 [144], WO3 [147], Cu2O [148], CdS [91], CdSe [105],

ZnS [88], SnO2 [149], Ge [89, 90], etc. and organic material such as

PDEF [94], P3HT [93], PEDOT:PSS [92] etc. on Si NWs. Si NWs core

multi shell HS such as Si NW/SnO2/Fe2O3 [150], Si NW/Al2O3/TiO2

[151], Si NW/Ge/Si [90, 152], Si NW/ZnS/AZO [88], Si NW/ZnO/TiO2

[131], have also developed for enhancement of the device performance. Si

is very much reactive in air atmosphere and the Si NWs covered by the

native SiOx (0≤x≤2) layer forms Si NW/SiOx HS [22, 24, 67, 73, 74].

Figure 9(a) shows a typical diffraction contrast TEM image of a Si/SiOx

NW HS grown by PLD; crystalline material (the Si core) appears darker

than amorphous material (SiOx sheath) in this imaging mode. The inset

shows the convergent beam ED pattern recorded along the [211] zone axis

perpendicular to the nanowire growth axis.[22] The HRTEM image in

Figure 9(b) confirms the crystalline Si core and amorphous SiOx sheath.

The (111) planes (black arrows) (spacing, 0.31 nm) are oriented

perpendicular to the growth direction (white arrow) [22]. The SiOx layer

on the Si NWs sometimes play crucial role to modify the optoelectronic,

photovoltaic and mechanical properties of the bare Si NWs in presence of

different kinds of defects in the Si-SiOx interface. Si NW/TiO2 radial HS

arrays have been prepared by depositing a TiO2 layer on Si NW arrays by

CVD method by H. Yu et al. [101, 102]. The Si NWs were prepared by

MACE and the MACE grown Si NWs samples were put into a tubular

quartz reactor in a furnace and heated in an Ar flow (800 mL/min). When

the temperature reached 320 °C, titanium (IV) isopropoxide (TTIP) was

fed continuously into the tubular quartz reactor through a capillary for 5

min. During this process, the total weight of TTIP, which was fed into

tubular quartz reactor, is 105 mg. The as grown Si NW/TiO2 HS samples

were annealed in air at 400 °C for 5 min to convert TiO2 to a crystalline

phase. Figure 9(c) shows the SEM images of Si NW/TiO2 HS whereas (d)

shows the TEM image of Si NW with Si NW/TiO2 HS as an inset [102].

The length of the HS was ~20 m with core diameter ~200 nm and the

TiO2 shell thickness ~100 nm [101, 102]. S. G. Yenchalwar et al. used

solution process to form Si NW/TiO2 HS [103]. At first a solution of TiO2

is prepared by taking 0.5 ml of titanium isopropoxide in 5 ml of ethanol

and 0.2 ml of acetic acid. Then 0.5 ml of DI water is added drop wise with

sonication for the hydrolysis of titanium isopropoxide. Then the TiO2

solution was diluted by adding some amount of dilute HNO3. The MACE

grown Si NWs samples were deep coated in TiO2 solution for 10 times to

form TiO2 coating on Si NWs. After, complete drying the substrate was

annealed at 500°C for 1 hr. under ambient oxygen to form crystalline

TiO2 covered n-Si NWs HS [103]. Y. J. Hwang et al. used PLD technique

to deposit TiO2 on the Si NW arrays using TiCl4 and pure water as the

precursors [95]. Si NW/ZnO HSs have attracted a great deal of research

interest due to easy fabrication technique and superior optoelectronic

properties compared to bare Si or ZnO [97, 98, 153, 154]. Researchers

have deposited ZnO on Si NW by different techniques, like ALD [98-100,

154], CVD [96], solution synthesis, and RF sputtering [74, 97] to

fabricate Si NW/ZnO device prototypes. Our group has deposited ZnO

thin film by RF magnetron sputtering on MACE grown Si NWs. Figure

9(e) and (f) show the FESEM top-view and cross-sectional image of the

Si NW/ZnO core-shell HS. Figure 9(f) is showing the rough surface of a

Si NW due to ZnO coating on Si NWs [74]. L. Sun et al. have fabricated

Si NW/ZnO core-shell HS by coating ZnO using MOCVD method [96].

Diethyl zinc (DEZn) was used as the precursor material of Zn. Figure 9(g)

and (h) show the TEM and HRTEM images of the Si NW/ZnO core-shell

HS. In Figure 9(h) Si NW display their (111) plane, confirmed by the d-

spacing, of 0.31 nm, while the ZnO layer is composed of many particles

grown along the (100) direction with an evaluated d-spacing value, of

0.28 nm, indicating that the ZnO layer is fully packed onto the Si NWs

due to longer reaction periods [96]. Multilayer core-shell structures based

on Si NW core have also been studied extensively [88, 90, 131, 150, 151].

Katiyar et al. has grown Si NW/ZnS/AZO radial HS by PLD of ZnS and

Al doped ZnO (AZO) on MACE grown Si NWs [88]. Figure 9(i) and (j)

show the TEM images of KOH-treated Si NW and Si NW/ZnS/AZO

core-shell HS, respectively. The Si NW core diameter is ∼100 nm

whereas it is covered by ∼80 nm ZnS followed by ∼20 nm thick AZO

layers. Figure 9(k) depicts the magnified HRTEM image of the Si/ZnS

interface showing the single-crystalline Si core. Figure 9(l) shows the

SAED pattern from the Si/ZnS core-shell structure. The Si/ZnS core-shell

structure exhibits the diffraction rings owing to (111), (220), and (311)

planes of ZnS on the background of Si diffraction spots [88].

3.2. Si NW Axial Heterostructures

Parallel to core-shell HSs, 1D axial NW HSs, with well-defined

and controlled heterojunctions between different have recently become as

potential building blocks of particular interest in future high-performance

nano-optoelectronic and nanoelectronic devices. Based on Si NWs, both

straight and kinked axial HS with different materials have been studied.

Si/Ge axial HS have been grown by different groups [111-116]. Mullane

et al. has grown Si/Ge HS by VLS method using In and Sn catalyst [111].

Ben-Ishai et al. [112] used Au and Y. C. Chou et al. [113] have used Ag-

Au bimetal alloy for the growth of Si/Ge NW axial HS. Both straight and

kinked axial Si/Ge NWs HS were formed and Figure 10(a) shows the

crystallographic structure of straight axial Si/Ge NW HS [112]. The NW

diameter is ~35 nm. HRTEM and SAED pattern (Figure 10(b-d)) from

different parts of the Si/Ge NWs confirm the structure of Si and Ge

distinctly [112]. Y. Wu have grown block-by-block single-crystalline

Si/Ge and Si/SiGe superlattice axial HS by PLD/CVD process [109].

Hocevar et al. have studied growth and optical properties of axial hybrid

Si NW HS with III-V material [110]. The NWs are grown in a horizontal

Aixtron 200 MOVPE reactor with a total pressure of 25 mbar by the VLS

growth mechanism using Au particles. The growth temperature for GaP-

Si and GaP-Si-GaP NWs is set in between 480-610 C. GaAs insertions

are grown at temperatures in the range of 450-540 C. The precursor flow

rates are set to 210-4-210-3 mbar for trimethylgallium and 210-2-

1010-2 mbar for phosphine (PH3). While growing GaAs segments, the

AsH3 flow is 810-3 mbar. Figure 10(e) shows the SEM image of an array

Figure 8. Schematic diagram of different kinds of HSs based on Si

NWs.

Page 7: Silicon nanowire heterostructures: growth strategies ... · Silicon nanowire heterostructures: growth strategies, novel properties and emerging applications ... the surface states

7

Science Advances Today Sci. Adv. Today 2 (2016) 25230

Scie

nce

Ad

van

ces

Tod

ay

Scien

ce Advan

ces Today

LOGNOR

www.lognor.com/scienceadvancestoday © LOGNOR. All rights reserved.

Figure 9. (a) Diffraction contrast TEM image of a Si/SiOx NW HS; crystalline material (the Si core) appears darker than amorphous material (SiOx

sheath) in this imaging mode. Scale bar, 10 nm. (Inset) Convergent beam ED pattern recorded along the [211] zone axis perpendicular to the

nanowire growth axis. (b) HRTEM image of the crystalline Si core and amorphous SiOx sheath. The (111) planes (black arrows) (spacing, 0.31 nm)

are oriented perpendicular to the growth direction (white arrow). Reprinted with permission from [22]. (c) SEM images of Si NW/TiO2 HS and (d)

TEM image of Si NW. The inset shows the Si NW/TiO2 HS. Reprinted with permission from [102]. (e) FESEM cross-sectional image and (f) TEM

image of the Si NW/ZnO core-shell HS showing the rough surface of a Si NW due to ZnO coating on Si NWs. Reprinted with permission from

[74]. TEM and HRTEM image of (g, h) a Si NW/ZnO core-shell HS. Reprinted with permission from [96]. TEM images of (i) KOH-treated Si NW,

(j) Si NW/ZnS/AZO core-shell HS showing ∼100 nm Si core, ∼80 nm ZnS, and ∼20 nm thick AZO layers. (k) Magnified HRTEM image of the

Si/ZnS interface showing the single-crystalline Si core. (l) SAED pattern from the Si/ZnS core-shell structure. Reprinted with permission from [88].

Figure 10. (a) Low-resolution TEM image of a representative Ge-Si HS NW with diameter of ∼35 nm. Scale bar is 200 nm. The bright and the dark

portions are Si and Ge NWs, respectively. The yellow, red, and the light blue rectangles highlight the regions where the high-resolution images were

recorded. Inset: Ge-Si HS NW with higher diameter of 60 nm. Scale bar is 100 nm. (b-d) Lattice-resolved TEM images from regions I, II, and III

and its corresponding 2D Fourier transforms (insets). Scale bars are (b, c) 5 nm and (d) 2 nm (right image). The arrows denote the growth direction.

(c) Upper inset: lower magnification of the corresponding sample. Scale bar is 5 nm. Reprinted with permission from [112]. (e) SEM image of an

array of 60 nm-diameter GaP-Si-GaP nanowires with GaP, Si and GaP segment lengths of 180, 150 and 270 nm, respectively. Tilt angle = 80̊, scale

bar, 1 µm. (f) Triple GaP-Si HS (tilt angle = 80̊) with diameters of 33, 46 and 60 nm (left to right), scale bar 500 nm. (g) SEM picture of an array of

GaP-Si-GaP-GaAs-GaP-Si (hybrid Si/GaAs) nanowires. Tilt angle = 45̊, scale bar, 1 µm. (h) HRTEM picture of a Si-GaP transition, scale bar, 5 nm.

Reprinted with permission from [110].

Page 8: Silicon nanowire heterostructures: growth strategies ... · Silicon nanowire heterostructures: growth strategies, novel properties and emerging applications ... the surface states

8

Science Advances Today Sci. Adv. Today 2 (2016) 25230 S

cien

ce A

dv

ance

s T

od

ay

LOGNOR

© LOGNOR. All rights reserved. www.lognor.com/scienceadvancestoday

of 60 nm diameter GaP-Si-GaP nanowires with GaP, Si and GaP segment

lengths of 180, 150 and 270 nm, respectively, while Figure 10(f) shows

triple GaP-Si HS (tilt angle = 80) with diameters of 33, 46 and 60 nm

(left to right). Figure 10(g) shows SEM picture of an array of GaP-Si-

GaP-GaAs-GaP-Si (hybrid Si/GaAs) nanowires with tilt angle = 45.

Figure 10(h) shows the HRTEM picture of a Si-GaP transition [110]. J.

Kim et al. fabricated wafer-scale Si NWs/graphene HS for molecular

sensing. Single layer graphene has been fabricated by CVD and then

transferred on vertically aligned and high-density Si NWs grown by

MACE [117]. Other axial Si NWs based HSs such as, Si/CdS [106],

MnSi[107], Si/In [155] and Si/InAs [108] etc. have also studied for

different device applications. Parallel to these axial NWs HSs, side-to-

side Si-ZnS [118], Si-ZnS e[118], Si-ZnO [120], Si-CdSe [119] biaxial

NWs, and sandwich like ZnS-Si-ZnS [118], ZnO-Si-ZnO [120] triaxial

NWs HS have been studied. Figure 11(a, b) shows the TEM images of

side-to-side Si-ZnS biaxial and sandwich like ZnS-Si-ZnS triaxial NWs,

respectively while (c, d) shows the corresponding tip-end [118]. The

universal requirement of axial HS for device applications is straight NW

structures but kinking is a common problem for the growth of Si NWs

axial HS.

3.3. Si NW Hierarchical/ Branched Heterostructures

Compared with 0D NPs and 1D NWs, 3D branched HS

represent an additional dimension for increasing structural complexity and

potentiality enabling greater functions, and have been demonstrated for

different nanostructured material. The essence of this idea is the control

over the density and size of the nanoscale braches on the Si NWs

backbone, which ultimately enables the rational design of building blocks.

A variety of 3D branched Si NW HSs have developed to utilize their

distinct properties into their emerging applications in various devices such

as Si/ZnO [131, 139-141, 156], Si/TiO2 [65, 133-135], Si/InGaN [132],

Si/Au[136], Si/Ge [136], Si/GaAs [136], Si/GaP [136], Si/CdS [136],

Si/InP [136], Si/GaN [137], and Si/SiO2 [138] etc. Branched Si NW/TiO2

HS has been studied extensively for improved optoelectronic properties of

the system [65, 133-135]. By growing TiO2 NRs uniformly on dense Si

NW array backbones, J. Shi et al. demonstrated a novel 3D high density

heterogeneous NW architecture that could enhance the

photoelectrochemical efficiency [65]. Si NW backbones were first

fabricated by deep reactive ion etching (RIE) technique using a self-

assembled nanosphere monolayer as mask. The typical size of as-

fabricated Si NWs was ∼300 nm in diameter, ∼15 μm long, and ∼200 nm

apart from each other. Uniform TiO2 NRs were deposited on the entire Si

NW surface by SPCVD process. The NRs exhibited uniform dimensions

that are 355 nm in diameter and 25237 nm in length [65]. In Figure

12(a), FESEM cross section of middle portion of Si/TiO2 NWs branched

HS arrays shows the dense and uniform coating of TiO2 NRs along the

entire NW length and in 12(b), top view of Si NWs shows uniform

covering with high-density TiO2 NRs that grew laterally out of the side

surfaces [65]. Kargar et al. have grown Si NW/ZnO branched HS by

hydrothermal method using ∼45 nm seed layer of ZnO [131]. However,

its actual thickness on Si NW cores was smaller. The average ZnO NW

lengths are ∼90 nm, ∼200 nm and ∼140 nm for 10 and 20 min etching

times, respectively, whereas the Si NWs core diameter was ~280 nm and

length ~1 µm. Figure 12(c) cross-section and (d) top view SEM images of

3D Si/ZnO NW branched HS show the ZnO NW branches on Si NW

cores with 10 min RIE [131]. Some reports have demonstrated the

superiority of Si NW/ZnO branched HSs for device applications [131,

139-141]. Hwang grew complex material InGaN NWs vertically on the

sidewalls of Si wires and acted as a high surface area photoanode for solar

water splitting [132]. Single-phase InGaN nanowires with homogeneous

composition were grown on Si NWs arrays in a three zone halide CVD

furnace. GaCl3, InCl3 and NH3 were used as III/V precursors with N2 as a

carrier gas. Figure 12(e) shows the tilted (45°) SEM images of

hierarchical Si/InxGa1‑xN nanowire arrays on Si (111) substrate with x =

0.08-0.1. A fractured wire reveals the cross section 12(f) showing that

InGaN nanowires grow vertically from the six Si wire facets [132]. X.

Jiang described extensive studies that extend in a substantial manner the

synthesis of branched Si NW HS (including metal such as Au, and IV, III-

V and II-VI semiconductors such as Ge, GaAs, GaP, InP, InAs, ZnS,

ZnSe, CdS, and CdSe) and significantly, these HSs possess well defined

electrical and optoelectronic junction properties, including the

demonstration of addressable nanoscale LED, logic circuits, and

biological sensors [136]. They also studied the NWs branches on Si/SiO2

core/shell HS. Si NW backbones were synthesized using nanocluster

catalyzed CVD method Si/SiO2 core-shell NWs were prepared by

oxidation of Si NWs in pure O2. Au branched NWs were then grown by

immersing the respective substrates with dispersed NWs in a solution

containing HAuCl4. Ge branches were grown by CVD and the growth of

other III-V and II-IV branches was achieved by thermal evaporation and

vapor transport method. Figure 12 shows the SEM images of (g) Si/Au,

(h) Si/Ge, (i) Si/GaAs, and (j) Si/GaP branched NWs HS. Figure 12

shows the TEM images of (k) Si/CdS, (l) Si/Au, (m) Si/Ge, (n) Si/GaAs,

and (o) Si/InP branched NWs HSs. The junctions of the HS are clearly

visible from the respective TEM images [136]. People also have

synthesized other branched and hyperbranched NW structures via a

multistep nanocluster catalyzed VLS approach [137, 138]. Figure 12(p)

shows the SEM images of branched Si/GaN NW HS prepared following

deposition of 0.1 M Ni catalyst precursor solution [137]. Figure 12(q-t)

shows the typical TEM images of Si/SiO2 hierarchical HS grown by Au

catalyst based CVD process; (q) low-magnification view; (r, s) the tip

terminating with a Sn ball; and (t) a flat plate-like tip-end after the Sn ball

dispatch [138].

3.4. NPs Decorated Si NW Heterostructures

Decoration of metal and other semiconductor NPs has been

carried out mainly for the improvement of the sensing, photocatalytic,

luminescence and photovoltaic properties. Sun et al. deposited ZnO NPs

on MACE grown Si NWs by typical metal-organic CVD process [96].

The reaction chamber was evacuated to 510-3 Pa by a molecular pump.

While maintaining the pressure level, 15 sccm DEZn and 5 sccm O2 were

blown into the chamber at the same time under the condition that the

sample is heated to 550 C at the rate of 20 C/min in advance. The

sample is cooled to room temperature followed by reaction for 10 min.

Elliptical ZnO QDs were formed on the surface of Si NWs with 6-10 nm

Figure 11. (a, b) TEM images of side-to-side Si-ZnS biaxial and

sandwich like ZnS-Si-ZnS triaxial NWs, respectively. (c, d) The tip-

end characteristics of the side-to-side and sandwich like NWs,

respectively. Scale bars in (a-d) are 100 nm. Reprinted with

permission from [118].

Page 9: Silicon nanowire heterostructures: growth strategies ... · Silicon nanowire heterostructures: growth strategies, novel properties and emerging applications ... the surface states

9

Science Advances Today Sci. Adv. Today 2 (2016) 25230

Scie

nce

Ad

van

ces

Tod

ay

Scien

ce Advan

ces Today

LOGNOR

www.lognor.com/scienceadvancestoday © LOGNOR. All rights reserved.

in diameter [96]. Xie et al. reported a Si NW array/carbon quantum dot

(CQD) core/shell HS photovoltaic device by directly coating CQDs on

MACE grown Si NWs [75]. The CQDs used in this work were

synthesized by an electrochemical etching method and decorated on Si

NWs by spin coating. Pt NP decorated Si NW based photoelectrochemical

solar cell was fabricated by Peng et al. [121] Si NWs were grown by

MACE and before PT NPs coating the Si NWs were dipped in 50:1 HF

solution to remove oxide layer [121]. Then the n-type Si NWs samples

were immediately immersed into a solution containing H2PtCl6 (0.001M)

to decorate Si NW surface with Pt NPs. The average diameter of the Pt

NPs was ~5 nm [121]. Yenchalwar et al. have fabricated Au NPs

decorated Si NW/TiO2 HS by chemical process [103]. The TiO2 coated Si

NWs samples were soaked in Au salt solution (1 mM HAuCl4) for 30

minutes and dried under IR lamp. These samples were then annealed at

400 °C (10 minutes) for the Au NPs formation. Z. Song et al. fabricated

Rh NPs decorated mesoporous Si NW HS for H2O2 detection with high

selectivity [122]. 90 μL of 1 wt% RhCl3 was added to the as-prepared Si

NWs solution (adding 45, 90 and 135 μL of the RhCl3 solution resulted in

Rh NP decorated Si NW with Rh NP loading of 3.7, 7.4 and 11.1 μg/mm2,

respectively). Then excess amount of 0.1 wt% NaBH4 solution was added

to the solution slowly at 4 °C during stirring in order to prepare Rh NP

decorated Si NW HS [122]. Ag NPs decorated Si NW HS were fabricated

by thermal evaporation of Ag on MACE grown Si NWs [123] (Figure

13(a, b)) and chemical process by dipping the CVD grown Si NWs in a

solution containing AgNO3 (0.001 M)/HF (0.26 M) for 60 s ((Figure 13(c,

d))) [13]. Different metal NPs decorated Si NWs HS systems were

fabricated due to their SPR modified optoelectronic properties, excellent

photocatalytic nature under visible light illumination and outstanding

SERS detection. In most of the cases, these metal NPs were loaded on Si

NWs surface by electroless deposition technique using chemical solution.

However, bimetal NPs decorated Si NWs system showed superior

properties the same in case of single metal NPs decoration. Here is a list

of metal NPs decorated (Table 1) Si NWs HS and their synthesis process.

Figure 12. TiO2 NRs grown on vertical Si NW arrays fabricated by dry etching. (a) FESEM Cross sectional image of middle portion of Si/TiO2

NWs branched HS arrays, showing dense and uniform coating of TiO2 NRs along the entire NW length. (b) Top view of Si NWs uniformly covered

with high-density TiO2 NRs that grew laterally out of the side surfaces. The lengths of Si NWs were ∼15 μm. Reprinted with permission from

[65].SEM (c) cross-section and (d) top view images of 3D Si/ZnO NW branched HS with ZnO NW branches on Si NW cores with 10 min RIE.

Reprinted with permission from [133]. (e) Tilted (45°) SEM images of hierarchical Si/InxGa1‑xN nanowire arrays on Si (111) substrate with x = 0.08-

0.1. A fractured wire reveals the cross section (f) showing that InGaN nanowires grow vertically from the six Si wire facets. Reprinted with

permission from [132]. SEM images of (g) Si/Au, (h) Si/Ge, (i) Si/GaAs, and (j) Si/GaP branched NWs HS. TEM images of (k) Si/CdS, (l) Si/Au,

(m) Si/Ge, (n) Si/GaAs, and (o) Si/InP branched NWs HS. Reprinted with permission from [136]. (p) SEM images of branched Si/GaN NW HS

prepared following deposition of 0.1 M Ni catalyst precursor solution. Reprinted with permission from [137]. (q-t) Typical TEM images of Si/SiO2

heirarchical HS. (q) Low-magnification view; (r, s) the tip terminating with a Sn ball; and (t) a flat plate-like tip-end after the Sn ball dispatch.

Reprinted with permission from [138].

Figure 13. SEM images: evaporated Ag on CVD grown Si NWs, (a)

before and (b) after annealing. Reprinted with permission from

[123]. (c) Si NWs/Ag NPs interface; (d) a single silicon nanowire

decorated with Ag NPs; (A, B) high-magnification images on the

upper and lower parts of a Si NW/Ag NPs, respectively. Reprinted

with permission from [13].

Page 10: Silicon nanowire heterostructures: growth strategies ... · Silicon nanowire heterostructures: growth strategies, novel properties and emerging applications ... the surface states

10

Science Advances Today Sci. Adv. Today 2 (2016) 25230 S

cien

ce A

dv

ance

s T

od

ay

LOGNOR

© LOGNOR. All rights reserved. www.lognor.com/scienceadvancestoday

Sometimes the NPs are coated on Si NW radial and branched HS for

improving the device properties. Sudhagar fabricated high open circuit

voltage QD sensitized solar cells exploring new electrode architectures

[130]. The semiconductor NPS CdS and CdSe were sensitized directly on

Si NW/ZnO branched HS by solution process [130].

4. NOVEL PROPERTIES & EMERGING APPLICATIONS

Recently, semiconductor nanostructures mainly NWs and NRs

and their HSs have drawn a lot of research interest because of their unique

physical properties, which are interesting from the view point of different

device applications. However, due to their high density of electronic state,

diameter dependent band gap, enhanced surface scattering of electrons

and phonons, increased exciton binding energy and high aspect ratio,

NWs exhibit unique electrical, magnetic, optical, thermoelectric and

chemical properties as compared to their bulk parent counterparts. NW

HSs provide additional structural complexity and functionality and by

choosing suitable external materials for the HSs, one can modify the

properties of Si NWs according to the requirements in device

applications. In this section, we will briefly demonstrate some interesting

properties of Si NWs and their improvements strategies by incorporating

suitable materials to form HSs and their device applications.

4.1. Light Emitting Properties

Despite the indirect bandgap of bulk Si, Si nanostructures emit

light with tunable wavelength depending on size, doping and surface

conditions. As a result, Si NW based LEDs have been studied. Efficient

visible-NIR PL has been observed at RT from Si NWs and porous Si

NWs [24, 73, 157-165]. However, the mechanism of PL from Si NWs is

often debated. While several studies emphasize the effect on the visible

PL from Si NWs of the quantum confinement (QC) effect on the carrier, a

strong influence of defects in the PL has been recognized in other studies

[24, 73, 157, 158, 163]. Si NWs are covered with a native oxide layer, and

a non-bridging oxygen hole center (NBOHC) and oxygen vacancy (VO)

within an oxide matrix in a core-shell Si/SiOx nanostructure are also

found to be responsible for the visible-NIR PL at RT [24, 159, 162, 164,

165]. Phonon assisted radiative recombination has also been proposed as a

powerful mechanism of NIR PL in core-shell Si/SiOx HS [160, 161]. In

recent years, Si NW based hybrid LEDs promise improvement in the PL

and electroluminescence (EL), compared to the bare Si NW LED or other

hybrid LED [88, 166-169]. PL and EL properties of Si NW/ZnO HS have

been studied extensively in the last decade [74, 96, 166-168, 170]. In most

of the cases, n-type ZnO is deposited on p-type Si NWs/NRs to form

core-shell structure or NCs decorated p-n junction hybrid LED. Enhanced

visible PL emission was observed by Chang et al. by depositing thin layer

of ZnO by ALD process on CVD grown Si NWs [168]. Both the

increased surface area resulting from the enhanced structural aspect ratio

and the antireflective characteristics inherent to the NWs structure were

believed to be responsible for the orders of magnitude enhancement in

emission intensity [168]. Ghosh et al. have grown Si NWs/ ZnO core-

shell structure by sputter-deposited ZnO on MACE grown Si NWs and

reported that PL spectra of HS was red-shifted with quenching in intensity

compared to the PL spectra of bare Si NWs [74]. This phenomenon was

explained by Forster type resonant energy transfer (FRET) from the

defect induced energylevels in ZnO to the band edge of Si in the close

proximity. FRET efficiency depends on the overlap between the ZnO

(donor) emission and Si NCs (acceptor) absorption energy and the

distance between the energy donor and acceptor (<10 nm (resonant

distance)) [74]. Note that the MACE grown Si NWs are decorated with

ultra-small Si NCs. Figure 14(a) shows a comparison of the broad visible-

NIR PL from the Si NWs/NCs before and after the deposition of the ZnO

overlayer. For comparison, PL spectrum of the as-grown ZnO film on a

clean Si wafer is also included. Figure 14(b) and (c, d) illustrates a

schematic of the HS, the energy band diagram of the Si-SiOx-ZnO HS and

the pathway for the proposed energy transfer from the ZnO to the Si NCs

[74]. It was believed that due to the ultrathin intermediate dielectric SiOx

layer, the energy is transferred by the FRET process from the defect

assisted recombination of the carries in the ZnO shell that excites the Si

NCs on Si NWs core close to the defects and the subsequent de-excitation

Table 1. Summary of the different metal NPs decorated Si NW HSs reported in the literature.

HS System NPs Decoration Process References

Si NW/Ag Chemical [13, 14, 61, 124, 125, 174, 176, 199]

Si NW/Ag Thermal Evaporation [123, 126, 127]

Si NW/Au Chemical [14, 124, 128, 176]

Si NW/Au Thermal Evaporation [126, 129]

Si NW/Pt Chemical [14, 124, 128]

Si NW/Pd Chemical [14, 124, 128, 176]

Si NW/Co Chemical [124]

Si NW/Cu Chemical [174, 176, 219]

Si NW/Rh Chemical [122]

Si NW/Pd-Ni Chemical [176]

Si NW/Au-Pd Chemical [176]

Figure 14. (a) Comparison of the PL spectra with 405 nm laser

excitation for samples P and Q (Si NWs) before and after ZnO

coating; spectrum from ZnO film on Si substrate is shown for

comparison. (b) Schematic of the hybrid Si/ZnO HS. The structure

consists of Si NCs decorated Si NW, coated with ZnO. There is a

thin layer of SiOx in the fence of Si. (c) Band diagram of the sample

Si/ZnO in the interface of Si NC-SiOx-ZnO immediately after

illumination. (d) The magnified view of a portion of (c). Reprinted

with permission from [74].

Page 11: Silicon nanowire heterostructures: growth strategies ... · Silicon nanowire heterostructures: growth strategies, novel properties and emerging applications ... the surface states

11

Science Advances Today Sci. Adv. Today 2 (2016) 25230

Scie

nce

Ad

van

ces

Tod

ay

Scien

ce Advan

ces Today

LOGNOR

www.lognor.com/scienceadvancestoday © LOGNOR. All rights reserved.

process gives rise to red shifted visible PL emission via radiative

recombination. Both the PL intensity and the PL decay time of the Si

NCs/NWs were reduced in presence of the ZnO layer that promote

efficient energy transfer [74]. Sun et al. have grown Si NW/ZnO core-

shell and ZnO QDs coated Si NWs by CVD and showed that in presence

of ZnO the PL spectra of the HS covered the entire visible region (400-

800 nm), which is important for the fabrication of white light LED [96].

Si nanotip/ZnO and nanoporous Si NW/ZnO p-n junction LEDs were

studied by means of EL [166, 170]. Room temperature EL was observed

under a broad range of applied voltage as shown in Figure 15. No EL was

detected under reverse bias. The EL signal becomes detectable when a

forward direct current (dc) bias of 5 V is applied across the device with

Au as cathode and Ag as anode. The emission stability could last about 7-

8 min persistently when applying a forward bias of 15 V [166]. This

indicates that Si/ZnO HS NWs could be a potential light source for future

solid-state white LED devices [166, 170]. Enhanced PL and photoactivity

was observed from plasmon sensitized n-Si NWs/TiO2 HSs [103]. The

synergistic effect between TiO2 emission and Au SPR involvement were

responsible for the enhanced photoactivity in the HS [103].

Solid-state white light sources are in great demand for future

day-to-day lighting applications. Si NWs based broadband white LEDs

have been successfully demonstrated [88, 166, 169]. Moon et al. have

demonstrated white light LED based on surface-oxidized porous Si NWs

arrays and amorphous In-Ga-ZnO capping [169]. Katiyar et al. have

fabricated Si/ZnS radial NW HS arrays for white LED on Si substrate

[88]. Figure 16(a) shows the schematic diagram illustrating coaxial Si

NW/ZnS/AZO radial HS LED device [88]. A white light emission could

be directly observed by the naked eye when sufficient forward bias was

applied across the device with AZO as the cathode and Al as the anode.

The EL spectra of Si/ZnS radial heterojunction arrays at different applied

bias in the range of 2-13 V are shown in Figure 16(b) [88]. The

mechanism of visible light emission through radiative recombination of

charge carriers injected in the Si NWs/ZnS radial heterojunction was

explained using a energy band diagram under zero and forward bias, as

shown in Figure 16(c-d), respectively.[88] The LED performance was

excellent within a broad range of temperature (10-400K) [88]. In recent

years, researchers have concentrated their attention in fabricating Si NWs

based LED heterostructured with different plasmonic material, group IV,

II-VI and III-V semiconductors, organic semiconcuters etc.

4.2. Antireflective Properties

Aligned Si NWs exhibit excellent antireflection properties over

a broad range of wavelength, which is highly beneficial for photovoltaic

application. Low reflectivity implies higher absorption and excitation of

carriers, finally leads to enhanced radiative recombination in the form of

enhanced PL Si NWs. MACE grown Si NWs show higher antireflective

properties as compared to the Si NWs grown by other techniques. Due to

multiple reflections on the inner surface of the vertical Si NWs array and

a broad range of size distribution of the Si NCs on the surface of Si NWs,

the absorption is significantly high in the case of MACE grown Si NWs

over the entire range of wavelength. A refractive index gradient from the

top to the bottom of the Si NWs is also be the possible reason for the

ultra-low reflectivity [151]. Variety of materials has been used to increase

the light trapping capability for generating free carriers to achieve high

efficiency solar cell. G. Fan showed that the Si NW/graphene heterojunction

exhibited enhanced light trapping and faster carrier transport compared to

the graphene on planar Si which could lead to the higher solar cell

performance of the n-Si NW/graphene solar cell [171]. Figure 17 shows

the schematics of (a) graphene/planar Si and (b) graphene/Si NW

junctions. Figure 17(c) shows the comparison of the reflection spectra of

planar Si, Si NWs, graphene/Si, and graphene/Si NWs [171]. Very

recently W. C. Wang et al. fabricated solar cells composed of Si NWs

arrays and an Al2O3/TiO2 dual-layer passivation stack on the n+ emitter

and got 20% efficiency due to the highly antireflective coating of

Al2O3/TiO2 [151]. Figure 17(d) shows the TEM images of the Si NWs

covered with the Al2O3/TiO2 dual-layer passivation stack. Figure 17(e)

shows the total reflectance for the Si NWs samples covered with Al2O3,

TiO2, and the Al2O3/TiO2 dual-layer passivation stack. Compared to the

pristine Si NWs, it can be seen that the total reflectance is reduced by the

individual Al2O3 and TiO2 passivation layers with the reflectance ranging

from 1.5 to 2.5% between 400 and 700 nm. This enhanced reduction in

the total reflectance was attributed to the refractive index gradient caused

by the insertion of a low refractive index layer between Si NWs and air

[151]. J. Y. Jung et al. introduced a ZnSe QD layer over Si NW solar cells

and showed that it considerably enhanced external quantum efficiency

(EQE) over broadband wavelengths due to the superior light trapping

[172]. Figure 17(f) shows the comparison of the reflectance of Si NWs of

different length before and after incorporation of ZnSe QD. The insertion

of ZnSe QDs on the Si NW solar cell significantly reduces Fresnel

reflection at the silicon/air interface because of the refractive index

Figure 15. EL spectra of Si NW/ZnO HS under forward bias at 5,

10, 15, and 20 V at RT. Reprinted with permission from [166].

Figure 16. (a) Schematic diagram illustrating coaxial Si

NW/ZnS/AZO radial HS LED. (b) Room-temperature EL spectra of

Si NWs/ZnS radial HS arrays under different forward bias. Energy

band diagram of the Si NWs/ZnS core-shell heterojunction under (c)

zero applied bias (d) different forward bias condition. Reprinted with

permission from [88].

Page 12: Silicon nanowire heterostructures: growth strategies ... · Silicon nanowire heterostructures: growth strategies, novel properties and emerging applications ... the surface states

12

Science Advances Today Sci. Adv. Today 2 (2016) 25230 S

cien

ce A

dv

ance

s T

od

ay

LOGNOR

© LOGNOR. All rights reserved. www.lognor.com/scienceadvancestoday

mismatch. Figure 17(g) shows that the absorption enhancement is more in

case of 250 nm length Si NWs compared to the 500 nm Si NWs and as

result they got highest cell efficiency for 250 nm length Si NWs, though

the bare Si NWs solar cell shows the opposite trends [172]. The

enhancement in absorption in Si NWs/ZnSe QD significantly enhanced

the photodetection and photocatalytic performances of the Si NWs also

[173]. Metal NPs coated Si NWs show enhanced absorption due to the

SPR effect which is beneficial for the enhancement of photovoltaic,

photoresponse and photocatalytic activity of the Si NWs and its HSs [103,

123, 127]. Though sometimes due to the overcoating the light trapping

capability for generating free carriers is reduced slightly but effective

carrier separation and collection are enhanced which could enhance the

solar cell performance.

4.3. Photocatalytic Properties

Photocatalysis has attracted much interest because of its

potential application in clean energy sources and to degrade organic

pollutants from water. Mainly wide bandgap semiconductors (TiO2) are

commonly used as photocatalysts because of their wide ranging band gaps

and stability towards chemical and photochemical corrosion. Si NW is an

ecofriendly, photostable, inexpensive and nontoxic material and is able to

utilize near UV, visible and NIR light for the degradation of organic

pollutants [128, 174-176]. High aspect ratio, Si NCs decorated Si NWs

with Si-H terminated surface grown by MACE have shown excellent

photocatalytic nature under visible light illumination [14, 174, 175]. In

comparison with the PEC process, direct photocatalysis is a simpler and

less expensive approach for H2 production, as it eliminates the need for a

conducting substrate and application of bias, despite its lower efficiency

of charge separation. Liu et al. have produced H2 by simple pure water-

splitting by Si NWs grown by MACE under light illumination [175].

From the apparent quantum efficiency (AQE) measurements, solar to

chemical conversion efficiency of Si NWs was found comparable to those

of many other visible light photocatalysts [175].

Metal NPs decorated Si NW HSs are studied extensively for the

photodegradation of organic pollutants, such as methylene blue (MB),

methyl red (MR), methyl orange (MO), phenol, rhodamine 6G (R6G),

benzyl alcohol, rhodamine B (RhB) etc. The main advantages of using

noble metals with Si NWs as photocatalyst are: (i) the high work function

of noble metals (Pt, Pd, Au, Ag, etc.) facilitates the electron transfer from

Si NWs to noble metal in the Schottky junction, which significantly

reduces the recombination of photogenerated e-h pairs; (ii) higher

absorption due to the SPR effect of noble metals. Shao et al. have studied

the photodegradation of RhB by Si NWs modified with Au, Ag, Pd, Pt,

and Rh [14]. They showed that the H-Si NWs are excellent photocatalyst,

though not as good as Pt modified ones because of its highest work

function (5.65 eV) [14]. Figure 18(a, b) shows the schematic of the e-h

generation in H-Si NW and metal-semiconductor photocatalyst under

light illumination, while Figure 18(c) shows the degradation of RhB by

various metal modified Si NW catalysts as a function of time [14].

Megouda et al. showed that when the H-Si NWs were loaded with Cu

NPs, the photocatalytic activity was significantly enhanced [174]. A lot of

research articles have been published for understanding the photocatalytic

properties of the Si NWs decorated with different plasmonic metals [128,

174, 176]. F. Liao et al. studied the effect of Au-Pd bimetal and Pd-Ni

bimetal decoration on Si NWs to degrade p-nitroaniline [176]. The

bimetal decorated Si NWs shows the higher degradation rate as compared

to the bare Si NWs or the single metal decorated Si NWs [176]. C. Y.

Chen has demonstrated the Si NWs/TiO2 microparticle combined

photocatalysts, which can respond to both UV and visible light more

efficiently than conventionally used TiO2 for the degradation of RB5 azo

dyes [177].

3D branched Si NWs/NRs HS realized with radial ZnO NWs on

Si NRs surface have been fabricated by Song et al. and the photocatalytic

properties of the 3D hybrid structure was studied [178]. Figure 18(d)

shows a schematic representation of the 3D Si/ZnO NWs HS [178]. It was

demonstrated that the branched structures improved the light harvesting

ability due to an increased optical path by multi-scattering at its enlarged

contact area with the sample solution. The catalytic effect in degradation

of methyl red of the 3D Si/ZnO NWs HS were higher than the ZnO NWs

on flat Si substrates, as shown in Figure 18(e) [178].

Si NWs HS are also used as photocathode and photoanode in

photoelectrocatalysis and it shows excellent photoelectrochemical activity

for the degradation of organic pollutants and water splitting under UV,

visible-NIR light illumination. Branched NW HSs such as Si /TiO2[65,

134], Si/ZnO[141], Si/InGaN[132], core shell HSs such as Si/Fe2O3[104],

Si/TiO2[101, 102], p-Si/SnO2/Fe2O3[150], Si/TiO2/ZnIn2S4[179] have

shown excellent photoelectrocatalytic activity under visible illumination.

Figure 17. Schematics of (a) graphene/Si (G/planar Si) and (b) graphene/Si NWs (G/SiNW) junctions. (c) Reflection spectra of planar Si, Si NWs,

G/Si, and G/SiNWs. Reprinted with permission from [171]. (d) TEM image of the Si NWs covered with the Al2O3/TiO2 dual-layer passivation stack.

(e) Total reflectance for the Si NWs samples covered with Al2O3, TiO2, and the Al2O3/TiO2 dual-layer passivation stack. Reprinted with permission

from [151]. (f) Optical reflectance spectra of various Si NW solar cells; short Si NWs (250 nm), short Si NWs (250 nm) with ZnSe QDs, long Si

NWs (500 nm), and long Si NWs (500 nm) with QDs. (g) Absorption enhancement of Si NW solar cells with ZnSe QDs; 250 nm (red) and 500 nm

(blue) Si NW array. Reprinted with permission from [172].

Page 13: Silicon nanowire heterostructures: growth strategies ... · Silicon nanowire heterostructures: growth strategies, novel properties and emerging applications ... the surface states

13

Science Advances Today Sci. Adv. Today 2 (2016) 25230

Scie

nce

Ad

van

ces

Tod

ay

Scien

ce Advan

ces Today

LOGNOR

www.lognor.com/scienceadvancestoday © LOGNOR. All rights reserved.

4.4. Photovoltaic Properties

The Si NWs are extremely versatile and compatible to create

homo and heterojunction with different material and these HS are

promising candidates to convert photons to charges efficiently. The key

steps involved in solar cells are photon absorption, exciton transport,

exciton dissociation/charge separation, and charge collection. Recently,

Yuan et al. also emphasized that beside morphology, growth chemistry

also play significant role for the performance of Si NWs based solar cell

and importantly MACE grown Si NWs have shown superior photovoltaic

properties over the NWs grown by any other conventional method [180].

In recent years, researchers have concentrated their attention considerably

in choosing appropriate material to form HS with MACE grown Si NWs

in order to improve the photovoltaic properties. Table 2 summarizes the

recent progress in Si NWs based solar cell and its efficiency in terms of

growth methodology of Si NWs, HSs with different suitable materials and

HSs type. However, there are three types of Si NWs HS solar cells: (a) p-

n homojunction [44, 142, 143, 181, 182], (b) Schottky junction [121, 183-

185] and (c) p-n heterojucntion [75, 92-94, 146, 151, 156, 186, 187].

Figure 18. Schematic of the e-h generation in (a) H-Si NW and (b) metal-semiconductor photocatalyst. The mechanisms involved: (left) Ray

promotes the formation of the electron and hole; (middle) the electron transfer to hydrogen atom on the surface; (right) hole is used in the formation

of the OH* groups promoting oxidizing processes. (c) Degradation of RhB under various Si NW catalysts at different times (days). Reprinted with

permission from [14]. (d) Schematic Diagram of the 3D radial Si NW/ZnO arrays. (e) Comparison of the photodegradation of MR by 3D branched

HSs and the same for the ZnO NWs on flat Si. Reprinted with permission from [178].

Table 2. Summary of the Si NW HSs based solar cells describing the Si NWs fabrication process, heterostructured material, types of HS and the

efficiency of the respective solar cell.

Si NWs Fabrication

Method Heterostructure Heterostructure Type

Solar Cell

Efficiency (%) References

CVD Si NW/SiO2/Si Raidal 3.4 [142]

RIE Si NW/Si Radial 5.3 [143]

CVD Si NW/Si Radial 7.9 [44]

MACE Si NW/Si Radial 9.3 [181]

MACE Si NW/graphene Axial 7.6 [184]

MACE Si NW/graphene Axial 7.7 [185]

MACE Si NW/P3HT/graphene Axial 10.3 [184]

MACE Si NW/PDEF Radial 5.9 [94]

MACE Si NW/P3HT Radial 9.2 [93]

MACE Si NW/PEDOT:PSS Radial 9.7 [187]

MACE Si NW/TAPC/PEDOT:PSS Radial 13.1 [92]

MACE Si NW/PbS NPs Decorated 6.53 [186]

MACE Si NW/Carbon NPs Decorated 9.1 [75]

MACE Si NW/SiNx Radial 17.75 [146]

MACE Si NW/Al2O3 Radial 22.1 [145]

MACE Si NW/Al2O3/TiO2 Radial 18.5 [151]

MACE Si NW/ZnO Branched 14 [156]

MACE Si NW/Pt NPs Decorated 8.14 [121]

MACE Si NW/Carbon/Pt Radial/NPs Decorated 10.86 [183]

Page 14: Silicon nanowire heterostructures: growth strategies ... · Silicon nanowire heterostructures: growth strategies, novel properties and emerging applications ... the surface states

14

Science Advances Today Sci. Adv. Today 2 (2016) 25230 S

cien

ce A

dv

ance

s T

od

ay

LOGNOR

© LOGNOR. All rights reserved. www.lognor.com/scienceadvancestoday

Si NW based radial and axial p-n homojunction HSs were

prepared and their photovoltaic properties were studied by different

groups. The power conversion efficiency (PCE) was high compared to the

bulk-Si p-n junction. The highest reported PCE was 9.3% for MACE

grown Si NWs, but it may not be sufficient enough [181]. The importance

of good electrical contact, formation of surface and interface passivation

to reduce the carrier recombination to improve the performance of Si

NW-based solar cell was eventually realized. By using a-SiN:H for

passivating Si NWs surface, Kim et al. have shown that PCE of the solar

cell improved significantly from 7.2% to 11.0% [188]. Very recently, Lin

et al. have demonstrated high performance Si NW-based solar cell

through surface passivation by using the SiO2 and SiNx and the PCE was

17.75% [146]. To improve the photovoltaic parameters of Si NWs based

solar cell, p-i-n and tandem p-i-n+-p+-i-n junction Si NW solar cell are

also studied [182]. Lin et al. fabricated Schottky junction-based Si

NWs/graphene solar cell by transferring CVD grown graphene on Si NWs

array grown by RIE [185]. They found the maximum PCE up to 7.7%.

They studied theoretically the cell performance and concluded that by

controlling graphene layer number, tuning graphene work function and

adding an antireflection film, a maximal theoretical PCE 9.2% could be

achieved [185]. Zhang et al. experimentally found that 4 layers of

graphene exhibits the best performance because the transmittance is

reduced when number of graphene layers is higher than 5 [184]. They

showed that by inserting P3HT conductive polymer (10 nm) as electron

blocking layer, which contributes to prevent electrons transport from Si to

graphene, the maximum PCE could be achieved as 10.3% [184]. Figure

19(a, b) illustrates the energy band of the Si nanoarray/graphene Schottky

junctions (a) without and (b) with a P3HT electron blocking layer. Figure

19(c) displays the schematic illustrations of the Si NH/P3HT/graphene

HS. Photovoltaic characteristics of the Si NH/P3HT/graphene (4-layer)

HS before and after HNO3 doping are depicted in Figure 19(d). The Si

NWs/Carbon QDs heterojunction with a barrier height of 0.75 eV

exhibited excellent rectifying behavior with a rectification ratio of 103 at

0.8 V in the dark and PCE as high as 9.10% under AM 1.5G irradiation.

It is believed that such a high PCE comes from the improved optical

absorption as well as the optimized carrier transfer and collection

capability [75]. More recently, Wang et al. fabricated solar cells

composed of Si NWs arrays and an Al2O3/TiO2 dual-layer passivation

stack on the n+ emitter [151]. The Si NW/Al2O3/TiO2 HS solar cell

showed 11% increased short-circuit current density and 20% efficiency

after performing forming gas annealing (FGA) [151]. Figure 20(a) shows

the schematic diagram of the Si NW/Al2O3/TiO2 HS solar cell with the

n+-emitter/p-base structure, along with a band diagram and (b) shows the

illuminated I-V characteristics of the cell [151]. H. Savin et al. fabricated

greater than 22% efficient solar cell with a surface area of 9.0 cm2 [145].

They used optimal surface reflectance without affecting surface

recombination, due to the outstanding surface passivation achieved with

conformal ALD coated Al2O3. Auger recombination was avoided by

using a surface sensitive 280-μm-thick interdigitated back contact (IBC)

where the junction and the contacts are placed at the back of the cell. The

dependence of the incident angle of the solar spectrum and the latitude on

the performance of the solar cell was extensively studied by the group

[145]. Figure 20(c) and (d) shows the EQE of the cell for different angles

of incidence and the relative photocurrent with respect to photocurrent at

normal incidence, for different light incidence angles. Figure 20(e) shows

the relative increase in total delivered energy throughout the year for the

HS solar cell compared to the reference cell as a function of latitude and

for optimally tilted cells, while 20(f) shows the daily relative increase

over the year, in the energy generated by the HS solar cell compared with

the reference cell for different locations [145].

Recently, immense effort has been made for realizing efficient

Si NWs-based organic HS solar cells to reduce cost by adopting low-

temperature, scalable, and soluble processes of conjugated polymers such

as: P3HT, poly(3,4-ethylene dioxythiophene):poly-(styrenesulfonate)

(PEDOT:PSS), 1,1-bis[(di-4-tolylamino) phenyl]cyclohexane (TAPC)

and p-poly(9,9-diethylfluorene) (PDEF) etc. [92-94, 187]. However, the

maximum PCE obtained till now are 5.9%, 9.2%, 9.7% using PDEF [94],

P3HT [93], PEDOT:PSS [187] as the conjugated polymer, respectively.

Though the PCEs are not high enough, it could be improved by surface

passivation, nature and size of the top and bottom contact and the

dimension of the Si NWs. Very recently Yu et al. have fabricated 13.1%

Si NWs/TAPC/PEDOT:PSS organic solar cell by incorporating a thin

layer of TAPC in between Si NWs and PEDOT:PSS [92]. Figure 21

illustrates the device with the device parameters with and without the

intermediate TAPC layer [92].

Photovoltaic properties of Si NWs based HS in low cost liquid-

state junction photoelectrochemical (PEC) solar cell has also emerged.

Peng et al. demonstrated PEC solar cell consisting of vertical Si NW

arrays, which exhibited remarkable photoactivity and photovoltaic

property in redox electrolyte containing HBR and Br [189]. A 3D NW

architecture consisting of 20 μm long MACE grown Si NWs and dense

TiO2 NRs yielded a PEC efficiency of 2.1%, which is three times higher

than that of TiO2 film-Si NWs having a core-shell structure [65].

However, such PEC solar cell still suffers not only from carrier

recombination loss and poor carrier collection, but also from photo-

corrosion, and photo-oxidation of Si NW surface, which lead to poor

device performance and degradation. By decorating PT NPs on Si NWs,

Peng et al. fabricated PEC solar cell with PCE up to 8.14% [121]. Wang

showed that the PCE could be enhanced to 10.86% by incorporating a thin

carbon layer in between the Pt NPs and Si NWs [183].

The photovoltaic properties of complex Si NWs based HSs such

as branched Si/ZnO [156]; CdS, CdSe QD sensitized branched Si/ZnO

NWs [130]; hierarchical p-Si/n-CdS/n-ZnO nanoforest HS [190] etc. are

also studied. Z. Feng et al. recently studied the photovoltaic properties of

branched Si/ZnO HS solar cell [156]. The PCE of the solar cell was

improved by 14% and short-circuit current was improved by almost 24%

on average, respectively [156]. However, the performances of the

fabricated solar cell are not high enough to utilize in commercial devices.

4.5. Electrical Properties

Radial (or core shell) p-n junction Si NW HSs facilitate carrier

collection along a short collection path, i.e., the radial path demonstrate

excellent electrical properties, which is most significant for solar cell

Figure 19. Energy band diagrams of the Si nanoarray /graphene

schottky junctions (a) without and (b) with a P3HT electron blocking

layer. (c) Schematic illustration of the Si NH/P3HT/graphene HS. (d)

Photovoltaic characteristics of the Si NH/P3HT/graphene (4-layer)

array before and after HNO3 doping. Reprinted with permission from

[184].

Page 15: Silicon nanowire heterostructures: growth strategies ... · Silicon nanowire heterostructures: growth strategies, novel properties and emerging applications ... the surface states

15

Science Advances Today Sci. Adv. Today 2 (2016) 25230

Scie

nce

Ad

van

ces

Tod

ay

Scien

ce Advan

ces Today

LOGNOR

www.lognor.com/scienceadvancestoday © LOGNOR. All rights reserved.

Figure 20. (a) Schematic diagram of the Si NW/Al2O3/TiO2 HS solar cell with the n+-emitter/p-base structure, along with a band diagram. (b)

Illuminated I−V characteristics of the cell. (c-f) Angle-dependent EQE and daily/yearly energy production enhancement of the IBC-Si NW

photovoltaic device. Reprinted with permission from [151]. (c) EQE of the cell for different angles of incidence. (d) Relative photocurrent, with

respect to photocurrent at normal incidence, for different light incidence angles for both the b-Si (circles) and reference (squares) solar cells. Light

incidence angle θ is defined in the inset. (e) Relative increase in total delivered energy throughout the year for the b-Si cell compared with the

reference cell as a function of latitude and for optimally tilted cells. (f) Daily relative increase, throughout the year, in the energy generated by the b-

Si cell compared with the reference cell for different locations. (60° latitude corresponds to Helsinki, 40° to Barcelona). Reprinted with permission

from [145].

Figure 21. (a) Chemical structure of small-molecule TAPC. (b) Fabricated schematic device. (c) Energy band diagram of a hybrid heterojunction

solar cell based on silicon and PEDOT:PSS with an intermediate TAPC layer. (d) Reflectance (R) and external quantum efficiency (EQE) of the

fabricated devices with and without the TAPC layer. (e) Current density-voltage characteristics of the hybrid solar cells under a simulated AM1.5G

illumination condition. (f) Dark current density-voltage characteristics in semi-logarithm plot. Reprinted with permission from [92].

Page 16: Silicon nanowire heterostructures: growth strategies ... · Silicon nanowire heterostructures: growth strategies, novel properties and emerging applications ... the surface states

16

Science Advances Today Sci. Adv. Today 2 (2016) 25230 S

cien

ce A

dv

ance

s T

od

ay

LOGNOR

© LOGNOR. All rights reserved. www.lognor.com/scienceadvancestoday

applications. The surface dependent unique electronic and electrical

properties of Si NWs and its HS attracted considerable research attention

for the fabrication of field effect transistor (FET). In vacuum, the

conductivity of Si NWs dramatically decreases, whereas the hole mobility

increases. Si NWs with appropriate surface passivation i.e. the Si NWs

HS can significantly improve the performance of Si NW based FETs

[191-194]. Zhou et al. investigated the stability of Si NW/Al2O3 HS FET

and showed that FET based on Si NWs exhibit good device performance

for at least 4 months in physiological model solutions at 37 °C when the

Si NWs are covered with 10 nm Al2O3 [194].

Very recently, Chen et al. fabricated Si NWs HS FET modified

by magnetic graphene with long-chain acid groups (MGLA) synthesized

via Friedel-Crafts acylation. The HS FET was used for the detection of

apolipoprotein A II protein (APOA2 protein), a biomarker for the

diagnosis of bladder cancer [193]. Figure 22(a) shows a schematic flow

chart of Ab-MGLA/poly-Si NW FET biosensor preparation. They have

optimized the conditions for Ab-MGLA loading after investigation by

reacting with various concentrations of Ab-MGLA, ranging from 0.025 to

1 µg mL-1 and corresponding to 1 ng mL-1 protein (Figure 22(b)). The

current of the Ab-MGLA/poly-Si NW FET biochip decreased with

increasing concentration of Ab-MGLA in the reaction. The relative

current change (-ΔI/I0, in %) at each concentration of Ab-MGLA in the

reaction was 6.3% at 0.025 µg mL-1, 11.3% at 0.125 µg mL-1, 22.6% at

0.625 µg mL-1 and 23.6% at 1.0 µg mL-1. The device was compared with

that obtained using short-chain acid groups (MGSA). Compared with

MGSA, the MGLA showed a higher immobilization degree and

bioactivity to the anti-APOA2 antibody (Ab) due to its lower steric

hindrance [193]. Constantinou et al. have fabricated N,N

dimethylformamide (DMF) passivated Si NWs FET [191]. Figure 22(c)

shows the HRTEM image of the DMF modified the Si NWs. The

oxidizing agent DMF modified the Si NWs to a single-crystal Si NWs

core with 30 nm diameter and a ∼5-8 nm thick amorphous oxidized

polyphenylsilane shell which could lead to a hysteresis reduction of over

300 times (from 32 to 0.1 V) [191]. One of the lowest trap densities,

3.71010 cm−2 was achieved with DMF-dispersed NW FETs and near-

zero hysteresis of 0.1 V is shown in Figure 22(d) [191]. Cho et al. have

shown the experimental evidence of ballistic transport in cylindrical gate-

all-around twin Si NWs metal oxide semiconductor FET with 4 nm radius

and the gate length ranging from 22 to 408 nm [195]. They observed

strong transconductance overshoot in the linear source-drain bias regime

in the devices with channel length shorter than 46 nm [195]. Enhanced

thermoelectric performance was also observed for Si NWs and its HSs

[196, 197].

4.6. Sensing Properties

Si NWs provides excellent charge transport properties,

biocompatibility, and environment friendly and are demonstrated as

excellent candidate for sensing of chemical and biological molecules. Si

NWs are flexible to create HS with different kinds of material and the Si

NWs based HS show excellent sensing properties compared to the bare Si

NWs. Si NW HSs based sensors are classified into three major groups: (i)

chemical sensor, (ii) bio-sensor and (iii) gas sensor. Different sensitive

methods, including optical-based detection (surface enhanced Raman

scattering (SERS) or fluorescence), mechanically based detection

(cantilevers), and electrical-based detection (FET) are attractive in a

number of sensing applications.

4.6.1. Chemical Sensors

Si NWs coated with metal NPs, mainly Ag, Au, Pd, Cu, and Pt

have been used as SERS effective substrates for sensing a variety of

inorganic and organic molecules, such as: 4-methylbenzenethiol [125],

crystal violet [27, 198, 199] (CV), RhB [200], R6G [13, 27, 198, 199],

MO [198], nicotine [27], carbaryl [199], calcium dipicolinate [201]

(CaDPA), p-aminothiophenol [198] (PATP), 4-aminothiophenol [202]

etc. Shao et al. have shown that SERS effective Ag NPs decorated Si

NWs can detect R6G (110−16M), CV (110−16M) and nicotine

(110−14M) very efficiently [27]. Figure 23(a, b) shows the Raman

spectra obtained from Ag modified Si NWs coated with 25 µl of

110−16M R6G solution and 110−14M nicotine solution [27]. Han et al.

fabricated highly sensitive, reproducible, and stable SERS sensors based

on well controlled Ag NPs decorated Si NWs building blocks and utilized

them for the detection of a low concentration of carbaryl (0.01 mg/mL)

Figure 22. (a) A schematic of the process of poly-Si NW FET device surface modification and Ab-MGLA/poly-Si NW FET bio sensor preparation.

(b) ISD-VG curves of the reaction of [Ab-MGLA] with a, b, c, and d and the corresponding ISD-VG of a′, b′, c′ and d′ under 1 ng/mL protein binding.

Reprinted with permission from [193]. (c) HRTEM image of Si NWs dispersed in DMF showing a single-crystal Si core with 30 nm diameter and a

∼5-8 nm thick amorphous oxidized polyphenylsilane shell. (d) I-V characteristics of DMF-treated Si NW FETs with 15 NWs in the channel. The

transfer characteristics obtained at VD = -0.5 V showing a very low hysteresis of 0.1 V and a device mobility of 15 cm2V−1s−1. Reprinted with

permission from [191].

Page 17: Silicon nanowire heterostructures: growth strategies ... · Silicon nanowire heterostructures: growth strategies, novel properties and emerging applications ... the surface states

17

Science Advances Today Sci. Adv. Today 2 (2016) 25230

Scie

nce

Ad

van

ces

Tod

ay

Scien

ce Advan

ces Today

LOGNOR

www.lognor.com/scienceadvancestoday © LOGNOR. All rights reserved.

residues on a cucumber surface with 1s acquisition time [199]. Figure

23(c) shows the microscope image of a single Si NW/Ag NP transferred

to the rough cucumber surface. Figure 23(d) clearly shows that the SERS

spectra of a carbaryl contaminated cucumber surface is enhanced by a

single Ag NP decorated Si NW as compared to the Raman spectrum of

the pure cucumber surface [199]. Si NW/metal NP based surface

enhanced fluorescence spectra are also used to detect some lanthanide

ions, such as Pr3+, Nd3+, Ho3+, and Er3+ etc. [203]. Wang et al. have

fabricated fluorescence sensor by naphthalimide derivative onto the

surface of Si NWs for H2S detection [204].

Another way of sensing chemical molecules is Si NW HS based

FET. Among various chemical sensing techniques Si NWs based FET

was first introduced in 2001 by Cui et al. and since then it has attracted

much attention in the semiconductor industry [205]. Si NWs FETs

modified with calmodulin were used to detect the calcium ions (Ca2+),

which are important for activating biological processes such as muscle

contraction, protein secretion, cell death, and development [205]. High

sensitivity detection of toxic heavy-metal cations such as Cd2+ and Hg2+

based on single Si NWs-FET sensor has been demonstrated by Luo et al.

[206] Si NW surfaces were modified with mercaptopropyl silane

(MPTES) and the HS FET was capable to detect Cd2+ and Hg2+ as low as

10−4 and 10−7 M, respectively [206].

4.6.2. Bio-Sensors

SERS effective Si NW HSs are used for sensing a variety of

bio-molecules such as: DNA [27, 207], immune [208] and bacteria [199,

201] (E. coli, Bacillus anthraces etc.) etc. Shao et al. has shown that SERS

effective Ag NPs decorated Si NWs can detect Calf thymus DNA

(110−8mg/mL) very efficiently [27]. Han et al. have used the SERS

effective Si NWs/Ag HS sensor on a commercially available filter film for

label-free, real-time detection of E. coli in drinking water (Figure 24(a-b))

[199]. Si NW/metal NP HS SERS substrate is also used for the detection

of the label-free immunoassay [208]. Ag NPs coated Si NWs array exhibit

strong SERS spectra of mouse immunoglobulin G (mIgG), goat-anti-

mouse immunoglobulin G (gamIgG), and immune complexes formed

from 4 ng each of mIgG and gamIgG [208]. The enhancement of Raman

signal was explained by two kinds of plasmon resonance: local resonance

from every individual Ag NPs and surface electromagnetic wave on the

whole Si NW/Ag substrate surface [208]. M. S. Akin et al. has shown that

the metal NP coated Si NWs after surface modification by polydopamine

could be better SERS effective substrate [209]. Si NW HSs are also used

to detect bio molecules such as proteins and DNA using florescence

detection [210, 211]. Su et al. recently developed novel Au NP decorated

Si NW-based molecular beacons for high-sensitivity multiplex DNA

detection [210] while Han et al. used aminopropyltriethoxysilane

(APTES) modified Si NWs for fluorescence protein immunosensor [211].

FET sensors have a great potential to function as label-free, highly

accurate, and real-time detectors of low concentrations of proteins,

viruses, and DNA. In recent years, there has been magnificent

development of Si NWs FET based bio-sensors for the applications in

toxin testing, bio-molecule detection, medical diagnosis, food purity

detection, environmental monitoring, and many other areas of

biochemical industry [205, 212, 213]. Cui et al. have fabricated Si NWs

based FET for selective detection of biological and chemical species

[205]. Biotin-modified Si NWs were used to detect streptavidin down to

at least a picomolar concentration range (Figure 24(c)). In addition,

antigen-functionalized Si NWs showed reversible antibody binding and

concentration-dependent detection in real time. The conductance of

biotin-modified Si NWs increased rapidly to a constant value upon

addition of a 250 nM streptavidin solution and that conductance value was

maintained after the addition of pure buffer solution (Figure 24(d)).

Figure 23. Raman spectra obtained from Ag-modified Si NWs

coated with 25 µl of (a) 110−16M R6G solution and (b) 110−14M

nicotine solution. Extra curve in (a) is the Raman spectrum collected

from R6G powder. Reprinted with permission from [27]. (c)

Photograph of the detection of the pesticide residue on a cucumber

surface experiment (left) and the microscope image of a single Si

NW/Ag NP transferred to the rough cucumber surface (right). (d)

Raman spectra recorded from the rough cucumber surface with 1s

acquisition time and 50 objective. Curve I, clean cucumber surface;

curve II, carbaryl contaminated surface; curve III, SERS spectra of a

carbaryl contaminated surface modified by a single NW HS; curve

IV, SERS spectra of pure carbaryl. Reprinted with permission from

[199].

Figure 24. (a) Photograph of Si NWs assembled on a commercially

available filter film (with a pore size of 0.22 µm) and schematic of

the E. coli detection. (b) Raman spectra recorded from a blank thin

film and five different sites on the E. coli contaminated Si NW/Ag

NP HS thin film with 10s acquisition time and 50 objective.

Reprinted with permission from [199]. (c-g) Real-time detection of

protein binding. (c) Schematic illustrating a biotin-modified Si NW

(left) and subsequent binding of streptavidin to the Si NW surface

(right). The Si NW and streptavidin are drawn approximately to

scale. (d) Plot of conductance versus time for a biotin-modified Si

NW, where region 1 corresponds to buffer solution, region 2

corresponds to the addition of 250 nM streptavidin, and region 3

corresponds to pure buffer solution. (e) Conductance versus time for

an unmodified Si NW; regions 1 and 2 are the same as in (d). (f)

Conductance versus time for a biotin-modified Si NW, where region

1 corresponds to buffer solution and region 2 to the addition of a 250

nM streptavidin solution that was preincubated with 4 equivalents d-

biotin. (g) Conductance versus time for a biotin-modified Si NW,

where region 1 corresponds to buffer solution, region 2 corresponds

to the addition of 25 pM streptavidin, and region 3 corresponds to

pure buffer solution. Arrows mark the points when solutions were

changed. Reprinted with permission from [205].

Page 18: Silicon nanowire heterostructures: growth strategies ... · Silicon nanowire heterostructures: growth strategies, novel properties and emerging applications ... the surface states

18

Science Advances Today Sci. Adv. Today 2 (2016) 25230 S

cien

ce A

dv

ance

s T

od

ay

LOGNOR

© LOGNOR. All rights reserved. www.lognor.com/scienceadvancestoday

Addition of a streptavidin solution to an unmodified Si NW did not

produce a change (Figure 24(e)). Addition of a streptavidin solution in

which the biotin binding sites were blocked by reaction with 4 equivalents

of d-biotin produced essentially no change in the conductance of biotin-

modified Si NWs (Figure 24(f)). They have found the sensitivity of the

biotin-modified Si NWs to detect streptavidin binding down to a

concentration of at least 10 pM (Figure 24(g)) [205]. H. C. Chen et al.

have fabricated Si NWs HS FET modified by magnetic graphene with

long-chain acid groups (MGLA) and was used to the detection of

apolipoprotein A II protein (APOA2 protein), a biomarker for the

diagnosis of bladder cancer [193]. Modified with different organic

biomolecule, Si NWs HSs based FETs were used for the detection of

nucleic acids, proteins, protein-DNA interactions, small molecule-protein

interactions, cells, virus etc. and diagnosis of different kinds of disease

such as dengue, cancer etc [212, 213].

4.6.3. Gas Sensors

Si NW HSs have been exploited in gas sensing applications.

Compared to the conventional sensors based on flat Si films, the NWs gas

sensors exhibit many impressive characteristics, such as ultra-high

sensitivity, fast response time, higher selectivity, less power consumption

and better stability. Due to the small gap in between the NWs arrays with

high aspect ratio, a few gas molecules are sufficient to change the

electrical properties of the sensing elements. This allows the detection of

a very low concentration of gas within several seconds. Noh et al.

fabricated Pd coated Si NWs based sensors for the H2 and O2 sensing

[214]. Figure 25(a) shows the schematic pictures of Pd coated rough Si

NWs. The Pd coated rough Si NWs showed good reversibility and

excellent H2 sensing performance in terms of sensitivity (>300%),

response time (<3 s), and detection limit (∼5 ppm) [214]. Representative

response curves to varying H2 concentrations in air are shown in Figure

25(b). The sensitivity was defined as percent conductance variation ()

upon flowing H2 to initial conductance (0). The limit of detecting H2 was

5 ppm as shown in the inset [214]. Kim et al. fabricated Si NW/graphene

HS molecular gas sensor [117]. Figure 25(e) shows the schematics

illustrations of Si NW/graphene sensor and the configuration of the HS

for characterization. Figure 25(d, e) depicts the I-V characteristics and

band diagram of the Si NW/graphene HS. The HS device showed highly

rectifying property with an „on/off‟ current ratio of ~102 at 5 V,

indicating well defined behavior of Schottky diodes. Figure 25(f, g)

shows electrical responses of the Si NW/graphene diode as a molecular

sensor to periodic switches of O2 and H2 exposures with intervals of 10

and 30 s at a flow rate of 2500 sccm, respectively in air at room

temperature. The HS sensor shows high sensitivity of 1280% resistance

changes within 12/0.15 s response/recovery times (on/off) under H2

exposure, whereas 37% within 3.5/0.15 on/off times under O2 exposure

[117]. Thus, the selectivity is quite good for the NW HS. Ma et al. have

fabricated Si NWs/WO3 HS sensors for NO2 sensing [215]. However, we have discussed few attractive properties of the Si

NW HSs and their device application. These HSs show plenty of other

superior properties which are extensively studied for the fabrication of

different devices such as: Lithium-ion battery [55], super-capacitors

[216], drug delivery [217], gene delivery [218] etc. However, still there

are limitations towards the successful commercialization of these Si NW

HSs based devices. High performance, reproducibility, robustness,

stability and low cost integration are the key issues on the

commercialization of the Si NW HS devices. More intense research is

taking interest to fulfill the unresolved issues by improving the quality of

the Si NWs as well as the heterostructured material at the junction and

proper surface modification.

5. SUMMARY AND OUTLOOK

We have reviewed the recent progress in Si NWs and its

heterostructures. The Si NWs HS of different categories were discussed

with their growth strategies, enhanced properties and promising

applications associated with each type of HS. The advantage of these HS

in the application of various optoelectronic devices compared to the

conventional Si NWs based devices has been discussed, with particular

attention to improved device performance and stability of the device.

Parallel to the HSs morphology, the growth chemistry highly affects the

novel properties of the HS. The design and method of fabrication of the Si

NW based HSs are discussed in detail on the basis of selective

properties/applications. Many researchers are quite affirmative for the real

life applications of these HSs based on the efficient performances of Si

NWs based heterostructured devices, though the properties are still being

improved with advanced fabrication techniques and post-growth

processing. Such improvements provide further impetus to grow high

quality Si NW as well as high quality heterostructures with defect free

interface. Several strategies have been adopted for the low cost fabrication

and large area production of the HS for device applications. The problems

Figure 25. (a) Schematic of Pd coated rough Si NWs. (b) The real time electrical response curve of semi densely Si NWs coated with a 7 nm thick

Pd film to varying H2 concentrations in air at room temperature. The inset shows clear response behaviors even at very low H2 concentrations down

to 5 ppm. Reprinted with permission from [214]. (c) Schematics illustrations of Si NW/graphene sensor and the configuration of the HS for

characterization. (d)The I-V characteristics and (e) energy band diagrams of the Si NW/graphene HS. Normalized resistance responses of Si

NW/graphene HS molecular sensor under repeated exposures of (f) O2 and (g) H2 gases in air at RT. Exposure intervals of O2 and H2 gases are 10

and 30 s, respectively. Reprinted with permission from [117].

Page 19: Silicon nanowire heterostructures: growth strategies ... · Silicon nanowire heterostructures: growth strategies, novel properties and emerging applications ... the surface states

19

Science Advances Today Sci. Adv. Today 2 (2016) 25230

Scie

nce

Ad

van

ces

Tod

ay

Scien

ce Advan

ces Today

LOGNOR

www.lognor.com/scienceadvancestoday © LOGNOR. All rights reserved.

and challenges of utilizing these Si NW HS in these device applications

and the key parameters to improve the devices performances are

extensively discussed in this review. The remarkably high photovoltaic

efficiency (22.1%) of Si NWs heterostructures is expected to drive the

future research for the commercialization of the devices. More intense

research on NW HSs could find the answers to many unresolved issues,

such as device performance, biocompatibility, reproducibility, robustness,

stability and low cost integration of the devices. The economic constraints

together with the scientific and engineering issues faced by synthesis

approaches of Si NWS based HS have motivated efforts world-wide to

explore new strategies that could meet the demands for the nanoscale

structures today and in the future. It is believed that above results on the

growth strategies and novel applications of Si NWs HS will stimulate

more intense research in this area for viable commercialization of the

devices in the near future.

ACKNOWLEDGEMENTS

We acknowledge the financial support from CSIR (Grant No.

03(1270)/13/EMR-II), DEITY (Grant No. 5(9)/2012-NANO (VOL-II))

and BRNS (Grant No. 2012/37P/1/BRNS) for carrying out part of this

work.

REFERENCES

1. M. Otto, M. Algasinger, H. Branz, B. Gesemann, T. Gimpel, K. Füchsel, T.

Käsebier, S. Kontermann, S. Koynov, X. Li, V. Naumann, J. Oh, A. N. Sprafke, J. Ziegler, M. Zilk and R. B. Wehrspohn, Adv. Opt. Mater. 3 (2015)

147.

2. C. C. Chen, C. C. Yeh, C. H. Chen, M. Y. Yu, H. L. Liu, J. J. Wu, K. H. Chen, L. C. Chen, J. Y. Peng and Y. F. Chen, J. Am. Chem. Soc. 123 (2001)

2791.

3. Y. Wu and P. Yang, Chem. Mater. 12 (2000) 605. 4. V. Schmidt, J. V. Wittemann and U. Gösele, Chem. Rev. 110 (2010) 361.

5. K.-Q. Peng and S.-T. Lee, Adv. Mater. 23 (2011) 198.

6. M. Shao, D. D. D. Ma and S.-T. Lee, Eur. J. Inorg. Chem. 2010 (2010) 4264. 7. K.-Q. Peng, X. Wang, L. Li, Y. Hu and S.-T. Lee, Nano Today 8 (2013) 75.

8. S. Dhara and P. K. Giri, Rev. Nanosci. Nanotechnol. 2 (2013) 147.

9. J. Niu, J. Sha and D. Yang, Physica E 23 (2004) 131. 10. X. Liu, P. R. Coxon, M. Peters, B. Hoex, J. M. Cole and D. J. Fray, Energy

Environ. Sci. 7 (2014) 3223.

11. V. Schmidt, J. V. Wittemann, S. Senz and U. Gösele, Adv. Mater. 21 (2009) 2681.

12. X. Li and P. W. Bohn, Appl. Phys. Lett. 77 (2000) 2572.

13. E. Galopin, J. Barbillat, Y. Coffinier, S. Szunerits, G. Patriarche and R. Boukherroub, ACS Appl. Mater. Interfaces 1 (2009) 1396.

14. M. Shao, L. Cheng, X. Zhang, D. D. D. Ma and S. T. Lee, J. Am. Chem. Soc. 131 (2009) 17738.

15. R. Dussart, T. Tillocher, P. Lefaucheux and M. Boufnichel, J. Phys. D: Appl.

Phys. 47 (2014) 123001. 16. B. Eisenhawer, D. Zhang, R. Clavel, A. Berger, J. Michler and S.

Christiansen, Nanotechnology 22 (2011) 075706.

17. L. Schubert, P. Werner, N. D. Zakharov, G. Gerth, F. M. Kolb, L. Long, U. Gösele and T. Y. Tan, Appl. Phys. Lett. 84 (2004) 4968.

18. G. C. Schwartz and P. M. Schaible, J. Vac. Sci. Technol. 16 (1979) 410.

19. D.-G. Choi, H. K. Yu, S. G. Jang and S.-M. Yang, J. Am. Chem. Soc. 126 (2004) 7019.

20. Q. Gu, H. Dang, J. Cao, J. Zhao and S. Fan, Appl. Phys. Lett. 76 (2000) 3020.

21. P. Das Kanungo, N. Zakharov, J. Bauer, O. Breitenstein, P. Werner and U. Goesele, Appl. Phys. Lett. 92 (2008) 263107.

22. A. M. Morales and C. M. Lieber, Science 279 (1998) 208.

23. Y. Wu and P. Yang, J. Am. Chem. Soc. 123 (2001) 3165. 24. R. Ghosh, P. K. Giri, K. Imakita and M. Fujii, Nanotechnology 25 (2014)

045703.

25. C. Y. Wen, M. C. Reuter, J. Tersoff, E. A. Stach and F. M. Ross, Nano Lett. 10 (2010) 514.

26. K. Q. Peng, Y. J. Yan, S. P. Gao and J. Zhu, Adv. Mater. 14 (2002) 1164.

27. M.-W. Shao, M.-L. Zhang, N.-B. Wong, D. D.-d. Ma, H. Wang, W. Chen and S.-T. Lee, Appl. Phys. Lett. 93 (2008) 233118.

28. J. Kim, H. Han, Y. H. Kim, S. H. Choi, J. C. Kim and W. Lee, ACS Nano 5

(2011) 3222. 29. B. M. Kayes, M. A. Filler, M. C. Putnam, M. D. Kelzenberg, N. S. Lewis and

H. A. Atwater, Appl. Phys. Lett. 91 (2007) 103110.

30. P. R. Bandaru and P. Pichanusakorn, Semicond. Sci. Tech. 25 (2010) 024003. 31. M. Hasan, M. F. Huq and Z. H. Mahmood, Springerplus 2 (2013) 151.

32. H. Han, Z. Huang and W. Lee, Nano Today 9 (2014) 271.

33. T. Song, S.-T. Lee and B. Sun, Nano Energy 1 (2012) 654. 34. X. Li, Curr. Opin. Solid State Mater. Sci. 16 (2012) 71.

35. Y. Qu, H. Zhoua and X. Duan, Nanoscale 3 (2011) 4060. 36. Z. Huang, N. Geyer, P. Werner, J. de Boor and U. Gosele, Adv. Mater. 23

(2011) 285.

37. J. Ramanujam, D. Shiri and A. Verma, Mater. Express 1 (2011) 105. 38. R. Wagner and W. Ellis, Appl. Phys. Lett. 4 (1964) 89.

39. Y. Wei, W. Wu, R. Guo, D. Yuan, S. Das and Z. L. Wang, Nano Lett. 10

(2010) 3414. 40. Y. Wang, L. Zhang, C. Liang, G. Wang and X. Peng, Chem. Phys. Lett. 357

(2002) 314.

41. Z. Ma, D. McDowell, E. Panaitescu, A. V. Davydov, M. Upmanyu and L. Menon, J. Mater. Chem. C 1 (2013) 7294.

42. G. Sinha, S. K. Panda, A. Datta, P. G. Chavan, D. R. Shinde, M. A. More, D.

S. Joag and A. Patra, ACS Appl. Mater. Interfaces 3 (2011) 2130. 43. S. R. Suryawanshi, S. S. Warule, S. S. Patil, K. R. Patil and M. A. More,

ACS Appl. Mater. Interfaces 6 (2014) 2018.

44. M. C. Putnam, S. W. Boettcher, M. D. Kelzenberg, D. B. Turner-Evans, J. M. Spurgeon, E. L. Warren, R. M. Briggs, N. S. Lewis and H. A. Atwater,

Energy Environ. Sci. 3 (2010) 1037.

45. A. I. Hochbaum, R. Fan, R. He and P. Yang, Nano Lett. 5 (2005) 457. 46. Z. He, H. T. Nguyen, L. Duc Toan and D. Pribat, Crystengcomm 17 (2015)

6286.

47. Y. Cui, L. J. Lauhon, M. S. Gudiksen, J. Wang and C. M. Lieber, Appl. Phys. Lett. 78 (2001) 2214.

48. N. Wang, Y. F. Zhang, Y. H. Tang, C. S. Lee and S. T. Lee, Appl. Phys. Lett.

73 (1998) 3902. 49. N. Fukata, T. Oshima, K. Murakami, T. Kizuka, T. Tsurui and S. Ito, Appl.

Phys. Lett. 86 (2005) 213112.

50. Y. F. Zhang, Y. H. Tang, H. Y. Peng, N. Wang, C. S. Lee, I. Bello and S. T. Lee, Appl. Phys. Lett. 75 (1999) 1842.

51. R. Q. Zhang, Y. Lifshitz and S. T. Lee, Adv. Mater. 15 (2003) 635.

52. Z. W. Pan, Z. R. Dai, L. Xu, S. T. Lee and Z. L. Wang, J. Phys. Chem. B 105 (2001) 2507.

53. H. Pan, S. Lim, C. Poh, H. Sun, X. Wu, Y. Feng and J. Lin, Nanotechnology

16 (2005) 417. 54. M. Shao, L. Cheng, M. Zhang, D. D. D. Ma, J. A. Zapien, S.-T. Lee and X.

Zhang, Appl. Phys. Lett. 95 (2009) 143110.

55. K. W. Lim, J.-I. Lee, J. Yang, Y.-K. Kim, H. Y. Jeong, S. Park and H. S. Shin, ACS Appl. Mater. Interfaces 6 (2014) 6340.

56. W. S. Shi, H. Y. Peng, Y. F. Zheng, N. Wang, N. G. Shang, Z. W. Pan, C. S.

Lee and S. T. Lee, Adv. Mater. 12 (2000) 1343. 57. J. L. Liu, S. J. Cai, G. L. Jin, S. G. Thomas and K. L. Wang, J. Cryst. Growth

200 (1999) 106.

58. N. Zakharov, P. Werner, L. Sokolov and U. Gösele, Physica E 37 (2007) 148. 59. J. Bauer, F. Fleischer, O. Breitenstein, L. Schubert, P. Werner, U. Gösele and

M. Zacharias, Appl. Phys. Lett. 90 (2007) 012105.

60. B. Fuhrmann, H. S. Leipner, H.-R. Höche, L. Schubert, P. Werner and U. Gösele, Nano Lett. 5 (2005) 2524.

61. S. J. Jeng and G. S. Oehrlein, Appl. Phys. Lett. 50 (1987) 1912.

62. H. Jansen, M. d. Boer, R. Legtenberg and M. Elwenspoek, J. Micromech. Microeng. 5 (1995) 115.

63. C.-M. Hsu, S. T. Connor, M. X. Tang and Y. Cui, Appl. Phys. Lett. 93 (2008)

133109. 64. Y. Q. Fu, A. Colli, A. Fasoli, J. K. Luo, A. J. Flewitt, A. C. Ferrari and W. I.

Milne, J. Vac. Sci. Technol. B 27 (2009) 1520. 65. J. Shi, Y. Hara, C. Sun, M. A. Anderson and X. Wang, Nano Lett. 11 (2011)

3413.

66. D. Dimova Malinovska, M. Sendova Vassileva, N. Tzenov and M. Kamenova, Thin Solid Films 297 (1997) 9.

67. A. Pal, R. Ghosh and P. K. Giri, Appl. Phys. Lett. 107 (2015) 072104.

68. N. Bachtouli, S. Aouida and B. Bessais, Microporous Mesoporous Mater. 187 (2014) 82.

69. K. Peng, M. Zhang, A. Lu, N.-B. Wong, R. Zhang and S.-T. Lee, Appl. Phys.

Lett. 90 (2007) 163123. 70. O. J. Hildreth, W. Lin and C. P. Wong, ACS Nano 3 (2009) 4033.

71. C.-Y. Chen and Y.-R. Liu, Phys. Chem. Chem. Phys. 16 (2014) 26711.

Page 20: Silicon nanowire heterostructures: growth strategies ... · Silicon nanowire heterostructures: growth strategies, novel properties and emerging applications ... the surface states

20

Science Advances Today Sci. Adv. Today 2 (2016) 25230 S

cien

ce A

dv

ance

s T

od

ay

LOGNOR

© LOGNOR. All rights reserved. www.lognor.com/scienceadvancestoday

72. S. M. Kim and D. Y. Khang, Small 10 (2014) 3761.

73. R. Ghosh, A. Pal and P. K. Giri, J. Raman Spectrosc. 46 (2015) 624. 74. R. Ghosh, P. K. Giri, K. Imakita and M. Fujii, J. Alloy. Compd 638 (2015)

419.

75. C. Xie, B. Nie, L. Zeng, F.-X. Liang, M.-Z. Wang, L. Luo, M. Feng, Y. Yu, C.-Y. Wu, Y. Wu and S.-H. Yu, ACS Nano 8 (2014) 4015.

76. W. Chern, K. Hsu, I. S. Chun, B. P. d. Azeredo, N. Ahmed, K. H. Kim, J.-m.

Zuo, N. Fang, P. Ferreira and X. Li, Nano Lett. 10 (2010) 1582. 77. Z. I. Alferov, Rev. Mod. Phys. 73 (2001) 767.

78. Y. Tak, S. J. Hong, J. S. Lee and K. Yong, Cryst. Growth Des. 9 (2009) 2627. 79. X. Gao, J. Wang, J. Yu and H. Xu, Crystengcomm 17 (2015) 6328.

80. M. Heurlin, T. Stankevič, S. Mickevičius, S. Yngman, D. Lindgren, A.

Mikkelsen, R. Feidenhans‟l, M. T. Borgström and L. Samuelson, Nano Lett. 15 (2015) 2462.

81. D. Scarpellini, C. Somaschini, A. Fedorov, S. Bietti, C. Frigeri, V. Grillo, L.

Esposito, M. Salvalaglio, A. Marzegalli, F. Montalenti, E. Bonera, P. G. Medaglia and S. Sanguinetti, Nano Lett. 15 (2015) 3677.

82. Q. Zhang, X. Xiao, R. Zhao, D. Lv, G. Xu, Z. Lu, L. Sun, S. Lin, X. Gao, J.

Zhou, C. Jin, F. Ding and L. Jiao, Angew. Chem. Int. Ed. 54 (2015) 8957. 83. H. Xia, C. Hong, X. Shi, B. Li, G. Yuan, Q. Yao and J. Xie, J. Mater. Chem.

A 3 (2015) 1216.

84. M. Beeler, C. B. Lim, P. Hille, J. Bleuse, J. Schörmann, M. de la Mata, J. Arbiol, M. Eickhoff and E. Monroy, Phys. Rev. B 91 (2015) 205440.

85. W. Zhou, H. Liu, J. Wang, D. Liu, G. Du and J. Cui, ACS Appl. Mater.

Interfaces 2 (2010) 2385. 86. A. Kargar, Y. Jing, S. J. Kim, C. T. Riley, X. Pan and D. Wang, ACS Nano 7

(2013) 11112.

87. J. Pan, J. Li, Z. Yan, B. Zhou, H. Wu and X. Xiong, Nanoscale 5 (2013) 3022.

88. A. K. Katiyar, A. K. Sinha, S. Manna and S. K. Ray, ACS Appl. Mater.

Interfaces 6 (2014) 15007. 89. L. Pan, K.-K. Lew, J. M. Redwing and E. C. Dickey, Nano Lett. 5 (2005)

1081.

90. M. Ben-Ishai and F. Patolsky, Adv. Mater. 22 (2010) 902. 91. S. Manna, S. Das, S. P. Mondal, R. Singha and S. K. Ray, J. Phys. Chem. C

116 (2012) 7126.

92. P. Yu, C.-Y. Tsai, J.-K. Chang, C.-C. Lai, P.-H. Chen, Y.-C. Lai, P.-T. Tsai, M.-C. Li, H.-T. Pan, Y.-Y. Huang, C.-I. Wu, Y.-L. Chueh, S.-W. Chen, C.-H.

Du, S.-F. Horng and H.-F. Meng, ACS Nano 7 (2013) 10780.

93. F. Zhang, X. Han, S.-t. Lee and B. Sun, J. Mater. Chem. 22 (2012) 5362. 94. Y. Cheng, G. Fang, C. Li, L. Yuan, L. Ai, B. Chen, X. Zhao, Z. Chen, W. Bai

and C. Zhan, J. Appl. Phys. 102 (2007) 083516.

95. Y. J. Hwang, A. Boukai and P. Yang, Nano Lett. 9 (2009) 410. 96. L. Sun, H. He, C. Liu, Y. Lu and Z. Ye, Crystengcomm 13 (2011) 2439.

97. H. Zhou, G. Fang, L. Yuan, C. Wang, X. Yang, H. Huang, C. Zhou and X.

Zhao, Appl. Phys. Lett. 94 (2009) 013503. 98. H. Kang, J. Park, T. Choi, H. Jung, K. H. Lee, S. Im and H. Kim, Appl. Phys.

Lett. 100 (2012) 041117.

99. H.-D. Um, S. A. Moiz, K.-T. Park, J.-Y. Jung, S.-W. Jee, C. H. Ahn, D. C. Kim, H. K. Cho, D.-W. Kim and J.-H. Lee, Appl. Phys. Lett. 98 (2011)

033102.

100. V. S. Kale, R. R. Prabhakar, S. S. Pramana, M. Rao, C.-H. Sow, K. B. Jinesh and S. G. Mhaisalkar, Phys. Chem. Chem. Phys. 14 (2012) 4614.

101. H. Yu, X. Li, X. Quan, S. Chen and Y. Zhang, Environ. Sci. Technol. 43

(2009) 7849. 102. H. Yu, S. Chen, X. Quan, H. Zhao and Y. Zhang, Appl. Catal. B 90 (2009)

242.

103. S. G. Yenchalwar, V. K. Azhagan and M. V. Shelke, Phys. Chem. Chem. Phys. 16 (2014) 17786.

104. M. T. Mayer, C. Du and D. Wang, J. Am. Chem. Soc. 134 (2012) 12406.

105. Q. Li and C. Wang, J. Am. Chem. Soc. 125 (2003) 9892. 106. Z. Chao, X. Zhi, T. Wei, T. Dai-Ming, W. Xi, B. Yoshio, F. Naoki and G.

Dmitri, Nanotechnology 26 (2015) 154001. 107. H. Liu, G. She, X. Huang, X. Qi, L. Mu, X. Meng and W. Shi, J. Phys. Chem.

C 117 (2013) 2377.

108. S. Prucnal, M. Glaser, A. Lugstein, E. Bertagnolli, M. Stöger-Pollach, S. Zhou, M. Helm, D. Reichel, L. Rebohle, M. Turek, J. Zuk and W. Skorupa,

Nano Res. 7 (2014) 1769.

109. Y. Wu, R. Fan and P. Yang, Nano Lett. 2 (2002) 83. 110. M. Hocevar, G. Immink, M. Verheijen, N. Akopian, V. Zwiller, L.

Kouwenhoven and E. Bakkers, Nat. Commun. 3 (2012) 1266.

111. E. Mullane, H. Geaney and K. M. Ryan, Phys. Chem. Chem. Phys. 17 (2015) 6919.

112. M. Ben-Ishai and F. Patolsky, Nano Lett. 12 (2012) 1121.

113. Y.-C. Chou, C.-Y. Wen, M. C. Reuter, D. Su, E. A. Stach and F. M. Ross,

ACS Nano 6 (2012) 6407. 114. M. Amato, M. Palummo, R. Rurali and S. Ossicini, Chem. Rev. 114 (2014)

1371.

115. H. Geaney, E. Mullane, Q. M. Ramasse and K. M. Ryan, Nano Lett. 13 (2013) 1675.

116. L. Chen, W. Y. Fung and W. Lu, Nano Lett. 13 (2013) 5521.

117. J. Kim, S. D. Oh, J. H. Kim, D. H. Shin, S. Kim and S.-H. Choi, Sci. Rep. 4 (2014) 5384.

118. J. Hu, Y. Bando, Z. Liu, T. Sekiguchi, D. Golberg and J. Zhan, J. Am. Chem. Soc. 125 (2003) 11306.

119. Y. F. Zhang, L. P. You, X. D. Shan, X. L. Wei, H. B. Huo, W. J. Xu and L.

Dai, J. Phys. Chem. C 111 (2007) 14343. 120. J. Hu, Z. Chen, Y. Sun, H. Jiang, N. Wang and R. Zou, J. Mater. Chem. 19

(2009) 7011.

121. K.-Q. Peng, X. Wang, X.-L. Wu and S.-T. Lee, Nano Lett. 9 (2009) 3704. 122. Z. Song, H. Chang, W. Zhu, C. Xu and X. Feng, Sci. Rep. 5 (2015) 7792.

123. E. Mulazimoglu, G. Nogay, R. Turan and H. Emrah Unalan, Appl. Phys. Lett.

103 (2013) 143124. 124. C. Fang, A. Agarwal, E. Widjaja, M. V. Garland, S. M. Wong, L. Linn, N. M.

Khalid, S. M. Salim and N. Balasubramanian, Chem. Mater. 21 (2009) 3542.

125. J. Yang, J. B. Li, Q. H. Gong, J. H. Teng and M. H. Hong, Nanotechnology 25 (2014) 465707.

126. A. Convertino, M. Cuscunà, F. Martelli, M. G. Manera and R. Rella, J. Phys.

Chem. C 118 (2014) 685. 127. Y. Wang, Y. P. Liu, H. L. Liang, Z. X. Mei and X. L. Du, Phys. Chem.

Chem. Phys. 15 (2013) 2345.

128. N. Brahiti, T. Hadjersi, H. Menari, S. Amirouche and O. El Kechai, Mater. Res. Bull. 62 (2015) 30.

129. Y. Li, W. Shi, A. Gupta and N. Chopra, RSC Adv. 5 (2015) 49708.

130. P. Sudhagar, T. Song, D. H. Lee, I. Mora-Seró, J. Bisquert, M. Laudenslager, W. M. Sigmund, W. I. Park, U. Paik and Y. S. Kang, J. Phys. Chem. Lett. 2

(2011) 1984.

131. A. Kargar, K. Sun, Y. Jing, C. Choi, H. Jeong, G. Y. Jung, S. Jin and D. Wang, ACS Nano 7 (2013) 9407.

132. Y. J. Hwang, C. H. Wu, C. Hahn, H. E. Jeong and P. Yang, Nano Lett. 12

(2012) 1678. 133. C. Liu, J. Tang, H. M. Chen, B. Liu and P. Yang, Nano Lett. 13 (2013) 2989.

134. J. Shi and X. Wang, Energy Environ. Sci. 5 (2012) 7918.

135. S. Y. Noh, K. Sun, C. Choi, M. Niu, M. Yang, K. Xu, S. Jin and D. Wang, Nano Energy 2 (2013) 351.

136. X. Jianga, B. Tiana, J. Xianga, F. Qiana, G. Zhenga, H. Wang, L. Maia and

C. M. Liebera, PNAS 108 (2011) 12212. 137. D. Wang, F. Qian, C. Yang, Z. Zhong and C. M. Lieber, Nano Lett. 4 (2004)

871.

138. J. Hu, Y. Bando, J. Zhan, X. Yuan, T. Sekiguchi and D. Golberg, Adv. Mater. 17 (2005) 971.

139. S. Lv, Z. Li, C. Chen, J. Liao, G. Wang, M. Li and W. Miao, ACS Appl.

Mater. Interfaces 7 (2015) 13564. 140. S. K. Chong, E. L. Lim, C. C. Yap, W. S. Chiu, C. F. Dee and S. A. Rahman,

Sci. Adv. Mater. 6 (2014) 782.

141. A. Kargar, K. Sun, S. J. Kim, D. Lu, Y. Jing, Z. Liu, X. Pan and D. Wang, Phys. Status Solidi A 210 (2013) 2561.

142. B. Tian, X. Zheng, T. J. Kempa, Y. Fang, N. Yu, G. Yu, J. Huang and C. M.

Lieber, Nature 449 (2007) 885. 143. E. Garnett and P. Yang, Nano Lett. 10 (2010) 1082.

144. L. Gan, H. He, L. Sun and Z. Ye, Phys. Chem. Chem. Phys. 16 (2014) 890.

145. H. Savin, P. Repo, G. von Gastrow, P. Ortega, E. Calle, M. Garín and R. Alcubilla, Nat. Nanotechnol. 10 (2015) 624.

146. X. X. Lin, Y. Zeng, S. H. Zhong, Z. G. Huang, H. Q. Qian, J. Ling, J. B. Zhu

and W. Z. Shen, Nanotechnology 26 (2015) 125401. 147. S. K. Chong, C. F. Dee and S. A. Rahman, RSC Adv. 5 (2015) 2346.

148. C. Yang, J. Wang, L. Mei and X. Wang, J. Mater. Sci. Technol. 30 (2014) 1124.

149. J. Hu, Z. Chen, R. Zou and Y. Sun, Crystengcomm 12 (2010) 89.

150. A. Kargar, S. J. Kim, P. Allameh, C. Choi, N. Park, H. Jeong, Y. Pak, G. Y. Jung, X. Pan, D. Wang and S. Jin, Adv. Funct. Mater. 25 (2015) 2609.

151. W.-C. Wang, M.-C. Tsai, J. Yang, C. Hsu and M.-J. Chen, ACS Appl. Mater.

Interfaces 7 (2015) 10228. 152. L. J. Lauhon, M. S. Gudiksen, D. Wang and C. M. Lieber, Nature 420 (2002)

57.

153. K. Y. Ko, H. Kang, J. Kim, W. Lee, H. S. Lee, S. Im, J. Y. Kang, J.-M. Myoung, H.-G. Kim, S.-H. Kim and H. Kim, Mater. Sci. Semicond. Process.

27 (2014) 297.

Page 21: Silicon nanowire heterostructures: growth strategies ... · Silicon nanowire heterostructures: growth strategies, novel properties and emerging applications ... the surface states

21

Science Advances Today Sci. Adv. Today 2 (2016) 25230

Scie

nce

Ad

van

ces

Tod

ay

Scien

ce Advan

ces Today

LOGNOR

www.lognor.com/scienceadvancestoday © LOGNOR. All rights reserved.

154. P. Hazra, S. K. Singh and S. Jit, J. Vac. Sci. Technol. A 33 (2015) 01A114.

155. J. Zhan, Y. Bando, J. Hu, Z. Liu, L. Yin and D. Golberg, Angew. Chem. Int. Ed. 44 (2005) 2140.

156. Z. Feng, R. Jia, B. Dou, H. Li, Z. Jin, X. Liu, F. Li, W. Zhang and C. Wu,

Appl. Phys. Lett. 106 (2015) 053118. 157. L. T. Canham, Appl. Phys. Lett. 57 (1990) 1046.

158. A. R. Guichard, R. D. Kekatpure, M. L. Brongersma and T. I. Kamins, Phys.

Rev. B 78 (2008) 235422. 159. T. Suzuki, L. Skuja, K. Kajihara, M. Hirano, T. Kamiya and H. Hosono,

Phys. Rev. Lett. 90 (2003) 186404. 160. O. Demichel, V. Calvo, P. Noé, B. Salem, P. F. Fazzini, N. Pauc, F. Oehler,

P. Gentile and N. Magnea, Phys. Rev. B 83 (2011) 245443.

161. O. Demichel, V. Calvo, A. Besson, P. Noe, B. Salem, N. Pauc, F. Oehler, P. Gentile and N. Magnea, Nano Lett. 10 (2010) 2323.

162. S. S. Walavalkar, C. E. Hofmann, A. P. Homyk, M. D. Henry, H. A. Atwater

and A. Scherer, Nano Lett. 10 (2010) 4423. 163. J. Valenta, B. Bruhn and J. Linnros, Nano Lett. 11 (2011) 3003.

164. L. Skuja, T. Suzuki and K. Tanimura, Phys. Rev. B 52 (1995) 15208.

165. L. Tsybeskov, J. V. Vandyshev and P. M. Fauchet, Phys. Rev. B 49 (1994) 7821.

166. Y. F. Chan, W. Su, C. X. Zhang, Z. L. Wu, Y. Tang, X. Q. Sun and H. J. Xu,

Opt. Express 20 (2012) 24280. 167. S. T. Tan, X. W. Sun, J. L. Zhao, S. Iwan, Z. H. Cen, T. P. Chen, J. D. Ye, G.

Q. Lo, D. L. Kwong and K. L. Teo, Appl. Phys. Lett. 93 (2008) 013506.

168. C. Yuan-Ming, J. Sheng-Rui, L. Hsin-Yi, L. Chih-Ming and J. Jenh-Yih, Nanotechnology 21 (2010) 385705.

169. K.-J. Moon, T. I. Lee, W. Lee and J.-M. Myoung, Nanoscale 6 (2014) 3611.

170. Y.-P. Hsieh, H.-Y. Chen, M.-Z. Lin, S.-C. Shiu, M. Hofmann, M.-Y. Chern, X. Jia, Y.-J. Yang, H.-J. Chang, H.-M. Huang, S.-C. Tseng, L.-C. Chen, K.-

H. Chen, C.-F. Lin, C.-T. Liang and Y.-F. Chen, Nano Lett. 9 (2009) 1839.

171. G. Fan, H. Zhu, K. Wang, J. Wei, X. Li, Q. Shu, N. Guo and D. Wu, ACS Appl. Mater. Interfaces 3 (2011) 721.

172. J.-Y. Jung, K. Zhou, J. H. Bang and J.-H. Lee, J. Phys. Chem. C 116 (2012)

12409. 173. Y.-H. Chen, W.-S. Li, C.-Y. Liu, C.-Y. Wang, Y.-C. Chang and L.-J. Chen, J.

Mater. Chem. C 1 (2013) 1345.

174. N. Megouda, Y. Cofininier, S. Szunerits, T. Hadjersi, O. ElKechai and R. Boukherroub, Chem. Commun. 47 (2011) 991.

175. D. Liu, L. Li, Y. Gao, C. Wang, J. Jiang and Y. Xiong, Angew. Chem. Int.

Ed. 54 (2015) 2980. 176. F. Liao, T. Wang and M. Shao, J. Mater. Sci. Mater. Electron. 26 (2015)

4722.

177. C. Chia-Yun and C. Arh-Hwang, J. Phys. D: Appl. Phys. 45 (2012) 365304. 178. H. S. Song, W. J. Zhang, C. Cheng, Y. B. Tang, L. B. Luo, X. Chen, C. Y.

Luan, X. M. Meng, J. A. Zapien, N. Wang, C. S. Lee, I. Bello and S. T. Lee,

Cryst. Growth Des. 11 (2011) 147. 179. Q. Liu, F. Wu, F. Cao, L. Chen, X. Xie, W. Wang, W. Tian and L. Li, Nano

Research (2015) 1.

180. G. Yuan, K. Aruda, S. Zhou, A. Levine, J. Xie and D. Wang, Angew. Chem. Int. Ed. 50 (2011) 2334.

181. K. Peng, Y. Xu, Y. Wu, Y. Yan, S.-T. Lee and J. Zhu, Small 1 (2005) 1062.

182. D. R. Kim, C. H. Lee and X. Zheng, Nano Lett. 10 (2010) 1050. 183. X. Wang, K.-Q. Peng, X.-J. Pan, X. Chen, Y. Yang, L. Li, X.-M. Meng, W.-J.

Zhang and S.-T. Lee, Angew. Chem. Int. Ed. 50 (2011) 9861.

184. X. Zhang, C. Xie, J. Jie, X. Zhang, Y. Wu and W. Zhang, J. Mater. Chem. A 1 (2013) 6593.

185. Y. Lin, X. Li, D. Xie, T. Feng, Y. Chen, R. Song, H. Tian, T. Ren, M. Zhong,

K. Wang and H. Zhu, Energy Environ. Sci. 6 (2013) 108. 186. T. Song, F. Zhang, X. Lei, Y. Xu, S. Lee and B. Sun, Nanoscale 4 (2012)

1336.

187. X. Shen, B. Sun, D. Liu and S.-T. Lee, J. Am. Chem. Soc. 133 (2011) 19408. 188. D. R. Kim, C. H. Lee, P. M. Rao, I. S. Cho and X. Zheng, Nano Lett. 11

(2011) 2704.

189. K. Peng, X. Wang and S.-T. Lee, Appl. Phys. Lett. 92 (2008) 163103.

190. W. Wang, Q. Zhao, K. Laurent, Y. Leprince-Wang, Z.-M. Liao and D. Yu, Nanoscale 4 (2012) 261.

191. M. Constantinou, V. Stolojan, K. P. Rajeev, S. Hinder, B. Fisher, T. D.

Bogart, B. A. Korgel and M. Shkunov, ACS Appl. Mater. Interfaces 7 (2015) 22115.

192. G. D. Yuan, Y. B. Zhou, C. S. Guo, W. J. Zhang, Y. B. Tang, Y. Q. Li, Z. H.

Chen, Z. B. He, X. J. Zhang, P. F. Wang, I. Bello, R. Q. Zhang, C. S. Lee and S. T. Lee, ACS Nano 4 (2010) 3045.

193. H.-C. Chen, Y.-T. Chen, R.-Y. Tsai, M.-C. Chen, S.-L. Chen, M.-C. Xiao, C.-L. Chen and M.-Y. Hua, Biosens. Bioelectron. 66 (2015) 198.

194. W. Zhou, X. Dai, T.-M. Fu, C. Xie, J. Liu and C. M. Lieber, Nano Lett. 14

(2014) 1614. 195. K. H. Cho, K. H. Yeo, Y. Y. Yeoh, S. D. Suk, M. Li, J. M. Lee, M.-S. Kim,

D.-W. Kim, D. Park, B. H. Hong, Y. C. Jung and S. W. Hwang, Appl. Phys.

Lett. 92 (2008) 052102. 196. A. I. Hochbaum, R. Chen, R. D. Delgado, W. Liang, E. C. Garnett, M.

Najarian, A. Majumdar and P. Yang, Nature 451 (2008) 163.

197. E. K. Lee, L. Yin, Y. Lee, J. W. Lee, S. J. Lee, J. Lee, S. N. Cha, D. Whang, G. S. Hwang, K. Hippalgaonkar, A. Majumdar, C. Yu, B. L. Choi, J. M. Kim

and K. Kim, Nano Lett. 12 (2012) 2918.

198. C. X. Zhang, L. Liu, H. J. Yin, H. Fang, Y. M. Zhao, C. J. Bi and H. J. Xu, Appl. Phys. Lett. 105 (2014) 011905.

199. X. Han, H. Wang, X. Ou and X. Zhang, J. Mater. Chem. 22 (2012) 14127.

200. X. Yang, H. Zhong, Y. Zhu, J. Shen and C. Li, Dalton Trans. 42 (2013) 14324.

201. B. Zhang, H. Wang, L. Lu, K. Ai, G. Zhang and X. Cheng, Adv. Funct.

Mater. 18 (2008) 2348. 202. J.-A. Huang, Y.-Q. Zhao, X.-J. Zhang, L.-F. He, T.-L. Wong, Y.-S. Chui, W.-

J. Zhang and S.-T. Lee, Nano Lett. 13 (2013) 5039.

203. S. Zhuo, M. Shao, L. Cheng, R. Que, S. Zhuo, D. D. Duo Ma and S.-T. Lee, Appl. Phys. Lett. 96 (2010) 103108.

204. H. Wang, L. Mu, G. She and W. Shi, RSC Adv. 5 (2015) 65905.

205. Y. Cui, Q. Wei, H. Park and C. Lieber, Science 293 (2001) 1289. 206. L. Luo, J. Jie, W. Zhang, Z. He, J. Wang, G. Yuan, W. Zhang, L. C. M. Wu

and S.-T. Lee, Appl. Phys. Lett. 94 (2009) 193101.

207. T.-T. Xu, J.-A. Huang, L.-F. He, Y. He, S. Su and S.-T. Lee, Appl. Phys. Lett. 99 (2011) 153116.

208. M.-L. Zhang, C.-Q. Yi, X. Fan, K.-Q. Peng, N.-B. Wong, M.-S. Yang, R.-Q.

Zhang and S.-T. Lee, Appl. Phys. Lett. 92 (2008) 043116. 209. M. S. Akin, M. Yilmaz, E. Babur, B. Ozdemir, H. Erdogan, U. Tamer and G.

Demirel, J. Mater. Chem. B 2 (2014) 4894.

210. S. Su, X. Wei, Y. Zhong, Y. Guo, Y. Su, Q. Huang, S.-T. Lee, C. Fan and Y. He, ACS Nano 6 (2012) 2582.

211. S. W. Han, S. Lee, J. Hong, E. Jang, T. Lee and W.-G. Koh, Biosens.

Bioelectron. 45 (2013) 129. 212. K.-I. Chen, B.-R. Li and Y.-T. Chen, Nano Today 6 (2011) 131.

213. G.-J. Zhang and Y. Ning, Anal. Chim. Acta 749 (2012) 1.

214. J.-S. Noh, H. Kim, B. S. Kim, E. Lee, H. H. Cho and W. Lee, J. Mater. Chem. 21 (2011) 15935.

215. S. Ma, M. Hu, P. Zeng, M. Li, W. Yan and Y. Qin, Sens. Actuat. B 192

(2014) 341. 216. H.-C. Han, C.-W. Chong, S.-B. Wang, D. Heh, C.-A. Tseng, Y.-F. Huang, S.

Chattopadhyay, K.-H. Chen, C.-F. Lin, J.-H. Lee and L.-C. Chen, Nano Lett.

13 (2013) 1422. 217. A. K. Shalek, J. T. Robinson, E. S. Karp, J. S. Lee, D.-R. Ahn, M.-H. Yoon,

A. Sutton, M. Jorgolli, R. S. Gertner, T. S. Gujral, G. MacBeath, E. G. Yang

and H. Park, PNAS 107 (2010) 1870. 218. W. Kim, J. K. Ng, M. E. Kunitake, B. R. Conklin and P. Yang, J. Am. Chem.

Soc. 129 (2007) 7228.

219. K. Pan, H. Ming, H. Yu, H. Huang, Y. Liu and Z. Kang, Dalton Trans. 41 (2012) 2564.

Cite this article as:

Ramesh Ghosh et al.: Silicon nanowire heterostructures: growth strategies, novel properties and emerging applications.

Sci. Adv. Today 2 (2016) 25230.