Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4...

141
BERENBERG EQUITY RESEARCH Semiconductor Equipment Shrinkage brings growth, but not for everyone Tammy Qiu Analyst +44 20 3465 2673 [email protected] Jean Beaubois Specialist Sales +44 20 3207 7835 [email protected] 22 July 2013 Technology Hardware

Transcript of Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4...

Page 1: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

BERENBERG EQUITY RESEARCH

Semiconductor Equipment

Shrinkage brings growth, but not for everyone

Tammy Qiu Analyst

+44 20 3465 2673

[email protected]

Jean Beaubois Specialist Sales

+44 20 3207 7835

[email protected]

22 July 2013

Technology Hardware

Page 2: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

For our disclosures in respect of section 34b of the German Securities Trading Act (Wertpapierhandelsgesetz – WpHG) and our disclaimer please see the end of this document. Please note that the use of this research report is subject to the conditions and restrictions set forth in the disclosures and the disclaimer at the end of this document.

Page 3: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

3

Table of contents

Shrinkage brings growth, but not for everyone 4

Helicopter view of the names 6

Key debates 7

Snapshot on capex trends and vendor exposures 10

How much are chip-makers spending? 12

Where are chip-makers spending? 21

Companies

AMSL: The best positioned player, but priced in 28

AMS International: Front-end business undervalued 53

Suess Microtec: Small, but active in growing markets 74

Applied Materials: Recovery and growth priced in 92

Tokyo Electron: Mainly exposed to legacy markets 117

Disclosures in respect of section 34b of the German Securities Trading Act (Wertpapierhandelsgesetz – WpHG) 137

Contacts: Investment Banking 140

Page 4: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

4

Shrinkage brings growth, but not for everyone

● We believe that the semiconductor equipment industry has entered a recovery cycle from beginning of 2013, after declining by 16% in 2012 due to weak memory spending. ASML, Applied Materials (AMAT), Tokyo Electron (TEL), ASM International (ASMI) and KLA Tencor Corp (KLA) are seeing or guiding for order recovery compared with the 2012 trough level. We expect this order recovery trend to continue as 1) foundry/logic orders are likely to remain strong, driven by a 28nm capacity expansion and a 20nm/16nm FinFET ramp-up, and 2) memory orders are expected to pick up from the 2012 level after a better supply/demand balance since the start of 2013, driven by the ramp-up of NAND 20nm and smaller nodes, DRAM 30nm and smaller nodes and 3D NAND.

● Key debates: 1) Will the semiconductor cycles be as cyclical in the future as previously? 2) Where are we in the cycle now? 3) What will the impact be of Apple switching/buying into its foundry partners? 1) We believe the semiconductor cycle will remain cyclical, but less

volatile compared with historically, as a) memory capex, which caused fluctuations previously, is likely to be less volatile as memory-makers have become more conservative in their spending, b) logic/foundry capex is likely to remain strong, as competition intensifies in the consumer devices market.

2) As the industry entered an order recovery cycle at the beginning of 2013, we expect that we will exit the recovery phase by end-2013/early 2014, and enter a more muted growth period, as a) Intel and Samsung’s capex is likely to be spent in H2, which will drive order growth in 2013 H2, b) foundry/logic capex is likely to remain at the current level over the next three years until 2016 (TSMC indicated that its 2014 capex will be similar to that in 2013), c) memory capex has recovered since mid-2013. We believe that memory capex growth in the next three years will not drive any significant total capex growth, as it will only account for 29% of total capex, versus 33-57% of total capex in the peak years.

3) We believe that Apple’s foundry switch is likely to provide small positive upside for some equipment vendors, depending on their exposure to the various foundry/logic players. The foundry order switch is likely to create new capacity addition demand, which could give a short-term boost to overall capex.

● The growth potential of different equipment vendors differs, as they are each exposed to different fabrication processes. We rank the vendors’ growth potential (between 2012 to 2017) as follows: 1) ASML – 100% exposure to the lithography market, which is

growing at a CAGR of 18%, driven by demand for ever smaller chips;

2) ASMI – 60% exposure to the atomic layer deposition (ALD) market, which is growing at a CAGR of 15%;

3) KLA – 100% exposure to process control market (growing at a CAGR of 6%), although AMAT may gain market share from it;

4) SUSS – 30% exposure to the micro-electro-mechanical systems (MEMS) market, which is growing at a CAGR of 12%;

5) LAM – 50% exposure to the silicon etching market (growing at CAGR 4%);

6) AMAT – a diversified portfolio, but with 61% market exposure to growth markets and 39% to legacy markets; benefiting from the capex growth trend;

7) TEL – 60% exposure to legacy markets.

ASML Holding Hold (initiation) Current price EUR67.68

Price target EUR73.00

19/07/2013 Amsterdam Close ASM International Buy (initiation) Current price EUR27.49

Price target EUR31.00

19/07/2013 Amsterdam Close Suess Microtec Buy (initiation) Current price EUR7.65

Price target EUR9.40

19/07/2013 XETRA Close Applied Materials Hold (initiation) Current price USD16.56

Price target USD14.40

18/07/2013 New York Close Tokyo Electron Sell (initiation) Current price JPY4,790

Price target JPY4,331

19/07/2013 Tokyo Close Rating system: Absolute

22 July 2013

Tammy Qiu Analyst +44 20 3465 2673 [email protected]

Jean Beaubois Specialist Sales +44 20 3207 7835 [email protected]

Page 5: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

5

● In this note, we initiate coverage on ASML with a Hold recommendation (PT €73) as we believe all positive news is well understood and priced in at the current price level. We initiate with Buy ratings on ASMI (PT €31), as it has a 44% market share and no direct competitor in the growing ALD market, and on Suess Microtec (SUSS) (PT €9.4), as we believe the lithography segment can drive strong growth for SUSS in 2014 and 2015 without a significant contribution from other segments. We initiate with a Hold rating on AMAT (PT $14.4) as we can only see it gaining a further 1% in market share. We also initiate with a Sell rating on TEL (PT ¥4,331), as ~60% of its revenue is exposed to low-growth markets.

Page 6: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

6

Helicopter view of the names

Figure 1: Market share and market growth potential

Source: Berenberg estimates Figure 2: Relative share performance

Source: Bloomberg data Figure 3: Valuation matrix

Source: Bloomberg data, company data, Berenberg estimates

High

Low

Mar

ket s

hare

Low High

Addressable market growth

01/01/2008 01/01/2009 01/01/2010 01/01/2011 01/01/2012 01/01/2013

AMAT ASMI ASML TEL Suess

No.1 ASML 19.7% AMAT 2.5% AMAT 9.7% TEL 26.5%No.2 AMAT 17.1% TEL 1.5% ASMI 6.2% SUSS 17.6%No.3 SUSS 9.1% ASML 0.9% ASML 4.5% AMAT 16.7%No.4 TEL 6.3% ASMI - TEL 4.3% ASMI 8.9%No.5 ASMI 6.2% SUSS - SUSS 1.6% ASML 6.5%* based on Berenberg estimation of 2014 performanceNet cash based on 2012 YE balance

ROE*Dividend

yield*FCF yield* Net cash/marcap

Page 7: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

7

Key debates

Will the semiconductor space remain as cyclical as before? We believe the semiconductor cycle is going to remain cyclical, but less volatile compared to history. Over the past decade, we have seen cycle peaks in 2000, 2007 and 2011, followed by a capacity digestion period, ie trough cycles in 2002 and 2009, driven by different market trends. Memory was the main driver for the 2000 and 2008 cycle peak, and logic/foundry drove the peak cycle with memory in 2011.

Figure 4: Semiconductor capex to remain flattish for the next two years

Source: Gartner data, Berenberg estimates

The 2000 cycle was driven by 1) strong PC demand, 2) increased DRAM content per PC, 3) increased capacity for 0.13 micron, 0.18 micron and 300mm.

In 2007, the cycle was driven by 1) Apple’s Nano/Shuffle products and other portable media players, which led NAND demand, 2) a DRAM demand increase due to increased adoption of 64-bit processors, Microsoft Vista and the Sony PlayStation 3 (PS3) launch, 3) an increased mobile phone DRAM demand, and 4) DRAM, Logic and NAND moving to smaller nodes.

The 2011 cycle was influenced by 1) smartphone/tablet demand offset by PC demand, 2) leading-edge chip increases (logic: 28nm; NAND: 20nm; DRAM: 30nm) as the consumer electronics market grew.

We believe future cycles will be less volatile: In the future, we believe the semiconductor capex trend will be less cyclical compared to previous cycles for the following reasons.

1) Memory-makers become more conservative in their spending: The previous three peak cycles were driven by memory. Memory-makers have tended to double their capex in the peak years (ie 2000, 2004-2007, 2010) and cut capex by half in the following one/two years. Following the 2012 memory price slump, memory-makers became more cautious and are now ramping up addition capacity slower than before. Therefore we believe the cycle volatility caused by memory spending will be significantly reduced.

2) Foundry/logic spending is likely to remain strong: Intel, Samsung (excluding memory) and Taiwan Semiconductor Manufacturing Company (TSMC) (which together account for 78% total foundry/logic capex) are heavily exposed to the consumer electronic markets, and the competition in the market is further intensified by the war between Apple, Samsung, Qualcomm, Intel and ARM. We believe that these companies have no choice

0%

5%

10%

15%

20%

25%

30%

35%

- 10,000 20,000 30,000 40,000 50,000 60,000 70,000 80,000

USD mn

Semi capex $mn Semi equipment revenue $mn Semi capex/semi revenue %

Page 8: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

8

but to keep spending to maintain their leadership in the consumer devices market.

Where are we in the cycle? We believe we are halfway into the current recovery cycle, and will enter a more muted growth phase by the end of 2013 or early 2014: ASML, AMAT, TEL, ASMI, KLA and LAM have all shown improved order intake/shipment levels from the beginning of 2013, compared to end-2012; hence, we believe we have entered a recovery cycle from the 2012 trough.

We believe we may exit the recovery phase and enter a more muted growth phase of the cycle by end-2013/early 2014 for the following reasons.

1) Samsung and Intel’s capex is likely to be spent in H2 and will drive order growth in H2 2013.

2) TSMC, Samsung, Intel, Globalfoundries and other logic/foundry players have all budgeted higher/similar capex compared to 2012, and total logic and foundry capex is currently at a historical high (2013E: $37bn). After 2013, we expect foundry/logic capex to remain at flattish until 2016. In addition, TSMC has indicated that its 2014 capex will be similar to the 2013 level. We expect the solid order intake level to be maintained after 2013/early 2014, but growth is likely to be muted.

3) Memory spending has already picked up from the 2012 trough level since mid-2013. ASML, KLA and LAM have all indicated that memory spending is recovering. We estimate memory capex to grow at 11-15% in the next four years. However, as it only accounts for 29% of total capex, which is too small to drive significant capex hikes. Historically, memory spending used to account for up to 57% of total capex and hence had a greater impact on overall capex.

Will the Apple/Samsung/TSMC/Globalfoundries shift be a zero sum game? In our view, any shift is a small positive for equipment vendors, depending on which of them Apple is shifting to: Since last year, there has been much speculation about whether Apple will shift its foundry orders from Samsung to TSMC/Intel, and whether Apple will buy fabrication plants (fabs) from United Microelectronics Corporation (UMC) or Globalfoundries. In our opinion, these shifts can be a small positive on a net basis for some equipment vendors, instead of simply a zero sum game. The new foundry is likely to build capacity which was not required previously; at the same time, the old foundry may cut its original spending level, but it may have already committed some capex as fab-building projects are multi-year projects.

If Apple moves to TSMC, we believe ASML, AMAT, ASMI, and LAM will all benefit, as: 1) TSMC’s 20nm is lithography-intensive, and it only buys from ASML; 2) AMAT has a strong relationship with TSMC (although the decrease in the Samsung order may have a negative impact on AMAT); and 3) TSMC 20nm is high K metal gate (HKMG)-based, which requires the ALD tool from ASMI.

If Apple chooses Intel for its foundry orders, we believe TEL may benefit, with ASML also benefiting, but to a lesser extent, as 1) TEL has a close bond with Intel in relation to its etching tools, 2) AMAT does not have a strong relationship with Intel, 3) ASML may receive new orders, but it has to share Intel orders with Nikon, and 4) Intel’s capacity is 100% HKMG-based, which only leaves limited upside for ASMI.

Page 9: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

9

If Apple buys Globalfoundries’ fabs, we believe ASML, TEL, ASMI, AMAT and LAM may all benefit, as 1) leading-edge nodes are lithography-intensive, providing upside for ASML, 2) TEL is strong at Globalfoundries in etching, 3) LAM has close relationships with foundries in etching, 3) AMAT has strong links in deposition with foundries, 4) Globalfoundries’ HKMG adoption rate is currently quite low, so it may require more ALD tools from ASMI to ramp up capacity as required.

If Apple buys UMC’s fabs, we believe ASML, ASMI, AMAT and LAM could benefit as 1) leading-edge nodes are lithography-intensive, and hence are a strong suit for ASML, 2) LAM benefits from good relationships with foundries in etching, 3) AMAT is strong in deposition with foundries, and 4) UMC may need to ramp up its HKMG processes, which would benefit ASMI.

Page 10: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

10

Snapshot on capex trends and vendor exposures

In our view, the capex level of the industry is likely to be flattish over the next two years (5% up in 2014 and 3% up in 2015), and increase by 10% in 2016 due to 450mm migration, driven by strong foundry/logic and memory spending recovery.

Semiconductor equipment vendors’ growth potential differs from one vendor to another, as they are each exposed to different fabrication processes. We believe ASML and ASMI are likely to benefit from their sales addressable market (SAM) expansion, while TEL is likely to suffer from its declining SAM.

Capex to remain flattish in 2014/2015 Memory – NAND capex to return to growth and accelerate in 2014/15 NAND capex is likely to return to growth in 2013 (up 6%) and accelerate in 2014/2015 (up 15% and 20% respectively), driven by the high capital requirements of 20nm/below node, and 3D NAND.

We believe NAND vendors are unlikely to increase capex significantly in the near future as they did historically in peak years (39-115% growth), as 1) the spending pattern has become more conservative since the 2012 trough period, 2) Samsung’s new NAND fab, Micron’s DRAM-NAND conversion, and Toshiba’s capacity resumption may provide new capacity in next two years, and 3) the low-/mid-end smartphone/tablet, which is likely to be the driver for the consumer devices market, requires less NAND content than high-end products.

Memory – DRAM stable 5% growth in 2013/2014 DRAM capex growth is likely to be stable and grow at 5% in 2013 and 2014, enabling a limited expansion of 30nm/20nm capacity.

We believe DRAM capex will not increase significantly as: 1) capacity expansion demand is low (as per our conversations with industry sources, current capacity is sufficient to support annual bit growth of about 30%); 2) mid-/low-end consumer devices require less DRAM content per box; and 3) smartphone/tablet DRAM demand is not sufficient to offset the decline in PC unit growth as the content per box is less (0.6GB versus PCs at 4.2GB).

Foundry/logic players remain solid, contributing 60% of total capex Foundry/logic players are heavily exposed to the consumer electronic markets, as Samsung and Intel manufacture their own chips for their smartphones, tablets and Ultrabooks, Apple contracts the Samsung foundry and TSMC for its chip manufacturing requirements and fabless players such as Qualcomm, Mediatek and Nvidia contract foundries like TSMC, Globalfoundries and UMC for their chip manufacturing.

As a result of the increasing competition within the consumer electronics market, the chip-makers/device vendors require the most advanced chips in order to differentiate their end-products. The foundry/logic players are therefore under pressure to maintain their technology leadership, and all the major players are ramping up 20nm in 2013, to be followed by 16/14nm in 2014. We thus believe foundry/logic spending will remain solid, and will contribute about 60% of semiconductor capex.

Growth potential differs as market exposure differs Semiconductor equipment vendors’ growth potential differs from one to another, as each vendor is exposed to different fabrication processes.

We believe the lithography, ALD, epitaxy deposition, process control and 3D packaging markets have considerable growth potential, driven by node shrinking and 3D wafer stacking demand, hence ASML, ASMI, KLA and SUSS are likely to outperform other equipment suppliers. We believe TEL will suffer from its high

Page 11: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

11

exposure to legacy markets such as dielectric etching.

In the table below, we rank the market growth potential of the various markets, and relevant exposure of each of the key vendors. The vendors with high exposures to the growing markets are likely to outperform.

Figure 5: Ranking by growth potential

Source: Gartner data, Berenberg estimates

CAGR Comment2012-2017 ASML ASMI AMAT TEL SUSS KLA LAM

Lithography 18.0%Printing smaller chips requires leading edge lithography tools

100%(74% share)

Deposition - ALD15.0%

Demand increase as HKMG is required for 20nm and smaller node.

60% (44% share)

6% (44% share)

3D bonding14.0%

Revenue may triple once volume adoption starts in 2016/17

13% (no.1 share)

Deposition - Epitaxy 7.5%Extensively used for new chip designs, such as FinFET, 3D NAND

11% (89% share)

Process control 6.0%Demand increases as chip design gets more complex

9% (76% share)

100% (54% share)

Deposition - PE CVD 5.0% Demand driven by 3D NAND 19% (47% share)

Etching - Silicon 4.0% Demand driven by FinFET, 20nm 8% (14% share)

8% (9% share)

50% (59% share)

Photoresist processing 1.4%

Demand decrease as legacy lithography tool demand declines, hence total lithography tool shipment decreases.

34%(89% share)

Deposition - PVD 0.5% Grow slower than PE CVD 21% (78% share)

Etching - Dielectric 0.5%Demand shifts to silicon etching as new chip designs like FinFET, 20nm.

20% (63% share)

Ion Implanter-2.5% HKMG require less implant steps 11%

(76% share)

Equipment Vendor's Main market exposure (market share 2012)

Page 12: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

12

How much are chip-makers spending?

Semiconductor equipment vendors supply equipment used in the chip-manufacturing process. Historically, semiconductor equipment industry revenues are closely correlated to semiconductor capex levels, which are determined by the capital intensity of the semiconductor industry (see Figure 6).

Figure 6: Semi equipment revenue versus semi capex

Source: Gartner data, Berenberg estimates

As shown in Figure 7, foundry and logic, memory and integrated device manufacturer (IDM) players are the three main groups of capex spenders within the sector, although the capex mix varies over time. IDMs were the main spenders in the early 2000s, replaced by memory chip manufacturers in 2004/2005 following the high memory demand from Apple (for its Nano/Shuffle products), Microsoft (Vista), Sony (PS3) and mobile handset manufacturers. Foundry and logic chip-makers became the biggest spenders in 2011 due to the ramp-up of fabless players and greater outsourcing from IDMs. Over the next few years, we expect semi capex to comprise: 1) strong foundry and logic spending driven by consumer electronics growth; 2) a normalisation in memory spending; and 3) shrinking IDM spending as increased capital requirements push IDMs to use more foundry services instead of in-house manufacturing.

Figure 7: Three main semi capex spenders

Source: Gartner data, Berenberg estimates

0%

5%

10%

15%

20%

25%

30%

35%

- 10,000 20,000 30,000 40,000 50,000 60,000 70,000 80,000

USD mn

Semi capex $mn Semi equipment revenue $mn Semi capex/semi revenue %

0%

10%

20%

30%

40%

50%

60%

70%

80%

90%

100%

2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013E 2014E 2015E 2016EFoundry+logic IDM Memory (NAND+DRAM)

Page 13: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

13

The amount of capex from these three types of chip-makers will depend on their end-market demand and technology roadmap. We estimate the aggregate capex will remain flattish between 2013 and 2015. We expect 450mm migration-related capex to start increasing in 2016 from logic/foundry chip-makers, which will lead a 10% growth in overall capex (see Figure 8).

Figure 8: Total capex to be flat in 2014/2015 and boosted in 2016 by 450mm spending

Source: Gartner data, Berenberg estimates

Memory – NAND spending will normalise from now NAND capex grew aggressively from 2000 to 2007, outgrowing total semiconductor capex over the period. During this time, NAND bit shipments grew by c190%, fuelled by the increase of portable applications, such as digital cameras and personal digit assistants in 2000, followed by growth of Apple’s Nano/Shuffle products after launch in 2003. Since this period of rapid expansion, the NAND spending cycle tended to follow the spending cycle of total semiconductor capex (see Figure 9).

Figure 9: NAND capex versus total semi capex growth

Source: Gartner data

From the second half of 2011, the NAND industry struggled due to oversupply after its significant expansion phase; this was largely the result of softer demand in USB storage, fewer bundled cards with smartphones and channel inventory clearing. As a result, the NAND price fell by 42% in 2012 and 33% in 2011. In July 2012, Toshiba cut its utilisation rate by 30% (6-8% aggregate NAND capacity), and indeed all NAND vendors have become more conservative in adding new capacity.

0

10,000

20,000

30,000

40,000

50,000

60,000

70,000

80,000

1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013E 2014E 2015E 2016E

$mn

Foundry&logic IDM Memory

-100%

-50%

0%

50%

100%

150%

200%

2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012

% growth

NAND Capex Total Capex

Page 14: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

14

Toshiba and Micron are ramping up capacity slower than before, Samsung switched its NAND line 14 to LSI and has been converting its NAND production capacity in Austin, Texas since Q2 2012 to LSI (logic), and SK Hynix readjusted Fab M12’s capacity to produce both DRAM and NAND instead of being a dedicated NAND fab.

Figure 10: NAND demand/supply

Source: Gartner data, Berenberg estimates

We expect NAND bit shipments to grow at a modest level (40-50%) over the next three years (see Figure 10 above), mainly driven by demand for Solid State Drive (SSD) and, to a lesser extent, smartphones and tablets. The main portion of bit growth (40%) will come from node shrinking (more chips can be made from the same wafer as chip size shrinks); therefore, wafer capacity addition is likely to grow at a very low level.

Figure 11: NAND content/box

Source: Gartner data, Berenberg estimates

We believe NAND vendors are unlikely to invest heavily in capacity additions in the near future because: 1) Samsung’s new mega NAND fab and Micron’s DRAM to NAND conversion will add new capacity in the next two years; 2) we estimate that the growth of smartphones and tablets will be driven by mid-/low-end products with low NAND content per box.

Mns of 1GB Eqv 2010 2011 2012 2013E 2014EDemand/SupplyNAND demand 11,295 20,195 32,131 45,872 62,992 Growth 65.7% 78.8% 59.1% 42.8% 37.3%

NAND supply 11,247 20,004 31,946 44,318 62,966 Growth 72.5% 77.9% 62.1% 38.7% 42.1%

ASP ($) 1.86 1.24 0.74 0.62 0.48Sufficiency 99.6% 99.1% 100.9% 96.7% 100.0%

By ApplicationTablets 4.5% 8.5% 7.3% 5.3% 6.1%PC 0.2% 0.2% 0.6% 1.3% 2.0%Server 0.1% 0.1% 0.1% 0.1% 0.1%Feature phone & sm 20.2% 22.3% 22.2% 21.5% 19.2%SSD 6.7% 12.8% 19.4% 29.2% 35.0%Data Card 46.5% 38.5% 32.9% 26.2% 23.6%Other 21.8% 17.6% 17.4% 16.4% 14.0%

(GB Eqv) 2010 2011 2012 2013E 2014E 2015E 2016ETablet 27.2 25.4 15.7 11.6 14.8 17.7 21.5 Growth 100% -7% -38% -26% 28% 19% 22%

Smartphone & Feature phones 1.4 2.5 3.9 5.1 6.3 8.8 12.6Growth 70% 73% 61% 30% 23% 39% 43%

Solid State Drives (SSD) 77.3 98.8 148.6 170.4 190.9 223.1 265.0Growth 35% 28% 50% 15% 12% 17% 19%

Data Cards 1.1 1.4 1.8 2.0 2.5 1.7 1.9 Growth 27% 34% 22% 15% 22% -32% 13%

Page 15: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

15

Figure 12: NAND shrinkage roadmap

Source: Gartner data, Berenberg estimates

Most vendors are currently building NAND at 19nm/20nm node, and are planning to start 16nm node at the end of the year (see Figure 12 above). We believe 16nm will mature in 2014, and vendors will enter 1Znm (12nm-14nm) and 3D NAND in 2014/2015.

Figure 13: NAND capex/revenue versus bit growth trend

Source: Gartner data

Historically, NAND bit growth was highly correlated to NAND capex/sales, as shown in Figure 13. We believe the capex/revenue ratio is likely to be around 30-40% for the next few years, as the NAND bit shipment growth rate is slowing down to 40-50% from its historical level of 80-200%.

We estimate NAND capex will grow by 20% in 2014/2015 after 6% growth in 2013; reflecting the high amount of capital investment required to ramp up production of 1Y/1Znm in 2014/2015 and prepare for 3D NAND.

Memory – DRAM spending pattern structurally changed Historically, DRAM capex has shown a bigger fluctuation versus total semiconductor capex during different spending cycles (2000, 2005-2007, 2008-2009) (see Figure 14). From the 1980s to 2007, the growth of the DRAM market was linked to the success of the PC and gaming industries. The capex peak in 2000 was driven by strong PC demand following the Pentium 4 launch and increased DRAM content per PC. The 2004 peak was driven by the increased adoption of dual-cores, 64-bit processors and PS3 demand. From 2007 to 2011, the rise of smartphones and tablets drove DRAM market growth, although this was offset by the weak performance of the PC market.

NAND H1 2010 H2 2010 H1 2011 H2 2011 H1 2012 H2 2012 2013E 2014E 2015E

Samsung -27nm-16nm expansion-21/19/16nm-Start 3D NAND

Toshiba/SanDisk

-16nm expansion

-1znm (12-14nm),-1Y nm/1xnm-3D NAND.

Micron(Elpida)

SK Hynix -26nm

-20nm-mainly ship 20nm,- start 16nm in Q3

-19nm started 2012 end,- 21nm/19nm -16nm start in H2

-25nm -20nm started in 2012 H1,-50% capacity on

-3xnm/4xnm

-3xnm/4xnm

-3xnm/4xnm

-24nm

-19nm started in 2012 end,-19nm as main capacity-1Y(18nm-15nm) start in 2013-16nm start in 2013 end

-21nm

-16nm start in 2013 end,-20nm/16nm

-100%

-50%

0%

50%

100%

150%

200%

250%

2003 2004 2005 2006 2007 2008 2009 2010 2011 2012

NAND

NAND capex/rev Bit growth

Page 16: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

16

Figure 14: DRAM capex versus total semi capex growth

Source: Gartner data

Following the capacity digestion period of 2011-2012 and the 9% capacity cut in 2012, independent researcher Gartner forecasts that the DRAM market will enter an over-demand phase in late 2013 at the earliest (see Figure 15). The growth of DRAM demand will be driven by smartphone and tablet unit shipments, and content per box growth.

From now on, DRAM bit shipment is likely to grow at a moderate rate of 30% rather than its historical level of 70%: 1) We believe the growth of the smartphone and tablet market will be driven by mid-/low-end products with low content per box (see Figure 16); 2) the demand uptick from smartphone and tablet shipment is not sufficient to offset the decline in PC unit growth because smartphones and tablets have a much lower content per box compared with PCs; and 3) content per box growth is slowing down.

Figure 15: DRAM demand/supply

Source: Gartner data, Berenberg estimates

-100%

-50%

0%

50%

100%

150%

200%

1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012

% growth

DRAM Capex Total Capex

Mns of 1GB Eqv 2010 2011 2012 2013E 2014EDemand/SupplyDRAM demand 1,912 2,842 3,747 4,756 6,214 Growth 43.8% 48.6% 31.9% 26.9% 30.7%

DRAM supply 1,962 2,925 3,830 4,613 5,886 Growth 44% 49.0% 31.0% 20.5% 27.6%

ASP ($) 2.60 1.30 0.86 0.72 0.54Sufficiency 102.6% 102.9% 102.2% 97.0% 94.7%

By ApplicationPC 51.6% 44.3% 39.0% 48.8% 45.1%Tablets 0.2% 1.1% 2.4% 6.1% 8.1%Smartphones 3.3% 6.1% 12.3% 18.2% 21.7%Feature phones 2.4% 2.3% 1.8% 1.1% 0.8%Server 8.4% 11.8% 11.8% 13.9% 13.6%Consumer 7.7% 5.2% 4.0% 5.3% 4.8%Other 26.4% 29.1% 28.7% 6.6% 6.1%

Page 17: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

17

Figure 16: DRAM content/box

Source: Gartner data, Berenberg estimates

In previous years, there has been DRAM capex investment in both capacity expansion and technology migration. As per our conversations with various industry sources, the current level of DRAM capacity in place is sufficient to support annual bit growth of about 30% for the next five years. This level will, therefore, be sufficient to meet our demand forecast (30% growth rate) (see Figure 15). Micron has even indicated that wafer capacity will decline in 2013 and 2014. Therefore, we are only going to see capex investment on shrinkage, instead of additional capacity building, apart from the remaining part of SK Hynix’s fab M12 expansion, which is likely to be very little.

Figure 17: DRAM shrinking roadmap

Source: Company data

In 2011 and 2012, all DRAM suppliers actively migrated down from the 50nm/40nm node to the 30nm/20nm node (see Figure 17). Process node migration has slowed down after the accelerated period following the industry’s adoption of ArF immersion stepper in 2009/10. Today, physical barriers and the delay of the introduction of extreme ultraviolet (EUV) lithography have raised technical hurdles for DRAM-makers, compared with the industry’s previous migration from 5Xnm to 3Xnm.

All three of the main DRAM suppliers plan to expand their 20nm capacity over the next three years to 2016. Various DRAM vendors have told us that the node size for DRAM could fall to 12nm/8nm after the 20nm generation. We therefore estimate shrinkage-related capex will remain at a similar level for DRAM-makers from 2014 to 2016.

2010 2011 2012 2013E 2014E 2015E 2016EPC 2.8 3.5 4.2 4.6 5.0 5.7 6.4 Growth 15% 25% 21% 10% 9% 13% 13%

Tablet 0.3 0.5 0.6 0.9 1.5 2.2 2.7 Growth - 81% 25% 60% 62% 43% 26%

Smartphone 0.2 0.4 0.6 0.8 1.1 1.5 1.9Growth 19% 74% 72% 30% 33% 38% 29%

Featrue phone 0.3 0.5 0.6 0.9 1.5 2.2 2.7Growth 1% 81% 25% 60% 62% 43% 26%

Server 18.0 35.2 45.0 53.2 57.7 77.6 111.8 Growth 43% 96% 28% 18% 8% 34% 44%

H1 2010 H2 2010 H1 2011 H2 2011 H1 2012 H2 2012 2013E 2014E 2015EDRAM

Samsung-25nm started 2012 end,

-2Ynm & 2Znm (20nm/21nm).

Micron -50nm- 24nm/2Znm

Elpida

SK Hynix

-23nm & 2Znm (20nm/21nm).

Others -2Xnm/2Znm

-30nm&2xnm start and expand

-44nm -38nm started Q1 2011,-40nm/38nm

-3xnm account for 70%,-28nm mass production started 2012 end,-23nm start in 2013- expand 28nm/23nm

-45nm/42nm/30nm

- 40nm started H1 2010 -Started 28nm Q4 2011,-40nm/35nm/28nm.

-42nm

-start and expand 24nm in 2013 end/2014,-32nm &24nm , skip 28nm.

-32nm started Q1 2012,-42nm & 32nm.

-plan to ramp up 25nm,- expand 30nm/2xnm.

-32nm stated 2012 Q1,-42nm &32nm

-23nm start in 2013- expand 2Y(23nm/25nm)/28nm

Page 18: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

18

Figure 18: DRAM capex/revenue versus bit growth trend

Source: Gartner data

Historically, DRAM capital intensity was positively correlated to bit growth (see Figure 18). As discussed above, we expect DRAM bit growth to grow at a moderate 30% rate compared with its historical level of 70%. The spending pattern (capex/sales) of the DRAM industry is therefore likely to undergo structural change from the previous 40-60% level to 19-25%.

We estimate that DRAM capex will remain flat or slightly down in 2013 even if the ASP improves, as DRAM-makers are likely to spend conservatively for the time being. We may start to see a small capex uptick in 2014/2015 because: 1) DRAM-makers may begin to spend more as they see sustainable profitability; and 2) most DRAM-makers plan to start or expand their 2Ynm (25/24/23nm) output in 2014, followed by 2Znm production in 2015. We could even see substantially higher capex growth if DRAM-makers start to upgrade current fab to facilitate EUV tools in 2014/2015, as the EUV tools are much bigger and heavier than previous lithography tools.

Foundry and logic – the main spenders keep spending Foundry and logic capex grew at c22% from 2007-2012, versus total capex which was down by c1% during the same period. The strong capex growth was linked to the consumer electronics boom that began in 2009/2010.

We expect the consumer electronic market to maintain solid growth over the next few years, driven by the intensified competition between vendors and form factor innovations. Logic players such as Intel and Samsung, are exposed to the trend because they manufacture the chips they use in own devices, while TSMC, the Samsung foundry, Globalfoundries and other foundries are exposed because they receive manufacturing orders from fabless players such as Apple, Qualcomm, Nvidia, Broadcom and Mediatek (see Figures 19 and 20).

0%

20%

40%

60%

80%

100%

2003 2004 2005 2006 2007 2008 2009 2010 2011 2012

DRAM

DRAM Capex/revenue Bit growth

Page 19: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

19

Figure 19: Foundry revenue components

Source: Gartner data Figure 20: Foundry grow with fabless

Source: Gartner data

Apple, Samsung, Intel and other device vendors have been competing for market share by delivering end-products with higher specifications, lower power consumption, smaller form factors and lower price points. These ultimately require the use of chips which are manufactured with leading edge technology. As a result, Intel, Samsung, TSMC, Globalfoundries and others will be under pressure to maintain their current spending to adhere to their aggressive shrinkage roadmap.

Due to the greater capital intensity required to develop leading-edge technology, we believe that there will be fewer players that can afford, and are willing, to invest in such advances. We estimate that 90% of foundry and logic capex will come from Samsung, TSMC, Intel and Globalfoundries in 2016, compared with 66% in 2007 and 50% in 1999 (see Figure 21). Due to their large exposure to the consumer electronics market, and the intensified competition within that market, we believe these companies have no choice but to keep spending.

-

5,000

10,000

15,000

20,000

25,000

30,000

2007 2008 2009 2010 2011 2012

$mn Foundry revenue by customer type

Fabless IDM Other

-20.0%

-10.0%

0.0%

10.0%

20.0%

30.0%

40.0%

50.0%

2007 2,008 2,009 2,010 2,011 2,012

Foundry revenue growth

Fabless Total Foundry revenue

Page 20: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

20

Figure 21: Samsung, Intel, TSMC and Globalfoundries capex versus total capex

Source: Gartner data, Berenberg estimates Figure 22: Foundry/logic shrinking roadmap

Source: Company data, Berenberg estimates

The roadmap in Figure 22 above shows that all major foundry and logic players plan to start production of 16/10nm in 2014/2015 after 20nm in 2013. From a technology perspective, 20nm node and beyond are much more capital-intensive than 28nm node due to the double/multiple patterning or the EUV required in their manufacturing process.

Considering that Intel has historically re-used 80% of its tools for the next technology node, and Samsung/TSMC could follow the same route as shrinkage becomes more expensive, we forecast c3% growth in capex from 2013 to 2015. We also expect 450mm investment to start taking place in 2016 with an 18% uptick in capex.

0%10%20%30%40%50%60%70%80%90%100%

0

5,000

10,000

15,000

20,000

25,000

30,000

35,000

40,000

45,000

1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013E2014E2015E2016E

$mn

Samsung, Intel, TSMC, GF Capex % of total semi capex

H1 2010 H2 2010 H1 2011 H2 2011 H1 2012 H2 2012 2013E 2014E 2015EFoundry/Logic

TSMC-expand 28nm,-20nm & 16nm FinFET risk production,

-28nm mature,- 20nm/16nm start volume production,

-20nm/16nm,-10nm FInFET R&D.

Samsung-20nm/14nm-10nm FInFET R&D

UMC-28nm start, contribute low single digit % by YE, mainly Poly SiON

-28nm&20nm,-14nm tape out.

-14nm FinFET risk production start

GF-28nm started and good yield at year end,

-20nm,-develop 14nm XM

-14nmXM-20nm/14nm,-10nm FInFET R&D.

Intel -14nm 3D -10nm 3D,-next 7nm and 5nm

-20nm-start 14nmFinFET in 2013

-started 28nm started with good yield in 2012 end,-28nm both HKMG & Poly SiON

-65nm&finer eqv 35% rev,-40nm eqv low single digit.

- 40nm eqv 10% above rev in Q4 2011,

-40/65nm contribute 53% revenue in 2010,-28nm started Q3 2011, 22% Q4 2012 rev-28nm good yield in HKMG & Poly SiON at 2012 end,

-40nm-32nm HKMG started in 2011,-45/32nm supply constrain,

- 45nm,-started 32nm at 2010 end.

-40nm contribute 15% revenue by 2012 e

-32nm yileld improved,-45nm/32nm

-32nm -22nm 3D, main node in 2013-start 14nm end of 2013

Page 21: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

21

Where are chip-makers spending?

The semiconductor equipment vendors usually specialise in tools used in either one or more chip fabrication steps. In Figure 23, we lay out the exposures of different equipment suppliers within the chip fabrication process, and their relative market share in wafer fab equipment capex. The total wafer fab equipment capex was $38bn in 2012 – 81% of total capex.

Figure 23: Chip fabrication process

Source: Nikon, Berenberg data, Gartner data

Silicon ingots up to 300mm in diameter

Ingots sliced into wafer and polishedShinEtsu, Sumco, Siltronic

Deposition: $6bn market (15.7% total semi equipment)AMAT 47%, TEL 12%, LAM 11%, ASMI 5%

Grows, coats or transfer a material onto wafer, known as thin film deposition. Eg: SiO2 which is widely used. (the yellow layer in pic) Performed by CVD/Pasma/PVD treatment systems.

Si Wafer

Si Wafer

Photoresist processing - Coating:$1.6bn market (4.2%)TEL 89%, Dainippon 7%, Suss 1%

Wafer is coated with chemical photoresist, thus pattern on photomask transferred to wafer surface after exposure.

Photoresist:JSR, TOK,RHEM,ShinEtsu

Chemical photoresist.

Lithography:$6.5bn market (17.2%)ASML 74%, Nikon 17%, Canon 2%

Wafer coated with photoresist exposed by litho tool (machine focuses, aligns, and moves the mask).

Lightsource:Cymer, Gigaphoton, Ushio

Part of lithography tools.

Photomask:DNP, Toppanm

To create 1-1 correspondence on wafer through litho.

Photoresist processing - Development: $1.6bn market (4.2%)TEL 89%, Dainippon 7%, Suss 1%

Exposed region of wafer washed away by chemical, areas of with and without photoresist produce the pattern from mask. Performed by Coater/developers.

Etching:$7.5bn market (incl clean, Planarization), (19.5%)LAM 29%. TEL 22%, Dainippon 18%, AMAT 12%

The thin film layer from ‘Deposition’ not covered by photoresist is etched away, to permanently transfer pattern to wafer surface. Performed by Etch systems.

Doping:$1.0bn market (2.7%) AMAT 76%, SEN 10%,

Introduces atoms of elements into silicon to alter the electrical properties in the silicon dioxide free areas, forming transistors.

Etching

Develop

Doping

SiO2

SiO2

Photoresist

Photoresist stripping:$0.2bn market (0.1%) Mattson 20%, PSK 19%, LAM 17%

Remaining photoresist is removed from etched wafer.

Wafer level TestingMarket Size $0.2bn, (0.1%) TEL 39%, Seimitsu 48%

Performed before on wafer by wafer probe.

Wafer level Packing:Market Size $1.4bn, (3.0%)Mattson 20%, EV 6%, Ultratech 5%, Suss 4%

Packaging an IC at wafer level before dicing.

Chips Packing:Market Size $3.9bn, (10.1%)Kulicke 19%, ASMP 16%

Wafer is sliced to Dies, and packaged to become chips

10-100 layers will be constructed on single wafer

DP

:The steps from

PR

coating to PR

stripping repeats to scale IC

further scale to 20nm and beyond:

Page 22: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

22

We believe the lithography, process control, and wafer level packaging markets have the biggest growth potential, driven by node shrinkage and 3D packaging demand, hence ASML, KLA and SUSS are likely to outperform other equipment suppliers. We do not like the etching, deposition and die level packaging markets because their growth potential is limited as a result of technology migration.

Figure 24: Capex on fabrication steps/total semiconductor capex

Source: Gartner data

Figure 24 shows that deposition, lithography and etching and cleaning are the only three process categories that individually account for more than 10% of total semiconductor capex. The combined capex for these three categories was 34% of total semiconductor capex in 2012. We expect the combined share of capex to expand to 38% in 2016, driven mainly by lithography growth. Wafer level packaging, due to its small base, has since 2003 seen the fastest growth at c10% per annum, compared with c2.6% for total semiconductor capex.

Lithography – expanding market and ASML as monopolist During the past decade, the lithography market has grown by c1.7% per year, while total semiconductor capex has grown by 2.6% annually. Looking ahead to 2016, we estimate that the lithography market will grow at c20%, four times faster than total semiconductor capex. At a result, we estimate that lithography’s share of semiconductor capex will expand to 20% in 2016 from 12% today.

As the chip-makers shrink from the 28nm mainstream node today to sub-10nm over the next three to four years, the demand for lithography is likely to increase significantly. According to TSMC, Intel and Samsung’s roadmaps, we believe 20nm will be the mainstream node for logic and foundry in 2013/2014, which is likely to be manufactured using the double patterning (DB) process. As a result, demand for the most advanced argon-fluoride (ArFi) tools will double, and methodology tools will also be needed to maintain an acceptable yield rate. Beyond 20nm, chip-makers may adopt EUV or triple/quadruple patterning using ArFi tools, but in both cases the lithography cost will increase significantly. The reason for this is that EUV may cost €100m each versus ArFi costs of €40m, and triple/quadruple patterning may need three to four times as many ArFi tools compared with single-patterning, which is currently used in 28nm.

0%10%20%30%40%50%60%70%80%90%

100%

2009 2010 2011 2012 2016E

Deposition Photoresist Processing Lithography

Etch, Clean and Planarization Doping Automation

Process Control Wafer-Level Packaging Die-Level Packaging

Test Equipment

Page 23: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

23

Figure 25: Lithography market share

Source: Gartner data

The market has a limited number of players because of the complexity of lithography technology and the investment required to develop leading-edge equipment (see Figure 25). We believe ASML’s market share will expand after the EUV ramp-up. Nikon will lose market share to ASML because Intel, Nikon’s biggest customer, has committed to ASML’s EUV technology by joining the customer investment programme. We believe Nikon will not be a threat to ASML in the future because the specification of its tools lags ASML’s, and it does not have a credible EUV roadmap yet.

Deposition – flat growth, DP and EUV impact limited, benefit from 3D NAND During the past decade, the deposition market has declined by c1.7% compared with the c2.6% growth in total semiconductor capex. Its share of total semiconductor capex fell from 12% in 2004 to 10% in 2012. We expect the deposition market to grow by c4% from 2012 to 2016, which is on par with total semiconductor capex growth, and remains at 10-11% of total semiconductor capex. Unlike etching, we do not expect the demand for deposition to rise with the adoption of double patterning and FinFET designs. It may benefit from the ramp-up of 3D NAND in 2015/2016.

Among the different deposition solutions, plasma-enhanced chemical vapour deposition (PE CVD), ALD and epitaxy are likely to outgrow the deposition market due to the increasing number of interconnecting layers within advanced chips, HKMG adoption, and the ramp-up of 3D designs. At the same time, low pressure chemical vapour deposition (LP CVD) and physical vapour deposition PVD) are likely to underperform the deposition market (see Figure 26).

ASML74%

Nikon15%

NuFlare Technology

7%Canon

3%JEOL1%

Page 24: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

24

Figure 26: Deposition solutions: PE CVD/ALD/epitaxy to outperform

Source: Gartner data Figure 27: Deposition market share

Source: Gartner data

As shown in Figure 27, AMAT holds a 47% share of the deposition market. It dominates all major sub-segments, including PE CVD, PVD and epitaxy. TEL holds the second-biggest market share at 12%, and is mainly concentrated in the CVD market. We do not expect the market share structure to change significantly in the next few years. We believe AMAT has continuously held the biggest market share because of its strong position in PE CVD and PVD solutions. TEL’s market share will benefit from ALD growth, and suffer from the decline in LP CVD adoption. ASMI’s market share may increase from 5% today, driven by the adoption of ALD and epitaxy.

Among deposition market players, we like ASMI the most as it is only exposed to fast-growing ALD/epitaxy markets. We dislike TEL as it generates most of its deposition revenue from LP CVD, and its ALD exposure is not big enough to offset the LP CVD market’s decline. AMAT is widely exposed to this deposition market and is a leader in growing solution markets such as epitaxy and PE CVD. However, it is heavily exposed to PVD, which is growing but at a slower rate than the ALD, epitaxy and PE CVD markets.

0%

5%

10%

15%

20%

25%

30%

2008 2009 2010 2011 2012

Deposition market by Solution

LP CVD PE CVD ALD APCVD/SACVD

PVD MO CVD Epitaxy

Applied Materials

47%

Tokyo Electron12%

Lam Research11%

Veeco4%

Others15%

ASM International 6%

Hitachi Kokusai Electric 7%

Page 25: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

25

Etching – positive from DP, FinFET, 3D NAND and small negative from EUV During the past decade, the etching market has grown by c0.5% a year compared with the c2.6% growth of total semiconductor capex. Its share in total semiconductor capex fell from 15% in 2004 to 13% in 2012. We expect the demand for etching to increase with the ramp-up of DP/multiple patterning, FinFET design and 3D NAND. The demand for etching is likely to decline when EUV starts to eliminate the double/multiple patterning processes, but the decline will be offset by increased etching demand from EUV mask manufacturing processes. Therefore, on a net basis, we believe the etching market will remain flat or slightly down in the next three years, and will underperform the total semiconductor capex.

Figure 28: Deposition solutions – PE CVD/ALD/epitaxy to outperform

Source: Gartner data

Among the different etching solutions, silicon etching, which is dominated by LAM and Hitachi, is likely to outperform the etching market and take capex away from dielectric etching. This is because DP and 3D NAND/memory use more silicon etching steps. FinFET design uses both silicon etching and dielectric etching, and therefore has a neutral impact on the demand of silicon and dielectric etching steps (see Figure 28).

Figure 29: Etching market share

Source: Gartner data

0%5%

10%15%20%25%30%35%40%

2008 2009 2010 2011 2012

Etching market by Solution

Bevel Edge Removal Metal Etch Dielectric Etch

Silicon Etch Other Ethc

Lam Research47%

Tokyo Electron29% Applied

Materials10%

Hitachi High-Technologies

9%Others

5%

Page 26: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

26

Figure 29 shows that LAM dominates the etching market, with a 47% market share in 2012, followed by TEL, with a share of 29%. TEL may lose share in etching due to its focus on the low-growth dielectric etching market. AMAT has a 10% market share of the etching market. In 2011 and 2012, it lost 9% of its market share in the etching market, from both dielectric and silicon etching. In our opinion, winning back the lost share from LAM and Hitachi will not be easy.

In the etching market, we think LAM will outperform as it has the greatest share in silicon etching. We think TEL will underperform because of its heavy exposure to dielectric etching (63% share). AMAT has a 10% share of the etching market. It generates more revenue from silicon etching than dielectric etching, and has a higher market share in silicon etching.

Wafer level packaging The wafer level packaging market has doubled during the past 10 years. Its share of semiconductor capex went up from 1.7% in 2004 to 3% in 2012. The growth was mainly driven by solid TSV equipment demand, and offset by the fall in demand for contact probers, which are used in testing (see Figure 30). We expect the growth of the wafer level packaging market to remain robust, driven by 3D packaging, although this solution is still not widely adopted by chip-makers. 3D packing will gain more traction and become the key enabler for scaling after EUV’s physical limit is reached. Chip-makers will then pay more attention to 3D stacking/packaging solutions. We believe Samsung and other leading chip-makers have already started pilot production lines using 3D packaging technology.

Figure 30: Wafer level packaging market trend

Source: Gartner data

Figure 31: Wafer level packaging market share

Source: Gartner data

0%5%

10%15%20%25%30%35%40%

2008 2009 2010 2011 2012

Wafer Level Packaging market by Solution

Litho Tool TSV Tool Bump Processing

Packaging inspection Others

Applied Materials

9%

Tokyo Electron3%

Suss MicroTec4%

EV Group7%

Ultratech6%Disco

15%

Ulvac5%

Rudolph Technologies

6%

Lam Research3%

Others42%

Page 27: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

27

SUSS is the main beneficiary of this trend because of its exposure to 3D packaging wafer bounders, which have the highest growth potential within the wafer level packaging market. AMAT might benefit to a lesser extent through its exposure to bump processing, which is experiencing slower growth than wafer bounders. TEL will not benefit from the market expansion because its market share in wafer level packing is concentrated on the declining contact probers equipment area. If Samsung or other chip-makers manages to release a high-quality showcase chip next year, the adoption rate may accelerate as its competitors will not want to miss any major chip design solution.

Page 28: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

28

The best positioned player, but priced in

• We initiate coverage of ASML with a Hold recommendation and a price target of €73. Our recommendation is based on the following factors. 1) We believe ASML is the best-quality semiconductor equipment company. It is 100% exposed to the lithography market, which we expect to double to $14bn by 2016. We expect ASML’s share of that market to expand from 74% to 80% by 2016. 2) ASML supplies lithography tools used in the chip fabrication process. It has a 100% share of the extreme ultraviolet (EUV) market, which is essential for printing leading-edge chips. 3) However, we believe the market has mostly priced in these positive factors at ASML’s current ~€70 level.

• Key debates: 1) Can ASML deliver EUV with 69 wafer per hour (wph) throughput by 2014? 2) What demand is there for EUV tools? 3) Is Nikon a threat to ASML’s position? 4) How will developments in EUV affect ASML’s margin? 1. ASML has shown decent EUV progress over the last two

quarters, and its acquisition of Cymer (2012) has strengthened its R&D capability. We believe it is very likely that ASML will deliver EUV with 69wph by mid-2014 as targeted.

2. Our end-market demand analysis shows that chip-makers will need a total of 95 EUV tools between 2013 and 2016 for building required leading-edge chip capacity to meet demand for leading-edge chips. We expect ASML to ship 15, 24 and 50 tools in 2014, 2015 and 2016 respectively.

3. We do not see Nikon as a threat to ASML’s leadership position in the next 3 to 4 years, as it is focusing on pushing current technology (ArFi tools). The depreciation of the yen is unlikely to give Nikon a competitive advantage over ASML, as we believe the industry is price-inelastic.

4. The initial ramp up of EUV tools carries a 25% margin and is margin-dilutive. Once ASML improves efficiency and Intel’s contribution starts to materialise, we believe EUV (a 47% margin by 2016) will be margin-accretive in 2015 and 2016.

• Our revenue and EPS forecasts are in line with consensus. • Our price target of €73.00 implies a 12x P/E based on EPS estimates

of €7.4/share, discounted by a 10% WACC. We have adopted this EPS estimate because it reflects the earnings power that EUV will, in our opinion, realise in 2016. The 12x P/E is 10% higher than the middle of its historical P/E range to reflect ASML’s potential 6% market share increase from 2010 to 2016.

Hold (initiation) Rating system

Current price EUR 67.68

Absolute

Price target EUR 73.00

19/07/2013 Amsterdam Close Market cap EUR 28,701 m Reuters ASML.AS Bloomberg ASML NA Share data

Shares outstanding (m) 420 Enterprise value (EUR m) 28,152 Daily trading volume 1,521,170 Performance data

High 52 weeks (EUR) 68 Low 52 weeks (EUR) 40 Relative performance to SXXP AEX 1 month 10.1 % 7.1 % 3 months 19.1 % 15.5 % 12 months 22.6 % 28.3 %

Key data

Price/book value 4.2 CAGR sales 2011-2014 18.5% CAGR sales 2012-2016 28.3%

Business activities: ASML is the world’s leading provider of lithography systems for the semiconductor industry. It designs, develops, integrates, markets and services the lithography tools used by its customers.

22 July 2013

Tammy Qiu Analyst +44 20 3207 2673 [email protected] Jean Beaubois Specialist Sales +44 20 3207 7835 [email protected]

Y/E 31.12., EUR m 2011 2012 2013E 2014E 2015E 2016E Sales 5,651 4,732 5,034 6,580 7,344 9,346 EBIT 1,467 1,146 808 1,445 2,035 3,014 Net profit 1,467 1,146 890 1,494 1,902 2,900 Y/E net debt (net cash) -1,998 -1,012 -550 -983 -2,461 -4,613 EPS (proforma) 3.42 2.68 2.18 3.60 4.97 7.36 CPS 4.86 1.66 1.67 3.99 6.25 8.09 DPS 0.40 0.44 0.51 0.53 0.61 0.84 Gross margin 43.4% 42.4% 39.9% 41.1% 43.2% 45.5% EBIT margin 29.0% 24.4% 17.5% 23.9% 30.1% 35.8% Dividend yield 0.6% 0.7% 0.8% 0.8% 0.9% 1.2% ROCE 42.6% 28.2% 11.8% 18.8% 22.1% 25.9% EV/sales 4.9 5.9 5.5 4.2 3.8 3.0 EV/EBIT 16.9 23.9 31.5 17.6 12.5 8.3 P/E 19.8 25.2 35.5 19.7 13.9 9.3

Source: Company data, Berenberg

Page 29: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

29

Investment summary

Our investment thesis on Hold-rated ASML is based on the following five points.

1. ASML’s market share likely to expand from 74% to 80% in 2016: ASML ships 86% of the most-advanced ArFi tools and holds a 100% share of the EUV market. Chip-makers require EUV or other leading-edge tools to make smaller chips, we therefore expect ASML’s market share to expand from the current 74% to 80% in 2016.

We do not believe that Nikon will benefit from the depreciation of the yen, and gain share from ASML. In our opinion, chip-makers are unlikely to switch tool vendors purely due to lower price because: 1) high specification and reliability are more important because they can affect yield rates; and 2) the extra reconfiguration and integration cost associated with new tools can easily exceed savings from tool prices.

2. EUV is essential for shrinkage – €5bn revenue in 2016: We expect EUV to be widely adopted as it saves 34% on cost compared with existing double patterning (DP) technology. From our end-market analysis, we conclude that chip-makers will require a total of 95 tools by 2016 if they are to produce in line with their roadmap. We estimate the revenue contribution from EUV to be €1.2bn, €2.3bn and €5.0bn in 2014, 2015 and 2016 respectively, which accounts for 18%, 31% and 54% of ASML’s total revenue.

We do not expect ASML to lose EUV market share to Nikon before 2017/2018, because: 1) Nikon is unlikely to release any EUV tools for commercial use before 2015/16, and all major chip-makers will have three to four ASML tools in place by that time; 2) Nikon’s recent presentation shows that it is focused on 450mm equipment development instead of EUV; 3) despite its dual-sourcing policy, Intel is unlikely to order any EUV tools that Nikon develops unless such tools show the same level of performance compared as ASML’s tool. We think Intel now buys over 50% of its new tools from ASML compared with less than 40% in 2009. This is because the performance of Nikon’s tools lags ASML’s equipment.

3. Lithography market to double by 2016: The level of lithography investment increases as chip sizes shrink. This is true regardless of whether manufacturers adopt an EUV or a DP solution. As a result, we expect the lithography market to double by 2016 to $14bn (20% of total capex) from $7bn in 2012 (12% of total capex).

4. However, we think the above factors are well understood by the market, and priced into the stock price: ASML’s share price has tripled since the start of 2011 because: 1) the company has made positive progress on the development of its EUV tool, and management has retained, and is likely to achieve, its target of 105 watts of light source power in 2014; 2) all chip-makers have laid out aggressive shrinkage plans to enter lithography-intensive 20nm/16nm by 2014/2015; 3) Nikon is not focusing on EUV and we do not expect it to have any EUV model before 2015/2016, so ASML is likely to maintain its monopoly; 4) ASML’s customer co-investment programme (in which TSMC, Samsung and Intel have all invested in ASML to support its EUV and 450mm development) has demonstrated that the mainstream chip-makers recognise the company’s status as a technology leader.

However, given that the stock price has nearly doubled since the beginning of 2012, we are concerned that any execution issues that ASML has with its light source power, alongside industry or market speculation about alternative

Page 30: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

30

technology or materials, could hamper further share price performance. AMAT said at the US Semicon West 2013 conference in July that semiconductor companies are currently focusing more on new materials than they are on lithography scaling, ie EUV should have a minimal impact on the industry. AMAT also quoted that a fabless company suggested that 90% of its performance improvement came from materials, and only 10% from lithography. In addition, the consumer electronics market is currently driven by demand for mid- to low-end products, which do not require the most advanced chips. The demand for leading-edge chips could, therefore, decline if demand for mid- to low-end products rises.

5. Valuation: Our price target of €73.00 implies a 12x P/E based on EPS estimates of €7.4/share, discounted by a 10% WACC. We have adopted this EPS estimate because it reflects the earnings power that EUV will, in our opinion, realise in 2016. Our 12x P/E has assigned a 10% premium to ASML’s historical mid-recovery-cycle P/E (9x-13x) to reflect ASML’s more competitive position (market share: 80% by 2016 compared with the last recovery cycle of 2010, when it had a market share of 70%). ASML’s RoE is the highest within our coverage, and it has the lowest dividend yield, FCF yield and net cash/market cap.

Figure 1: Valuation matrix

Source: Berenberg estimation, company data Key catalysts The following are the key catalysts for the share price.

1) Further progress on EUV light source: Management has retained its target for 105 watts by mid-2014, and expects to reach 80 watts by September/October 2013. We think ASML’s acquisition of Cymer has further strengthened its R&D capability on EUV, and believe that ASML is likely to reach 105 watts by 2014 as targeted.

2) DRAM and NAND order recovery provides order intake/revenue upside: After seeing DRAM orders recover in Q2, we believe memory orders will accelerate in the coming quarters, especially NAND orders. Companies such as KLA and LAM have indicated improving memory orders/spending compared with the 2012 trough last quarter.

3) Chip-makers accelerate their roadmap on shrinkage: In our opinion, chip-makers could accelerate their shrinkage plans in next few months, as the level of competition in the consumer electronics market intensifies.

4) Nikon fails to release EUV tools: We think Nikon is unlikely to announce any EUV-related progress until 2014/2015, as its current focus is on 450mm.

Cash/marcNo.1 ASML 19.7% AMAT 2.5% AMAT 9.7% TEL 26.5%No.2 AMAT 17.1% TEL 1.5% ASMI 6.2% SUSS 17.6%No.3 SUSS 9.1% ASML 0.9% ASML 4.4% AMAT 16.7%No.4 TEL 6.3% ASMI - TEL 4.3% ASMI 8.9%No.5 ASMI 6.2% SUSS - SUSS 1.6% ASML 6.5%* based on Berenberg estimation of 2014 performanceNet cash based on 2012 YE balance

ROE*Dividend

yield*FCF yield* Net cash/marcap

Page 31: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

31

Key risks

The following are the key risks for the stock.

1) EUV feasibility and potential delay: We believe that with its acquisition of Cymer ASML is the only player able to deliver EUV tools. However, challenges remain to achieve the 105-watt target by mid-2014. For example, light source power is still at 55 watts today, and we may see new problems such as heat management as light source power increases.

2) Semiconductor spending pause as consumer electronics market saturates: Smartphone and tablets have driven capex spend in past few years, if these markets become saturated, then as slower investment phase will result.

3) Alternative technology steals EUV’s market: We believe alternative solutions, such as electron beam (E BEAM) and directed self-assembly (DSA), are unlikely to replace EUV today, because E BEAM currently has a low throughput, and DSA requires significant process control equipment investment. However, in long run, they can be threats to EUV demand if throughput is improved.

Page 32: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

32

The quasi-monopolist in the lithography market

The lithography market today has three players: ASML, Nikon and Canon. In 2012, ASML held a 74% market share by revenue – compared with Nikon’s 15% – and a 66% market share by shipment (see Figures 2 and 3). ASML focuses on the high end of the market and ships 86% of the most-advanced ArFi tools, which have an average selling price of €40m. Nikon and Canon mainly ship legacy tools, which cost €5m-10m each. Nikon lags ASML in ArFi tool development, which is the current mainstream lithography tool used by chip-makers. Nikon shipped its first ArFi tool in Q1 2009, whereas ASML started shipping in 2004. Canon only supplies legacy KrF and i-Line tools, and has no ArFi product.

ASML will not, in our opinion, lose market share in the future. Lithography tools are usually fully integrated into chip-manufacturing process, so a manufacturer that wants to switch tool vendors must reconfigure its process and incur a significant initial service cost.

Figure 2: Lithography market share by revenue

Source: Company data, Company data, Berenberg estimates

Figure 3: Lithography market share by shipment

Source: The Information Network We believe EUV and ArFi tools will continue to be the mainstream lithography tools used by chip-makers for the foreseeable future, and generate the majority of the industry’s revenue. At the same time, legacy tools will be phased out slowly over the next four years.

0%

10%

20%

30%

40%

50%

60%

70%

80%

90%

100%

1996 1998 2000 2002 2004 2006 2008 2010 2012 2013E 2014E 2015E 2016EASML Nikon Canon

0%

10%

20%

30%

40%

50%

60%

70%

80%

90%

100%

1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011Nikon ASML Canon Lithratoch-Stepper ISI

Page 33: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

33

We estimate that ASML will hold an 80% share of the market in 2016, and maintain its current penetration rate with existing customers. We do not expect Nikon to develop EUV tools before 2015, and its ArFi tool’s throughput lags ASML’s tool. The throughput of Nikon’s NSR-S622D ArFi tool, released in January 2013, is 200wph versus 250wph of ASML’s NXT:1970Ci (to be released in Q3 2013). Throughput is a key specification watched by chip-makers, as higher wph means greater efficiency and cost-reduction per chip. We expect Nikon’s shipments to remain at low levels and Intel will remain its main customer.

Figure 4: Lithography tool unit shipment

Source: Company data, Berenberg estimates *Nikon 2012: FY March 2013 We do not believe that the depreciation of the yen will give Nikon a competitive advantage over ASML. Chip-makers are unlikely to switch vendors purely to obtain a lower price: the reconfiguration and integration costs associated with new tools is likely to be more than the difference in ASP. Furthermore, chip-makers usually value tool specifications and reliability more than they do price points.

Type ASP units 2009 2010 2011 2012 2013E 2014E 2015EI-Line EUR 4-5m ASML 12 23 20 15 15 12 8

Nikon* 4 16 35 16 21 20 15Canon 8 23 45 43 40 38 38

KrF EUR 9-12m ASML 17 68 87 78 52 50 32Nikon* 16 12 28 3 6 8 10Canon 3 6 7 3 3 2 1

ArF Dry EUR 20-23m ASML 10 12 11 4 4 8 8Nikon* 1 1 3 8 11 8 8Canon 0 0 0 0 0 0 0

ArFi EUR 35-40m ASML 31 94 101 72 69 72 67Nikon* 15 28 18 13 15 13 8Canon 2 0 0 0 0 0 0

EUV EUR 70-100m ASML 0 0 3 1 3 15 24Nikon* 0 0 0 0 0 0 2Canon 0 0 0 0 0 0 0Total 119 283 358 256 239 246 221

ASML% 59% 70% 62% 66% 60% 64% 63%Nikon% 30% 20% 23% 16% 22% 20% 19%Canon % 11% 10% 15% 18% 18% 16% 18%

Page 34: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

34

EUV drives ASML’s future success

EUV is the key enabler of Moore’s law, and ASML holds 100% market share Semiconductor manufacturing is driven by Moore’s law, which states that the number of transistors on an affordable chip will double every two years. As chip-makers start to print 20nm chips, and subsequently 10nm, 7nm and 5nm chips, the EUV solution is both cheaper and simpler than ArFi solutions. ASML’s co-investment programme demonstrated the commitment from Intel, Samsung and TSMC to this technology, and ASML is recognised as technology leader in this field.

The DP/multi-patterning (MP) process using ArFi tools (which exposes the wafer twice instead of once in order to reduce the printed feature size) is now the mainstream solution for printing 20nm chips. However, manufacturers claim that this process is too costly because it increases the number of lithography exposures per wafer, meaning that they must either reduce output or buy more tools. EUV enables chip-makers to expose the critical layer in just one exposure instead of two. In terms of total cost per wafer (see Figure 5 below), EUV delivers cost savings of 34% compared with ArFi’s DP process, even if EUV tools cost twice as much to buy.

Figure 5: EUV solutions deliver a cost benefit

Source: ASML data Apart from the cost savings, EUV simplifies the fabrication process by halving the steps and cycle time required in DP (see Figure 6 below). Chip-makers are likely to allocate more “critical layers” – the layers on a chip that require the highest resolution and precision to EUV from ArFi. Logic/foundries players are likely to pick up EUV first to meet the demand for small chip designs from for consumer electronics devices, and because it is more expensive for them to use DP compared to memory players.

by ArFi by EUVOverall patterning cost(incl litho, etching, etc)

€70.82/wafer €46.44/wafer

Litho cost€23.36/wafer

2*ArFi exposures/layer€31.74/wafer

1*EUV exposure/layerLitho cost/total cost 33% 68%

Page 35: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

35

Figure 6: EUV simplifies the process and the cost

Source: AMSL data

ASML currently has a 100% share of the EUV market, and we do not expect this to change even after Nikon introduces its EUV tool after 2015/16. Lithography tools are usually fully integrated into the chip-manufacturing process, so manufacturers that want to switch tool vendors must reconfigure their processes and incur significant initial service costs. On our estimates, all major chip-makers will have three to four ASML EUV tools in place by 2015. It is therefore unlikely that they will place any EUV orders with Nikon. Even Nikon’s biggest customer, Intel, is unlikely to buy Nikon’s tools, unless they are superior to ASML’s. This is very unlikely in our view.

Figure 7: ASML EUV status versus Nikon

Source: Company data, Berenberg estimates We estimate that ASML will ship 15, 24 and 50 EUV in 2014, 2015 and 2016 respectively, contributing €1.2bn, €2.3bn and €5.0bn respectively to its revenues.

Source: ASML data

EUV status ASML Nikon Light source power 55 watt 10 watt @2012 Wafer throughput 43 wph 8 wph

Adoption can be used for 20nm required for 16nm-11nm View on EUV -EUV will be adopted as soon as wph meets requirement -ArFi is the primary tool for sub 20nm process,

-Limited focus on EUV,

EUV tools TWINSCAN NXE:3100 TWINSCAN NXE:3300 na

First shipment Q4 2010 na Machine shipped 6 shipped na Order in place 11 for 2013,

8-12 potential order for 2014 na

Capacity - 2015: 30-36 tools, - 2016/2017:60-66 tools

-Introduce EUV earliest 2015, -EUV in volume in 2018/2019.

Source: Company data, Berenberg estimates

Page 36: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

36

We believe 2016 shipments will be strong as chip-makers will start to allocate more layers to EUV as they gain more experience of the technology, and because DP/MP is currently too complex/expensive to be used in 20nm/10nm mass production.

Our assumptions for tools shipped are based on our end market analysis (see Figure 8 below).

Our assumptions are as follows.

• Foundry/logic players (excluding Intel) will reach 340,000wpm 28nm and 60,000wpm 20nm/16nm capacity in 2013, and will continuously expand 20/16nm wafer capacity to reach 270,000wpm in 2016, which is less than 28nm capacity today: TSMC stated that 20/16nm could be a bigger node compared to 28nm. We have taken a conservative approach in making our 20/16nm capacity assumption, as TSMC may re-use equipment from 28nm if it ramps up aggressively on 20/16nm. We estimate that 10nm capacity will begin to ramp up from 2016 and reach 20,000wpm in 2016. We included four EUV R&D tools in our analysis and assigned €70m ASP for each of them, which is lower than the normal EUV ASP of €100m.

• DRAM starts to adopt EUV in 2015, and migrates 53% of total wafer capacity to 30nm and below in 2016: We have been conservative here to reflect the memory spending pause. DRAM-makers plan to shift 69% capacity to 30nm or less, according to their various statements. We included two EUV R&D tools in our analysis, with an ASP of €70m each.

• NAND starts to adopt EUV in 2016, and migrates 65% of total wafer capacity to 20nm and below in 2016: We have taken a conservative approach on NAND, too, to take account of the expected memory spending pause. NAND-makers plan to shift 83% capacity to 20nm or less, according to their various statements.

3D NAND is less lithography intensive compared to 20nm/10nm NAND design. Our analysis suggests the impact of 3D NAND on total capex is likely to be small. We estimate that the maximum negative impact on EUV demand by 3D NAND is 3 EUV tools.

• Intel will have 85,000wpm capacity on 22nm and 33,000wpm on 14/10nm in 2016, and it will re-use ArFi tools in 2014 when 14nm/10nm first ramps up.

• In terms of market share, we assume ASML to have:

o 100% of the EUV order from all chip-makers;

o 100% of the ArFi/ArF tool order from foundry/logic (excluding Intel), DRAM and NAND players;

o 60% of the KrF/I Line tool orders from foundry/logic (excluding Intel), DRAM and NAND players;

o 60% of the ArFi,/ArF tool orders from Intel;

o 50% of the KrF/I Line tool order from Intel.

Page 37: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

37

Figure 8: End-market demand analysis

Source: Company data, Berenberg estimates

Our end-market analysis shows that the industry requires 95 EUV tools in total to support roadmap over the next three years. The year of shipment varies with each company’s timing in buying and revenue recognition terms.

We believe ArFi tool demand will decrease over time: The 10% shipment difference between our assumptions and demand analyse is due to 1) customers purchasing new tools with higher specification to replace old tools, 2) the level of re-usage varying from on chip-maker to another.

2013E 2014E 2015E 2016EFoundry/logic (excl Intel)28nm wpm 340,000 340,000 340,000 340,000add 140,000 0 0 020nm/16nm wpm 60,000 130,000 200,000 270,000 add 60,000 70,000 70,000 70,000 10nm wpm - - - 20,000 add - - - 20,000 Total Capex add $mn 4,591 2,254 4,401 6,433ASML get tool (EUV, ArFi, ArF only) 72 34 44 90EUV 4 2 23 36ArFi 56 28 18 26

2013E 2014E 2015E 2016EDRAMTotal wafer capacity 1,200,840 1,200,840 1,236,865 1,273,971 shift to <30nm 100,000 100,000 100,000 100,000 Total Capex add $mn 1,227 1,045 1,646 2,294 ASML get tool (EUV, ArFi, ArF only) 19 17 26 26EUV 2 0 1 10ArFi 11 11 19 10

2013E 2014E 2015E 2016ENANDTotal wafer capacity 1,014,300 1,044,729 1,076,071 1,129,874Shift to <20nm 130,000 130,000 130,000 130,000Total Capex add $mn 1,242 1,242 1,355 1,638ASML get tool (EUV, ArFi, ArF only) 22 22 24 24EUV 0 0 0 3ArFi 11 11 13 103DNAND the litho demand back to 35nm from 15nmTotal Capex add $mn 1,279ASML get tool (EUV, ArFi, ArF only) 22 22 24 26EUV 0 0 0 0ArFi 11 11 13 15

2013E 2014E 2015E 2016EIntel22nm wpm 85,000 85,000 85,000 85,000add 15,000 0 0 014/10 nm wpm 4,000 14,000 23,000 33,000add 4,000 10,000 9,000 10,000Total Capex add $mn 793 556 654 717INTEL ASML get tool (EUV, ArFi, ArF only) 7 4 6 6EUV 2 4 4 4ArFi 4 0 2 2

2013E 2014E 2015E 2016E TotalTotal EUV from market demand analyse unit 8 6 28 53 95Berenberg Estimate unit 3 15 24 50 92

Total ArFi from market demand analyse unit 83 50 52 48 232Berenberg Estimate unit 69 72 67 49 257

Page 38: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

38

Lithography market to double in size by 2016

Consumer electronics will be the main driver of semiconductor growth in the next few years, in our opinion. Chip-makers are actively scaling their chip sizes down to facilitate the new generation of device designs, which are smaller, lighter, more power-efficient and have better performance. As shown in Figure 9 below, all the major chip-makers are planning to enter lithography-intensive 20nm/10nm node in 2013/2014, and then expand their leading-edge chip output afterwards. As chip-makers shrink down the technology roadmap, they require more lithography tools which can print to smaller nodes.

Figure 9: Chip-maker roadmap

Source: Company data, Berenberg estimates The level of lithography investment needs to increase as chip size decreases: Until EUV technology becomes available, DP/MP with ArFi tools will continue to be the mainstream solution used in printing 20nm chip (the DP/MP process exposes the wafer twice, rather than once, to achieve smaller chip size. Using logic fab as an example, the lithography investment needed for building a 22/20nm fab is 1.8x greater than for building a 32/28nm fab (see Figure 10 below), as more ArFi and methodology tools are required.

Figure 10: Lithography tools required in leading-edge fab

All scenarios are based on “typical” process using 2012 system productivity levels Source: ASML data, Berenberg estimates

2013E 2014E 2015EFoundry/LogicTSMC -28nm/20nm/16nm FinFET -28nm/20nm/16nm -20nm/16nm/10nm FinFET Samsung -20nm/14nm/10nm FinFET UMC -28nm Poly SiON -28nm/20nm/14nm -14nm FinFETGF -28nm -20nm/14nm XM -14nmXM -20nm/14nm/10nm FinFET Intel -14nm 3D -10nm 3D/7nm/5nm NANDSamsung -27nm -19nm -19nm/16nm -16nm -12nm/14nm/3D NANDToshiba/SanDisk -19nm/3D NAND. -16nm -12nm/14nm/3D NAND

Micron(Elpida) -1Y(18/15nm)/3D NANDSK Hynix - 16nm/3D NAND DRAMSamsung -25nm -2Ynm & 2Znm (20/21nm).Micron -50nm - 24nm/2ZnmElpidaSK Hynix -23nm & 2Znm (20/21nm)Others -2Xnm/2ZnmSource: Company data, Berenberg estimate

-45nm/42nm/30nm -30nm&2xnm

2010 2011 2012

-32nm -24nm-42nm -32nm -25nm

-44nm -40nm/38nm -3xnm/28nm/23nm

-26nm -20nm -14nm/12nm/3D NAND

- 40nm -40nm/35nm/28nm - 2Y(23nm/25nm)/28nm

-21nm -24nm -19nm

- 25nm -20nm -16nm/14nm/12nm/3D NAND

-65nm/40nm - 40nm -40nm - 45nm/ 32nm 45nm/32nm-32nm -22nm 3D, 14nm end of 2013

-40/65nm -28nm HKMG & Poly SiON-40nm -45/32nm HKMG -28nm HKMG & Poly SiON -20nm

Logic fab 45k wafers/month equipment requiredLogic 32/28nm 22/20nm by Double Patterning 10nm by EUV

ArFi ArFi ArFi ArFi EUV EUVArFi ArFi ArFi ArFi ArFi

ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFiArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFiArF ArF ArF ArF ArF ArF ArFKrF KrF KrF KrF KrF KrF KrF KrF KrF KrF KrFKrF KrF KrF KrF KrF KrF KrF KrF KrF KrF KrF KrF KrF KrF KrFI-Line I-Line I-Line I-Line I-LineLithography cost €600mn Cost 1.8 times more: €1,080mn Lithography cost €820mn

9 more ArFi: 9*€40mn=€360mn 2 EUV tools: 2 * €100m=€200mnMetrology: €5-€7mn/ArFi tool Metrology: €5-€7mn/ArFi tool

37 litho layers 40 litho layers38 litho exposures 52 litho exposures

Page 39: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

39

Figure 11: Lithography market double in 2016

Source: Company data, Berenberg estimates

The lithography market has grown by c1.7% over the past 10 years, while total semiconductor capex has fallen by c0.5% (see Figure 11). As stated above, chip-makers are constantly working to reduce the size of chips – and the smaller the chip the more it costs to print. We therefore expect the lithography market to grow at c20% for the next three years to reach $14bn in 2016, and for lithography-related capex as a percentage of total semiconductor capex to increase from 12% today to 20% in 2016. In our view, ASML, which is 100%-exposed to this market, will certainly benefit from this trend.

0%

5%

10%

15%

20%

25%

0

10,000

20,000

30,000

40,000

50,000

60,000

70,000

80,000

2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013E 2014E 2015E 2016E

USD mn

Lithography capex Semiconductor capex Lithography as % total capex

Page 40: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

40

Gross margin expansion

We expect ASML’s group adjusted gross margin to reach 45.9% in 2016 from 41.9% in 2013. The following factors will contribute to this increase.

1. We expect the EUV gross margin to improve after a two-year ramp-up period: We expect the EUV tools gross margin to reach ~45% in mid-2015, after volume shipment has begun. The EUV gross margin currently stands at 25%.

2. Intel’s €829m investment in R&D will be recognised in ASML’s gross margin over the next five years: Based on the level of business activity between ASML and Intel, we assume that a fifth of Intel’s investment (€166m) will be included in the figure in 2015, producing a minimum gross margin contribution in 2016 of 1.8%.

3. We expect the group margin excluding EUV to reach 43.5% in 2016 due to the increased top line and growth in the high-margin service and software segment (€1.2bn revenue in 2016 versus €930m in 2012).

4. Cymer’s positive gross margin impact: Cymer’s adjusted gross margin was 56% in Q2 2013, higher than ASML’s average group gross margin (41.8% in Q2 2013). We expect the Cymer acquisition to lead to a 50bp increase in the group gross margin by 2016.

We expect that with its current product portfolio, ASML will be able to maintain its existing profitability level given ASP stability. In addition, chip-makers look at total cost of ownership – ie the cost saving from a more efficient process or higher yield rate usually justifies the higher ASPs of new generation tools.

Figure 12: ASML tool ASP will not decrease over time

Source: AMSL data, Berenberg estimates

Source: ASML data, Berenberg Bank estimates

0

5

10

15

20

25

30

35

2006 2007 2008 2009 2010 2011 2012 2013E 2014E 2015E 2016E

EUR mn

ArFi NXT:1950i roadmap: 2011 2012 extensions 2013+ extensions

Matched Machine Overlay 5.5nm 4.5nm 3.5nmOn Product Overlay 9nm 6nm 4nmCDU 3nm 1.5nm 1nmTotal focus control budget 110nm 90nm 70nmThroughput 190WPH 230WPH 250WPHDefects 10 defects/wafer 10 defects/wafer <7 defects/waferSource: ASML data

Page 41: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

41

Key catalysts

In our view, the following events could be catalysts for the share price.

1. Further progress on EUV light source: EUV light source power is the bottleneck making it difficult for ASML to achieve the desired throughput level for it to be commercially viable. Cymer achieved 55 watts (43wph) in March 2013, and it is aiming to deliver 105 watts (69wph) by mid-2014. If Cymer announces any major progress on light source development towards that target, or even reaches 105 watts before mid-2014, then the stock price would react positively.

In our opinion, the Cymer acquisition increased the likelihood of ASML being able to achieve its 105-watt target before mid-2014. ASML has announced decent progress in recent quarters, and management is confident that the company can reach 105-watt light source power by mid-2014.

2. DRAM and NAND order growth: Memory orders have recovered from their trough in Q1 2013, and accounted for 35% of total orders in Q2. We believe that further improvement in memory orders would benefit ASML’s revenue and share price.

In our opinion, we believe memory spending is increasing. 28% of KLA’s order were memory orders last quarter, up 60% from its December 2012 quarter orders (17% of which were memory), and it expects memory to contribute 33% of orders in the June quarter. LAM has also indicated a strengthening in memory orders in H1; its memory shipment portion increased from a low 20% in December to 31% in the March quarter. We therefore believe it to be very likely that ASML’s memory order level will increase in the coming quarters, driven by customers such as Samsung and Toshiba.

3. More aggressive shrinkage: Aggressive shrinkage plans will ultimately lead to a higher level of demand for EUV tools and ArFi tools, versus current modelled expectations.

The chip-makers’ roadmaps are already aggressive, in our view, and so the opportunity for further acceleration in shrinkage terms would appear to be low. However, as competition intensifies in the consumer electronic market, Intel, TSMC and Samsung may bring their roadmaps forward in a bid to secure a technology leadership position.

4. A delay in Nikon’s EUV development, or its exit from the EUV market: Nikon, ASML’s only competitor in this segment, is looking to introduce EUV after 2015/16. If Nikon delays this plan, or exits the EUV market altogether due to technical issues, we believe this would have a beneficial effect on ASML’s share price.

We do not believe that Nikon will make an announcement on its EUV plans soon. It has stated that it is focusing on the ArFi market and that it expects its ArFi tool to extend to 20nm chip designs, while EUV is only required for printing 16nm/11nm chips. Therefore, we believe the timeframe for Nikon to announce any possible delay or EUV plan would be end-2014 at the earliest or 2015, when it starts to focus on EUV production.

Page 42: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

42

Financials

P&L – EUV a future driver; foundry/logic orders solid ASML’s revenue is made up of tool revenue and software and service revenue. We model ASML’s revenue based on shipments of different types of tools.

Figure 13: ASML tool revenue model

Source: Company data, Berenberg estimates We expect EUV shipments to increase in volume in 2015 and 2016 after ramping up slowly in 2013 and 2014. We expect logic/foundry companies to gradually allocate more layers to EUV from ArFi as they gain more experience of it, and memory-makers to start adopting EUV after throughput improves over time. We estimate EUV will contribute the majority of ASML’s total tool revenue from 2016 onwards.

In 2013 and 2014, we expect ArFi tools to contribute the majority of ASML’s total revenue and unit shipment. The adoption of the DP process by logic/foundry players in 20nm node will drive solid demand for ArFi tools in 2013 and 2014. Starting in H2 2014, the demand of ArFi is likely to decrease as the onset of EUV reduces demand for DP. From this point, ArFi tools will then only be used for non-critical layers.

2011 2012 2013E 2014E 2015E 2016EShipment unitsI-Line 20 15 15 12 8 8KrF 87 78 52 50 32 28ArF Dry 11 4 4 8 8 12ArF Immersion 101 72 69 72 67 49EUV 3 1 3 15 24 50New 195 146 126 141 123 131Refurbished 27 24 17 16 16 16Total 222 170 143 157 139 147

ASPs (E m)I-Line 3 4 4 4 4 4KrF 11 12 12 10 10 10ArF Dry 20 22 23 23 23 23ArF Immersion 35 38 42 45 45 45EUV 39 42 70 81 96 100Blended 22 22 27 33 42 53New System (inc EUV) 24 25 30 36 47 59Refurbished 4 8 5 6 6 6

Revenues by technologyI-Line 1% 1% 2% 1% 1% 0%KrF 19% 24% 16% 10% 6% 4%ArF Dry 5% 2% 2% 4% 3% 4%ArF Immersion 72% 71% 74% 62% 51% 28%EUV 2% 1% 5% 23% 39% 64%

Revenues by technologyI-Line 62 56 61 48 32 32KrF 945 908 632 520 333 291ArF Dry 221 86 92 184 184 276ArF Immersion 3,540 2,710 2,875 3,238 3,015 2,202EUV 116 42 210 1,210 2,300 5,000New system sales 4,772 3,621 3,790 5,104 5,768 7,705Refurbished sales 112 180 80 96 96 96Total Eqpmt sales (E m) 4,884 3,802 3,870 5,200 5,864 7,801Total equip sales (exl EUV) 4,768 3,760 3,660 3,990 3,564 2,801

Page 43: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

43

Figure 14: ASML tool revenue/shipment by technology

Source: Company data, Berenberg estimates

The main contributor to orders and revenue has shifted to the foundry/logic segment from memory since 2010. Foundry accounted for 45% of ASML’s order book and 60% of its revenue in 2012. We expect the trend to continue until memory investment recovers. Memory players Micron and SK Hynix have both indicated that they have only made conservative capacity additions apart from their node migration. Demand from the foundry/logic segment however is likely to remain solid, driven by strong growth in the consumer electronics market and increasing competition between Intel, Samsung, TSMC and others.

0

1,000

2,000

3,000

4,000

5,000

6,000

7,000

8,000

2011 2012 2013E 2014E 2015E 2016E

EUR mn Revenue by Technology

I-Line KrF ArF Dry ArF Immersion EUV

0

50

100

150

200

250

2011 2012 2013E 2014E 2015E 2016E

Unit mnShipment by Technology

I-Line KrF ArF Dry ArF Immersion EUV

Page 44: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

44

Figure 15: ASML booking/revenue by end-market

Source: Company data

ASML’s software and service segment comprises metrology products and holistic lithography software, designed to help customers optimise tool performance, achieve greater printed feature resolution and reduce overall production costs. As chip size scales down, ever higher levels of precision are required, which we believe will lead to increased demand for metrology and holistic software over the next few years as manufacturing processes become ever more complex.

Source: Company data

0%

20%

40%

60%

80%

100%

2011 2012

Bookings by end market

IDM Foundry Memory

0%

20%

40%

60%

80%

100%

2011 2012

Revenue by end market

IDM Foundry Memory

Page 45: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

45

Figure 16: ASML P&L overview

Source: Company data, Berenberg estimates

We estimate that ASML’s total revenue to be €5,034m in 2013, and grow at CAGR 23% to reach €9,346m in 2016, driven by EUV tool shipment and high ASP. In 2016, we expect EUV to contribute 54% of total revenue, with revenue from other tools declining over time.

We estimate adjusted group gross margins will decline from 42.4% in 2012 to 41.9% in 2013, primarily due to initial EUV shipment at below the group average gross margin (25%). We expect the group gross margin to improve over time due to 1) an EUV gross margin uptick, 2) increased group revenue level leading to leverage, and 3) increased services revenue and Cymer consolidation.

2011 2012 2013E 2014E 2015E 2016ETool revenue ex EUV 4,768 3,760 3,660 3,990 3,564 2,801EUV revenue 116 42 210 1,210 2,300 5,000Software & Service 767 930 984 1,060 1,140 1,190Cymer 0 0 180 320 340 355Total revenues 5,651 4,732 5,034 6,580 7,344 9,346

Gross profit exEUV 2,451 2,005 1,957 2,207 2,041 1,736Gross margin ex-EUV 44.3% 42.7% 42.1% 43.7% 43.4% 43.5%

Gross profit EUV 0 0 53 370 983 2,350Gross Margin EUV 0.0% 0.0% 25.0% 30.6% 42.7% 47.0%

Gross profit Cymer- reported 0 0 -1 125 149 162Gross margin Cymer- reported 0.0% 0.0% -0.7% 39.0% 43.8% 45.7%

Corporate Gross Profit - reported 2,451 2,005 2,008 2,702 3,172 4,249Corporate Gross Margin reported 43.4% 42.4% 39.9% 41.1% 43.2% 45.5%Corporate Gross Profit - adj 2,450 2,005 2,111 2,754 3,212 4,289Corporate Gross Margin -adj 43.3% 42.4% 41.9% 41.9% 43.7% 45.9%

R&D - adj 590 589 875 920 800 760SG&A - ajd 219 259 295 304 280 260Other (income) expenses 0 0 -65 -120 -120 -120OPEX - Adj 808 848 1,105 1,104 960 900

Op profit - reported 1,641 1,157 880 1,570 2,212 3,349opm - reported 29.0% 24.4% 17.5% 23.9% 30.1% 35.8%Op profit - adj 1,641 1,157 1,006 1,650 2,252 3,389opm - adj 29.0% 24.4% 20.0% 25.1% 30.7% 36.3%

PBT - reported 1,649 1,151 870 1,570 2,212 3,349Tax Expense 182 4 62 126 177 335Effective Tax Rate % 11.0% 0.4% 7.2% 8.0% 8.0% 10.0%

Net income - Reported 1,467 1,146 808 1,445 2,035 3,014Net income - adj 1,467 1,146 925 1,518 2,072 3,050

No of Shares 426 424 420 417 413 410No. of shares diluted 429 427 424 421 417 414

EPS - Reported 3.42 2.68 1.91 3.43 4.88 7.27EPS - adj 3.42 2.68 2.18 3.60 4.97 7.36

Page 46: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

46

Strong cash and consistent dividends ASML’s €1.6bn of net cash (€3.8/share) at the end of Q2 2013 accounted for 5% of its market cap. The strong cash position will enable the company to explore all possible M&A opportunities, and more importantly, ensure a consistent level of R&D investment to maintain its technology leadership position.

ASML generated €532m of free cash flow in 2012, down from €1,770m in 2011, due to a decrease in sales and EUV downpayments. We expect the timing of EUV downpayments may cause fluctuations in free cash flow in 2013 and 2014 before EUV ramps up in volume. Fluctuations in free cash flow fluctuation should end after 2015, when EUV can deliver a more consistent level of operating cash flow. Capital expenditure is guided up to €370m for 2013 (7.3% of 2013E sales), from €172m in 2012, due to EUV and 450mm facility expansion. We estimate capex will remain at a similar level until 2015, and return to €200m after all EUV- and 450mm-related facility expansion is complete. The overall cash position will not be adversely impacted by the rising capex, as it will be offset by operating cash flow growth over time.

ASML has a history of consistent dividend payouts as well as rising dividends. It raised 2012 dividends to €0.53/share, from €0.46/share in 2011, equal to a 20% payout ratio. If ASML distributes 17% (the average payout ratio in 2010-2012) of net income, we estimate that the dividend will increase to €1.3/share in 2016, which is a 2% dividend yield based on our price target.

Figure 17: stable dividend payout

Source: Company date, Berenberg estimates

ASML began a share buyback programme in 2011. It has executed €1.13bn in share buybacks to date, and announced another €1bn repurchase for 2013-2014 in Q1 2013.

0%

5%

10%

15%

20%

25%

0.00

1.00

2.00

3.00

4.00

5.00

6.00

7.00

8.00

2011 2012 2013E 2014E 2015E 2016E

EPS DPS Payout ratio

Page 47: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

47

Valuation

Our price target of €73.00 is based on a P/E valuation. The price target of €73.00 implies a 12x P/E based on EPS estimates of €7.4/share, discounted by a 10% WACC back to 2014. We adopted the EPS estimate which fairly reflects EUV’s earning power as EUV is the main growth driver for ASML, in our opinion. EUV revenue is expected to grow by a CAGR of 188% over the next three years, and contribute 54% of revenue and 55% of the gross profit in 2016. We estimate EUV shipments to be fully ramped up in 2016.

ASML traded at 7x to 24x forward P/E during the semiconductor industry recovery cycle between end-2009 to 2011 (see Figure 18). We believe we have now entered another recovery cycle from the beginning of 2013, as noted by front-end players such as ASML, AMAT, TEL and KLA which have started to see order levels pick up. ASML was trading at 9x to 13x in June-September 2010, which was six months after the start of the last recovery cycle.

Our P/E of 12x is 10% higher than the middle point of historical 10x-13x mid-recovery cycle P/E. This premium is assigned to reflect ASML’s stronger competitive position compared to 2010. ASML held a 70% market share in 2010; we expect it to hold a more than 80% by 2016, and dominate the EUV market.

Figure 18: 10% P/E premium for the market leader

Source: Bloomberg data

Figure 19: Peer group valuation

Source: Bloomberg data

Source: ASML

Company Name PE EV/EBIT EV/Sales FY 2013E FY 2014E FY2015E FY 2013E FY 2014E FY2015E FY 2013E FY 2014E FY2015E

NIKON CORP 14.12 13.42 12.25 9.83 8.28 8.53 0.82 0.80 0.87ASM INTL NV 27.03 14.02 13.19 50.89 10.49 13.21 3.13 1.91 1.52APPLIED MATERIAL 23.30 13.18 11.92 17.43 10.77 4.87 2.35 1.92 0.91KLA-TENCOR CORP 16.94 13.21 11.26 9.43 7.59 2.97 2.46 2.21 0.95LAM RESEARCH 20.99 11.64 10.15 14.73 8.28 2.90 1.74 1.44 0.58ULVAC INC na 10.21 7.36 25.28 12.27 15.08 0.82 0.75 1.04DAINIPPON SCREEN 28.32 14.13 14.41 67.36 11.63 13.66 0.76 0.70 0.81TOKYO ELECTRON 48.60 20.98 18.74 25.57 9.75 5.61 1.16 1.02 0.72Mean 22.41 13.85 12.41 27.57 9.88 8.35 1.66 1.34 0.92Median 22.14 13.32 12.09 21.36 10.12 7.07 1.45 1.23 0.89

ASML HOLDING NV 25.04 15.67 12.17 21.81 10.74 2.10 4.80 3.73 0.67

Page 48: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

48

Key risks

1. EUV feasibility: If ASML and Cymer fail to improve EUV light power to the required 105-watt level before mid-2014, we believe its share price will be negatively affected.

In our opinion, ASML/Cymer is the only player with the capability to deliver EUV tools with the required throughput level. The Cymer acquisition further increased the likelihood of ASML achieving the 105-watt target by mid-2014. Management retained its 105-watt light source power target in Q2 and indicated that it expected to achieve 80 watts by September/October 2013.

2. Semiconductor spending pause: ASML’s future success depends on how aggressive chip-makers shrink and on demand for leading-edge chips. The consumer electronics devices market has been driving demand for smaller chips in the past few years, so any slowdown in this market will affect spend.

In our opinion, the consumer electronics market is unlikely to slow down in next few years due to strong demand from developing countries; however, we do expect intensified competition. Intel, Samsung, Apple and fabless players are likely to use leading-edge chip technology as a differentiation factor. Therefore the chance of chip-makers pausing investment in leading technology is very low.

3. Alternative technology: EUV is now seen as the most credible method to achieve further shrinkage; however, development of other technologies such as E Beam and DSA (directed self assembly) are still ongoing.

In our opinion, alternative solutions like E BEAM, DSA are unlikely to replace EUV as the cost-effective solution for printing leading-edge node. E Beam/multi-beam is currently suffering significant throughput limitations. The throughput for a single machine is less than 1wph. DSA is a method of using block copolymer material to generate a repeatable pattern. As per Gartner data, DSA has the potential to significantly reduce lithography costs, as only single patterning is needed. It may potentially be used for 14nm, but the associated cost is prohibitive.

Page 49: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

49

Company background

ASML is the world’s leading provider of lithography systems for the semiconductor industry, and manufactures lithography tools that are critical to the production of integrated circuits or chips. It designs, develops, integrates, markets and services the lithography tools used by its customers. Customers include all major foundry, logic and memory chip-makers and IDMs.

Figure 20: ASML’s customers

Source: ASML ASML has a broad product portfolio for lithography tools, and it regularly refreshes tools to deliver a high specification.

Figure21: Product portfolio

Source: ASML data

Company IndustrySamsung Memory/Logic/FoundryIntel Foundry/LogicTSMC group FoundrySK Hynix MemoryGlobalfoundries FoundryToshiba/Sandisk MemoryUMC FoundryMicron MemorySony IDMInfineon IDM

ASML Product porfolio:EUV Resolution Throughput start shippingTWINSCAN NXE:3300 22nm/18nm 2013:43wph 2013 Q2TWINSCAN NXE:3100 22nm/20nm 2013:43wph 2010 Q4

ArFiTWINSCAN NXT:1970Bi Estimate 2014TWINSCAN NXT:1960Bi Estimate 2013TWINSCAN NXT:1950i 38 nm >=230wph, aim250wph 2009 Q3TWINSCAN XT:1950Hi 38 nm >=148 wph 2009 H1TWINSCAN XT:1900Gi (OLD) 40 nm >=131 wph 2007 JulyTWINSCAN XT:1700Fi (OLD) 45 nm >=122 wph 2006 Q1

ArFTWINSCAN XT:1450H 65 nm >=162 wph 2007 MidTWINSCAN XT:1450G 65 nm >=145 wph 2004

KrFTWINSCAN XT:1000H 80 nm 2008 MidTWINSCAN XT:860K 110 nm >=210 wph 2007/2008TWINSCAN XT:800K 120 nm >=220 wph 2007/2008TWINSCAN XT:875G(OLD) 90 nm >=150 wph 2007 H2TWINSCAN XT:870G(OLD) 110 nm >=150 wph 2007 H2

I LineTWINSCAN XT:400K 350 nm >=220 wph 2008TWINSCAN XT:450G (OLD) 365 nm >=141 wph 2008 H2TWINSCAN XT:400G(OLD) 365 nm >=149 wph 2007 Nov

Page 50: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

50

ASML shareholder structure ASML has approximately 408m ordinary shares outstanding with a nominal value EUR0.09 each, listed at both NYSE Euronext Amsterdam and NASDAQ in New York. The free float percentage is 77.32%. The shareholder structure at end-2012 end is shown below.

Figure 22: Holding structure at end-2012

*Major shareholders have the same voting rights as other shareholders, with exception Intel and TSMC (and related foundations) in the Customer Co-Investment Program Source: ASML Customer co-investment programme In July 2012, ASML announced a customer co-investment programme to accelerate development of EUV and 450mm technology. Intel, Samsung and TSMC invested €4.4bn in total, for 23% of ASML’s share capital and €1.1bn in R&D funding. The shares issued under the programme only carry voting rights under exceptional circumstances, and are not transferable for two and a half years after issuance.

The R&D contribution from Intel will be recognised through the revenue line after EUV shipment ramp-up. Samsung’s and TSMC’s R&D contribution will be recognised through operating income.

We view the co investment programme as positive for both chip-makers and ASML. For ASML, it demonstrates that customers recognise EUV as Moore’s Law enabler, and that ASML has a leading position in this field. For chip-makers, the investment contribution helps ASML deliver a clearer and accelerated roadmap for EUV and 450mm.

Management CEO Peter Wennink Peter Wennink became CEO in July 2013. Previously, he was executive vice president, CFO and a member of the management board from 1999.

Other52%

FMR LLC9%

Blackrock Inc6%

Stichting Administratiekantoor

MAKTSJAB/Intel*15%

Capital Group International, Inc

13%

Stichting Administratiekantoor

TSMC/TSMC*5%

Page 51: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

51

Financials

Profit and loss account

Year-end December (EUR m) 2011 2012 2013E 2014E 2015E 2016E Sales 5,651.0 4,732.4 5,034.2 6,579.7 7,343.8 9,345.8 Cost of sales 3,201.4 2,727.5 2,923.6 3,825.6 4,131.4 5,057.2 Gross profit 2,449.6 2,004.9 2,110.6 2,754.1 3,212.4 4,288.6 Selling, General and Administrative Expenses 218.5 259.3 294.5 304.0 280.0 260.0 Research and development 589.9 588.7 874.8 920.0 800.0 760.0 Other operating expenses 0.0 0.0 -64.5 -120.0 -120.0 -120.0 Unusual or infrequent items 0.0 0.0 126.2 80.0 40.0 40.0 EBIT 1,641.2 1,156.9 879.6 1,570.1 2,212.4 3,348.6 Interest expenses -7.4 6.2 9.3 0.0 0.0 0.0 Extraordinary income/loss 0.0 0.0 0.0 0.0 0.0 0.0 EBT 1,648.6 1,150.7 870.3 1,570.1 2,212.4 3,348.6 Taxes 181.6 4.3 62.3 125.6 177.0 334.9 Net income from continuing operations 1,467.0 1,146.4 807.9 1,444.5 2,035.4 3,013.8 Income from discontinued operations (net of tax) 0.0 0.0 0.0 0.0 0.0 0.0 Net income 1,467.0 1,146.4 807.9 1,444.5 2,035.4 3,013.8 Minority interest 0.0 0.0 0.0 0.0 0.0 0.0 Net income (net of minority interest) 1,467.0 1,146.4 807.9 1,444.5 2,035.4 3,013.8 Source: Company data, Berenberg estimates

Page 52: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASML Holding NV Technology Hardware

52

Balance sheet

Year-end December (EUR m) 2011 2012 2013E 2014E 2015E 2016E Intangible assets 154.4 159.1 2,776.1 2,729.0 2,682.0 2,635.0 Property, plant and equipment 1,053.6 1,029.9 1,335.1 1,537.0 1,698.8 1,830.6 Deferred taxe asset (LT) 38.7 39.4 52.5 52.5 52.5 52.5 Other assets 307.3 350.2 309.6 309.6 309.6 309.6 Fixed Assets 1,554.0 1,578.6 4,473.3 4,628.1 4,742.9 4,827.7 Liquid assets 2,731.8 1,768.0 1,285.2 1,719.0 3,196.2 5,348.7 Inventories 1,624.6 1,857.0 3,203.4 3,328.1 3,692.7 3,950.5 Accounts receivable 880.6 605.3 956.3 1,120.4 1,042.3 1,213.9 Current income tax assets 231.7 1,233.1 1,222.5 1,222.5 1,222.5 1,222.5 Current Assets 5,706.8 5,832.3 6,959.7 7,682.2 9,446.0 12,027.8 TOTAL 7,260.8 7,410.9 11,433.0 12,310.3 14,188.9 16,855.5 Shareholders' equity 3,444.2 4,066.9 6,842.5 7,692.7 9,221.2 11,636.2 Minority interest 0.0 0.0 0.0 0.0 0.0 0.0 Bonds (long term) 0.0 0.0 0.0 0.0 0.0 0.0 Deferred taxes 849.8 501.4 654.8 654.8 654.8 654.8 Long-term debt 733.8 755.9 735.6 735.6 735.6 735.6 Other liabilities 0.0 0.0 0.0 0.0 0.0 0.0 Non-current liabilities 3,444.2 4,066.9 6,842.5 7,692.7 9,221.2 11,636.2 Current liabilities 2,233.0 2,086.3 3,200.2 3,227.2 3,577.3 3,828.9 TOTAL 7,260.8 7,410.5 11,433.0 12,310.3 14,188.9 16,855.5 Source: Company data, Berenberg estimates

Cash flow statement

EUR m 2011 2012 2013E 2014E 2015E 2016E Net profit/loss 1,467 1,146 828 1,445 2,035 3,014 Depreciation and Amortisation 165 187 211 235 235 235 Changes in deferred taxes 63 -120 0 0 0 0 Other 89 204 222 247 247 247 Change in working capital 286 -713 -561 -262 64 -178 Cash flow from operating activities 2,071 704 700 1,665 2,581 3,318 Capex -301 -172 -370 -390 -350 -320 Income from asset disposals 0 0 0 0 0 0 Other cash flow from investing activities 0 -948 -236 0 0 0 Cash flow from investing activities -301 -1,120 -606 -390 -350 -320 Long term debt issuance 2 0 0 0 0 0 Loan and receivable repayments -2 -3 -2 0 0 0 Purchase of own shares -669 3,638 -357 -620 -500 -500 Dividends paid -173 -189 -216 -221 -254 -347 Others -150 -3,992 0 0 1 2 Cash flow from financing activities -992 -546 -575 -841 -754 -846 Effects of exchange rate changes on cash 4 -2 1 0 0 0 Increase/decrease in liquid assets 0 0 0 0 0 0 Liquid assets at end of period 2,732 1,768 1,285 1,719 3,196 5,349 Source: Company data, Berenberg estimates

Page 53: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASM International NV Small/Mid-Cap: Technology Hardware

53

Front-end business undervalued • We initiate coverage on ASMI with a Buy recommendation and

a price target of €31. ASMI supplies deposition equipment which is used in the chip fabrication process; its ALD and epitaxy tool are essential in manufacturing leading-edge chips. We are buyers for the following reasons: 1) we expect the ALD market (60% revenue) to grow at a CAGR of 20% to €700m over the next three years, driven by the new chip designs; 2) ASMI holds a 44% market share of the ALD market, and we believe it will maintain this as its comprehensive intellectual property (IP) portfolio has created high entry barriers for other players; 3) following the release of its Intrepid XP epitaxy tool, ASMI may receive orders from Intel due to its strong relationship with Intel and Intel’s dual sourcing policy; 4) its 40% holdings in ASM Pacific Technology Ltd (ASMP) accounts for 65% of ASMI’s share value as per our SOTP valuation. We expect holdings to decrease over time and this will act as a catalyst for the stock.

• Key debates: 1) What is the value of ASMI front-end business? 2) will ASMI dispose of more ASMP shares? 1. AMAT and Francisco Partners offered ASMI $800m for its

front-end business in 2008. We believe the front-end business is worth more than $800m today ($949m/€730m as per our valuation) as ASMI is in a better position than it was in 2008, given it has gained a 6% ALD market share, and it may gain an Intel order with its newly launched epitaxy tool.

2. ASMI has taken down its position from a controlling 53% to 40% in Mar 13, .i.e. from a consolidated to a below the line item. This in our opinion was a 1st step, i.e. no longer have control of ASMP’s business. The lock up period will expires in September; we think further disposal will be likely thereafter.

• Our revenue forecasts for in line with consensus, and our EPS are 10%, 8% and 10% above consensus for 2013, 2014 and 2015. We believe that the gross margin will increase as a result of top-line growth, and that ASMI can offset its increasing R&D levels with cost-savings.

• Our €31 price target is based on an SOTP valuation. We value ASMI’s front-end operation at €11/share by applying a 14x P/E multiple on 2014 EPS excluding ASMP at €0.81. The 14x P/E multiple is the average P/E of its peers excluding TEL, as TEL usually trades at a P/E premium due to its strong cash position. We value the back-end operation based on ASMP’s listed price, applying a 5% holding discount.

Buy (initiation) Rating system

Current price EUR 27.49

Absolute

Price target EUR 31.00

19/07/2013 Amsterdam Close Market cap EUR 1,736 m Reuters ASMI.AS Bloomberg ASM NA Share data

Shares outstanding (m) 63 Enterprise value (EUR m) 1,461 Daily trading volume 244,210 Performance data

High 52 weeks (EUR) 31 Low 52 weeks (EUR) 24 Relative performance to SXXP AEX 1 month 0.7 % -2.2 % 3 months 10.2 % 6.6 % 12 months -35.9 % -30.1 %

Key data

Price/book value 0.9 CAGR sales 2011-2014 -29.4% CAGR sales 2012-2015 140.5%

Business activities: ASMI is a leading supplier of semiconductor equipment, materials and process solutions for the wafer processing industry. It supplies equipment used for the deposition process. Non-institutional shareholders: Arthur Del Prado 18%

22 July 2013

Tammy Qiu Analyst +44 20 3207 2673 [email protected]

Jean Beaubois Specialist Sales +44 20 3207 7835 [email protected]

Y/E 31.12., EUR m 2011 2012 2013E 2014E 2015E Sales 1,634 1,418 559 477 499 EBIT 366 88 25 61 68 Net profit 187 7 1,470 125 133 Y/E net debt (net cash) -191 -206 -252 -363 -475 EPS (GAAP) 3.12 0.15 22.98 1.95 2.09 EPS (Proforma) 2.38 0.23 0.95 1.95 2.09 CPS 3.93 0.76 0.25 2.03 1.68 DPS 0.36 0.49 4.78 0.00 0.00 Gross margin 35.6% 31.1% 33.9% 40.0% 41.0% EBIT margin 22.4% 6.3% 4.6% 12.8% 13.7% Dividend yield 1.4% 1.8% 17.6% 0.0% 0.0% ROCE 22.6% 1.8% 3.3% 6.2% 6.1% EV/sales 0.8 0.9 2.4 2.8 2.7 EV/EBIT 3.6 15.0 52.4 21.8 19.4 P/E 8.8 183.2 1.2 14.1 13.2

Source: Company data, Berenberg

Page 54: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASM International NV Small/Mid-Cap: Technology Hardware

54

Investment summary

We base our investment thesis on Buy-rated ASMI on the following five points.

1. We expect the ALD market to reach €700m in the next three years: ASMI generates 60% of its revenue from the ALD market. We expect the addressable market to expand to €700m (a rise of c20%) in the next three years, driven by increasing HKMG adoption in foundries and logic. In 2012, the HKMG process was only adopted by Intel (100% of 22nm capacity), TSMC (60% of 28nm capacity) and Samsung (100% of 32nm capacity, 0% of 28nm capacity). We expect TSMC, Samsung and other tier two foundries (such as Globalfoundries and UMC) to increase their HKMG-based capacity significantly in the next two years because HKMG is essential for building 20nm/below chips. We do not expect ASMI to lose market share: it has accumulated a comprehensive IP portfolio on ALD technology. TEL, the only other main player in this market, currently licenses ASMI’s IP to make ALD tools.

2. Market expansion driven by new epitaxy tools: ASMI’s previous epitaxy tool (17% of revenue) only addressed the power devices and analog markets; however, in 2012, it released a new epitaxy tool model (Intrepid XP) that targeted the logic market. We expect the epitaxy market to expand to €500m in the next three years from €423m today (a rise of c9% per year). Given ASMI’s strong relationship with Intel and Intel’s dual-sourcing policy, we believe that ASMI could gain orders from Intel and serve as its secondary supplier, after AMAT. We believe its market share may, therefore, expand from the current 10% level to 11% in 2015.

3. ASMI’s front-end business worth more than $800m today: AMAT and Francisco Partners offered to acquire ASMI’s front-end business for $800m in 2008. The price looked expensive due to a private equity buyout valuation premium before the financial crisis. We believe the front-end business is worth more than $800m today (worth $949m as per our valuation) because it is in a better position than it was in 2008. This is because: 1) ASMI’s market share in the ALD market has risen by 6% since 2008 to 44% in 2012; 2) 3D NAND will boost PE CVD (14% of revenue) in 2014/15, an opportunity that did not exist in 2008; and 3) ASMI could gain epitaxy orders with its newly launched tool and increase its exposure to strong logic capex.

4. Further ASMP share disposal is a catalyst given investor frustration: ASMI’s share price is affected by its holding in ASMP, a non-strategic asset. We think that management has aligned its interest with shareholders (as demonstrated by the founder’s comments at the 2012 AGM). ASMI has already sold down a 12% tranche, and we expect it to sell further tranches following the September lock-up expiry. The market has been disappointed that the full stake was not disposed of. Our view is that a structured selling of the holding is the optimal approach as a better value can be realised.

5. SOTP – 65% of ASMI’s share value comes from ASMP: In our SOTP, 65% of ASMI’s share value comes from its 40% holding in ASMP, which we value based on ASMP’s share price after applying a 5% holding discount. We value ASMI’s front-end business at €10/share by applying 14x P/E on 2014 EPS of €0.81.

Page 55: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASM International NV Small/Mid-Cap: Technology Hardware

55

Figure 1: Valuation matrix

Source: Berenberg estimation, company data Based on our 2014 estimates, ASMI’s ROE is 6.2%, which is lower than that of ASML, AMAT, SUSS and TEL. It does not have a stable dividend policy in place. Its free cash flow yield is 6.2%, which is lower than SUSS and AMAT.

Key catalysts The following are the key catalysts for the stock.

● ASMP share disposal: In our opinion, there is little synergistic or strategic reason for keeping ASMP shares, as ASMP was operated independently from the beginning. We think that a structured selling of the holding will be positive for the share price.

● Order intake recovery in the coming months: We believe ASMI is likely to announce further order growth in the next six to 12 months, driven by the semiconductor cycle recovery and ALD orders as chip-makers are expanding their leading-edge chip manufacturing capacity.

● New epitaxy orders from logic: In our opinion, ASMI may not gain much market share from AMAT in the epitaxy market, but it could become a secondary supplier to Intel, given Intel’s dual-sourcing policy.

Key risks The following are the key risks for the stock.

● Leading-edge chip technology-related spending pause: We do not expect the capex on the most advanced chip technology to pause until 2017/2018, when we expect consumer electronic devices demand from emerging markets to become saturated.

● Availability of alternative technology: We are not yet concerned about the threat from alternatives to ALD such as PE CVD, as PE CVD is currently lagging ALD in terms of its ability to achieve the required layer uniformity level.

No.1 ASML 19.7% AMAT 2.5% AMAT 9.7% TEL 26.5%No.2 AMAT 17.1% TEL 1.5% ASMI 6.2% SUSS 17.6%No.3 SUSS 9.1% ASML 0.9% ASML 4.5% AMAT 16.7%No.4 TEL 6.3% ASMI - TEL 4.3% ASMI 8.9%No.5 ASMI 6.2% SUSS - SUSS 1.6% ASML 6.5%* based on Berenberg estimation of 2014 performanceNet cash based on 2012 YE balance

ROE*Dividend

yield*FCF yield* Net cash/marcap

Page 56: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASM International NV Small/Mid-Cap: Technology Hardware

56

Strong fundamentals unrecognised

Consensus focus on front-end implied valuation The main focus of consensus lies on ASMI’s implied valuation for its front-end business, which has had a negative market cap since 2007 (see Figure 2). As a result, the pair trade of long ASMI/short ASMP was well known and widely discussed among investors, who predicted that ASMI’s share price would re-rate after it divests its ASMP holdings, and that ASMP’s share price would slump upon ASMI’s disposal.

Figure 2: ASMI had negative market cap since 2007

Source: Bloomberg data

In March 2013, ASMI divested 12% of its holding in ASMP, and ASMI’s share price fell by as much as 24% in the two months following the disposal. The slump occurred because 1) the market was expecting ASMI to sell more than 12% of its ASMP holdings and 2) investors were concerned about when and even if there will be further share disposals.

We believe the market currently has a low expectation of further divestment once the lock-up period expires in September 2013, and a further disposal could be a catalyst for ASMI’s share price. However, we also analyse ASMI from a different angle. We believe its front-end business will also drive strong performance in the long run and make the stock an attractive investment.

New chip designs trending in ASMI’s favour ASMI has a solid front-end business that generated 91% of its revenue from the deposition market in 2012, according to data from market researcher Gartner.

While the total deposition market will grow by c5% between 2012 and 2017 according to Gartner data, we believe that the ALD, PE CVD and epitaxy sub-sectors will outperform the total deposition market.

AMAT dominates the deposition market, while ASMI’s market share has always been small: its market share in 2012 was c5.2% (see Figure 2). Compared with AMAT and LAM, which supply a broad range of deposition equipment, ASMI focuses on specific segments, including ALD, epitaxy and PE CVD. We expect ASMI to benefit from the new generation of mainstream chip designs, which include 20nm/10nm HKMG, FinFET and 3D NAND, given that 1) its ALD tool is essential in leading-edge chip manufacturing processes, such as HKMG, 2) its epitaxy tool is required in the manufacturing of FinFET chips and 3D NAND, and

0%20%40%60%80%100%120%140%160%

00.20.40.60.8

11.21.41.61.8

2EUR bn

ASMI marcap ASMP as % of ASMI marcap

Page 57: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASM International NV Small/Mid-Cap: Technology Hardware

57

3) the ramp-up in 3D NAND may drive PE CVD tool demand. Figure 3: Deposition market share

Source: Gartner data

ALD will grow as HKMG adoption increases

ASMI generates 70% of its front-end revenue from ALD equipment sales. We expect robust ALD growth due to the increased adoption of the HKMG process. The HKMG process is currently only used in some 28nm solutions but it will become mandatory for 20nm and smaller nodes, as it increases the transistor capacitance and allow chips to function with reduced power needs. The ALD process enables chip-makers to form thin films atom by atom, which enables the precise chip-manufacturing process required by advanced nodes. It offers better uniformity, surface roughness and thickness control than other solutions.

Currently, Intel, TSMC and Samsung have adopted HKMG for some products. Globalfoundries has limited HKMG for 28nm, and UMC is due to ramp up HKMG 28nm in 2014 at the earliest. We expect that HKMG will be used by more logic/foundries as they scale down to 20nm and below. At the same time, HKMG layers will increase with shrinkage.

We expect the ALD market to grow by a CAGR of 20% pa in next three years, versus the total deposition market grow which will grow by 6% during the same period according to Gartner’s estimates.

Figure 4: ALD growth versus total deposition market

Source: Gartner data, Berenberg estimates

0.0%

10.0%

20.0%

30.0%

40.0%

50.0%

60.0%

70.0%

80.0%

90.0%

100.0%

2012 2011 2010 2009 2008

AMAT TEL LAM/Novellus Hitachi ASMI Veeco Aixtron

0.0%

2.0%

4.0%

6.0%

8.0%

10.0%

12.0%

01,0002,0003,0004,0005,0006,0007,0008,0009,000

2009 2010 2011 2012 2013E 2014E 2015E 2016E 2017E

$mn

ALD Deposition ALD/deposition

Page 58: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASM International NV Small/Mid-Cap: Technology Hardware

58

ASMI shares the ALD market with TEL/Hitachi (see Figure 5). We expect ASMI to maintain its high market share because: 1) it has already established a strong relationship with the mainstream foundry/logic players, all of which we believe have committed to using ASMI’s ALD tools; 2) ASMI has, since it became the first player in this market in 1999, built a comprehensive ALD-related IP portfolio that has raised the ALD market’s entry barriers; and 3) TEL is not really a competitor for ASMI because it only has batch ALD systems, while ASMI is more focused on single-wafer processing equipment.

TEL licenses its ALD IP from ASMI and focuses on batch ALD equipment used in memory-makers. The only reason ASMI licensed its ALD IP to TEL is because the batch system is mainly used by a memory-maker with which TEL has a strong relationship, and is therefore hard for ASMI to penetrate. ASMI’s management has made a strong commitment to remain the leader in ALD technology and it has dedicated a further eight years R&D to turning ALD into a process that can be used reliably and efficiently by advanced semiconductor chip-makers.

Figure 5: ALD market share

Source: Gartner data

Epitaxy may open up new opportunities ASMI’s current epitaxy exposure is concentrated on power devices and analog end-markets. In 2012, it released Intrepid XP, which targets the logic market. The epitaxy process is used extensively in FinFET and 3D NAND manufacturing. Its growth is, therefore, likely to be solid because all logic/foundries are releasing FinFET designs in 2013/2014 while 3D NAND will be in mass production from the end of 2014 and will mature in 2015 (see Figure 6).

Figure 6: Epitaxy growth versus total deposition market

Source: Gartner data, Berenberg estimates

0%

20%

40%

60%

80%

100%

2008 2009 2010 2011 2012

ASMI TEL/Hitachi

0.0%

2.0%

4.0%

6.0%

8.0%

10.0%

12.0%

-

1,000

2,000

3,000

4,000

5,000

6,000

7,000

8,000

9,000

2009 2010 2011 2012 2013E 2014E 2015E 2016E 2017E

$mn

Epitaxy Deposition Epi/deposition

Page 59: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASM International NV Small/Mid-Cap: Technology Hardware

59

AMAT holds a dominant 89% share in the global epitaxy market (see Figure 7) and ASMI currently holds a 9% share. ASMI only addresses the power devices and analog markets, which are highly cyclical and low-growth (the analog market fell by 4.8% from 2011 to 2012). We do not expect it to gain significant market share from AMAT because AMAT is already well established with logic/foundry players. However, we believe that ASMI may be able to serve as a second supplier to Intel after AMAT, given its strong relationship with Intel.

Figure 7: Epitaxy market share

Source: Gartner data

PE CVD boost by 3D NAND PE CVD equipment is mainly used in building interconnecting layers in the chip manufacturing process. We believe the 3D NAND design which requires multi-layer stack deposition is likely to boost the demand for PE CVD equipment. The roadmap of main NAND vendors shows that 3D NAND is likely to be manufactured in volume in 2015 after ramping up at the end of 2014.

Gartner forecasts that the PE CVD market will grow by c5.4% between 2012 and 2017, versus total deposition market growth of 5.0% during the same period (see Figure 8 below).

Figure 8: PE CVD growth versus total deposition market

Source: Gartner data, Berenberg estimates

AMAT and LAM/Novellus are the biggest players in the PE CVD market, and we do not expect ASMI’s market share to change significantly. However, it may still benefit from the market’s growth in absolute revenue terms (see Figure 9).

0%10%20%30%40%50%60%70%80%90%

100%

2008 2009 2010 2011 2012Applied Materials ASM International

18.0%

19.0%

20.0%

21.0%

22.0%

23.0%

24.0%

0

1,000

2,000

3,000

4,000

5,000

6,000

7,000

8,000

9,000

10,000

2009 2010 2011 2012 2013E 2014E 2015E 2016E 2017E

$mn

PE CVD Deposition PE CVD/deposition

Page 60: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASM International NV Small/Mid-Cap: Technology Hardware

60

Figure 9: PE CVD market share

Source: Gartner data

0.0%10.0%20.0%30.0%40.0%50.0%60.0%70.0%80.0%90.0%

100.0%

2008 2009 2010 2011 2012AMAT ASMI Novellus /LAM

Page 61: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASM International NV Small/Mid-Cap: Technology Hardware

61

Key catalysts

In our view, the following events could be catalysts for the stock.

5. ASMP share disposal: ASMI’s share price fell by 24% over the two months following its divestment of 12% of ASMP in March 2013. Given the market has very low expectations of further divestment in September; we believe the share price will rise if ASMI does divest more.

In our opinion, ASMP was operated independently from the beginning, and there is little synergistic or strategic reason for keeping ASMP shares, given that its end-market exposure does not overlap with ASMI’s. Therefore ASMI may dispose of more ASMP shares in the next two years, if not in September 2013.

6. Order intake recovery: As a semiconductor equipment vendor, ASMI’s order level is cyclical and subject to the capex level of chip-makers. Signs of an order recovery will ultimately lead to higher revenue and profitability, and will therefore be positive for the share price.

In our opinion, ASMI will see an order recovery in the next 6 to 12 months. Its front-end peers ASML, AMAT, KLA and TEL have all started to see order intake growth from the beginning of 2013. Apart from the cycle-recovery-driven order increase, ASMI’s ALD orders are likely to be fuelled by leading foundries expanding their HKMG process-adoption rate in the next two years, and tier two foundries may follow from 2014.

7. New Intel order for epitaxy product: ASMI’s epitaxy equipment previously addressed the analog and power management markets, which are highly cyclical and have a low growth rate (the analog market fell by 4.8% in 2012). In 2012, ASMI released its Intrepid XP epitaxy model that targeted the logic segment. We expect its share price to react positively if it wins market share in logic, which would give it exposure to new opportunities, such as FinFET design.

AMAT dominates the global epitaxy market with an 89% market share. We believe it is unlikely that ASMI will win significant share from AMAT overnight, given that AMAT is well established with the big customers. However, ASMI may gain some small orders as the secondary supplier to Intel, with which it has a strong relationship.

Page 62: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASM International NV Small/Mid-Cap: Technology Hardware

62

Valuation

ASMI’s valuation used to be dominated by its subsidiary, ASMP, with a negative valuation assigned to the front-end business (see Figure 10). In March 2013, ASMI reduced its holding in ASMP to 40%; ASMP now contributes 78% of ASMI’s market capitalisation. Figure 10: ASMI market cap versus ASMI’s ASMP shareholding

Source: Bloomberg data

SOTP valuation

Figure 11: Peer group valuation

Source: Bloomberg data

Our SOTP valuation of ASMI is based on the value of ASMI’s holding in ASMP and the front-end business. Our valuation of ASMI’s share of ASMP reflects ASMP’s listed price. We apply a 5% liquidation discount to ASMI’s shareholding in ASMP. We value ASMI’s front-end business based on a P/E valuation of 14x 2014 adjusted EPS, excluding ASMP’s earning contribution.

ASMI currently holds 40% of ASMP, compared with 53% before March 2013. The 14x P/E is the mean P/E multiple of its peers for 2014 (see Figure 12) excluding TEL. We excluded TEL from mean calculation because it trades at a premium compared with its peers, due to its strong cash balance.

0%20%40%60%80%100%120%140%160%

00.20.40.60.8

11.21.41.61.8

2EUR bn

ASMI marcap ASMP as % of ASMI marcap

Company Name PE EV/EBIT EV/Sales FY 2013E FY 2014E FY2015E FY 2013E FY 2014E FY2015E FY 2013E FY 2014E FY2015E

NIKON CORP 14.12 13.42 12.25 9.83 8.28 8.53 0.82 0.80 0.87APPLIED MATERIAL 23.30 13.18 11.92 17.43 10.77 4.87 2.35 1.92 0.91ASML HOLDING NV 25.04 15.67 12.17 21.81 10.74 2.10 4.80 3.73 0.67KLA-TENCOR CORP 16.94 13.21 11.26 9.43 7.59 2.97 2.46 2.21 0.95LAM RESEARCH 20.99 11.64 10.15 14.73 8.28 2.90 1.74 1.44 0.58ULVAC INC 0.00 10.21 7.36 25.28 12.27 15.08 0.82 0.75 1.04DAINIPPON SCREEN 28.32 14.13 14.41 67.36 11.63 13.66 0.76 0.70 0.81TOKYO ELECTRON 48.60 20.98 18.74 25.57 9.75 5.61 1.16 1.02 0.72Mean 22.16 14.06 12.28 23.93 9.91 6.97 1.86 1.57 0.82Median 22.14 13.32 12.04 19.62 10.25 5.24 1.45 1.23 0.84

Mean excl TEL 18.39 13.07 11.36Median excl TEL 20.99 13.21 11.92

ASM INTL NV 27.03 14.02 13.19 50.89 10.49 13.21 3.13 1.91 1.52

Page 63: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASM International NV Small/Mid-Cap: Technology Hardware

63

Figure 12: SOTP valuation

Source: Berenberg estimate, Bloomberg data

Worth more than $800m today

In 2008 June, AMAT and Francisco Partners offered to acquire ASMI’s front-end business for $800m, of which $400m-500m was assigned to the ALD and PE CVD segments. The price looked expensive due to a private equity buyout valuation premium before the financial crisis. The offer was based on roughly 1.5x total sales. The ALD and PE CVD segments contributed 47% of front-end revenue at that time, according to Gartner data. The transaction was, however, terminated because of the financial crisis.

In our opinion, ASMI is in a better position today than it was in 2008. We believe it is currently worth more than $800m ($949m) (see Figure 13), while the ALD and PE CVD businesses are worth more than $400m-500m.

Figure 13: Front-end business worth $800m today

Source: Berenberg estimate, Bloomberg data

We believe ASMI is in a better position today than it was in 2008 for the following reasons.

1) ASMI’s share of the ALD market has risen from 38% in 2008 to 44% today. It is unlikely to lose market share in the future as its strong IP portfolio has created a high entry barrier and TEL is not competing with ASMI in the same end-market. The visibility of ALD’s growth potential is much higher today than it was five years ago, because all mainstream logic/foundry players are adopting HKMG solutions in their advanced chip manufacturing processes.

2) In 2014/2015, PE CVD growth will be fuelled by 3D NAND, which is a growth driver that did not exist in 2008. PE CVD is expected to benefit from 3D NAND ramping up as the number of layers of the chip increase significantly.

3) ASMI could gain logic customers through the epitaxy tool it released in 2012. This would bring extra upside.

ASMI SOTP valuation:ASMI's ASMPT shareASMI's holding 40%ASMP's 40% marcap (Eur mn) 1,352Valuation/ ASMI share (Eur ) 21 5% holding discount 20 ASMI Front end valueEPS 2014 ex ASMP contribution (Eur) 0.81No. of shares (mn) 64PE 14xFront end valuation (€ mn) 730

Total valuation (Eur mn) 2,015 Price per share (Eur) 31

Amount CommentPrice offered in 2008 June $mn 800

Our valuation today €mn 730 Our SOTP valuation@FX rate today €/$1.3 $mn 949 18% higher than $800mn@FX rate 2008 June €/$1.6 $mn 1168 46% higher than $800mn

Page 64: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASM International NV Small/Mid-Cap: Technology Hardware

64

Figure 14: ASMI is better positioned today

Source: Gartner data, Berenberg estimation

The market is concerned that the industry will not see memory capex expand at the rate it did 2010. If this is correct, ASMI will lose some of its upside for PE CVD, which is used in memory-makers. Our response, however, is that although the memory market expanded in 2010 it has since pulled back, and PE CVD is not ASMI’s main exposure. The focus should be on the ALD division, where it generates the majority of its revenue.

As ASMI is in a better position today than it was in 2008, we believe the ALD and PE CVD segment is now worth more than $400m-500m compared with 2008. In our analysis below, the combined value of these two businesses is at least $575m based on current FX rates. This value is 13% higher than the high end of the AMAT and Francisco Partners offer, and is worth 41% more than the high-end of that offer once the June 2008 FX rate is factored in.

Figure 15: ALD and PE CVD worth more today

Source: Berenberg estimation, Bloomberg for FX

$mn 2008 2012 Change Market share change Comment

Deposition 252 309 23% FlatStayed at 5.2% deposition market share.

PE CVD 82 44 -47% Down from 6% to 3% Lost share to LAM.

ALD 76 187 146% Up from 38% to 44%Remain dominant, higher visibility on market growth compared to 2008.

LP CVD 49 26 -46% Down from 5% to 3% Lost share to Hitachi

Epitaxy 45 53 17% Down from 18% to 10%

Market share down as ASMI only focus on low growth analog and power devices applications. It may gain market share from AMAT with new equipment launched which targets logic players.

Amount CommentPrice offered in 2008 June $mn 400-500 for ALD, PECVD business

ALD, PECVD % of revenue in 2012 $mn 74% As per Gartner data2013 group revenue €mn 398 Berenberg estimate2013 ALD, PECVD revenue 2013 €mn 295 Assume 74% from ALD and PECVDValue @1.5x sales €mn 442 In line with 2008 June valuation

@FX rate today €/$1.3 $mn 575 15% higher than top end $500mn offer@FX rate 2008 June €/$1.6 $mn 707 41% higher than top end $500mn offer

Page 65: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASM International NV Small/Mid-Cap: Technology Hardware

65

Key risks

1. Leading-edge related spending pause

The ALD tool is the main focus for ASMI, and its development was fuelled by the industry’s adoption of the HKMG process. HKMG is essential for nodes of 20nm and below, and the demand for this process will therefore plunge if chip-makers suspend their investment in this most advanced chip technology.

Leading-edge chips are mainly used in consumer electronics. We do not expect the market to slow down in the near future, as the intense level of competition puts pressure on devices vendors to adopt such chips. However, spending may not continue to be as high as today once emerging markets reach saturation in 2017/18 time frame.

2. Alternative technology

The ALD tool is preferred in leading-edge chip manufacturing because, compared with CVD, it can accurately control the thickness of film layers. However, we understand from our conversations with industry specialists that CVD can achieve the same results as ALD if it is deposited slowly. The growth potential for ASMI’s future top-line growth will, therefore, come under pressure if chip-makers switch to a CVD-based process.

In our opinion, a process switch takes a long time to happen. Currently, the HKMG process is mainly supported by ALD equipment, as CVD’s layer uniformity is lagging behind ALD. We are therefore not concerned about it in the next 12 to 18 months.

Page 66: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASM International NV Small/Mid-Cap: Technology Hardware

66

Financials

ASMI has historically consolidated its ASMP results. However, following the disposal of 12% of its ASMP shares on 15 March 2013, it has deconsolidated these.

ASMI’s revenue model

We model ASMI’s revenue base on the front-end order intake trend.

Figure 16: Revenue model

Source: Berenberg estimates

We estimate that ASMI’s underlying revenue will reach €559m in 2013, €160m of which will come from ASMP, and that the front-end operation’s revenue will grow to €467m in 2014. This top-line growth will be driven by the rising demand for ASMI’s equipment. With the increased adoption of HKMG in advanced chip manufacturing processes and ASMI’s strong share of the ALD market, we expect ALD equipment to increase its revenue contribution.

We also expect its epitaxy revenue to grow as it may receive Intel orders for its new epitaxy tools. PE CVD is also contributing to revenue growth, but to a lesser extent because ASMI’s share of the market is minor compared with AMAT’s and LAM/Novellas’.

Revenue by customer/region

ASMI supplies equipment to the leading chip-makers in logic, foundry and memory, primarily for their deposition processes. It generated more than 50% of its revenue from its top three customers in 2012 (Intel, Samsung, TSMC we believe), compared with 40% in 2011. This concentration of revenue is a result of the concentration in capex spending and we expect it to last in the mid-term.

Figure 17: ASMI revenue breakdown by customer/region

Source: Company data

Eur mn 2011 2012 2013E 2014E 2015ERevenue 1,634 1,418 559 477 499 ASMI (front end) revenue 456 370 398 477 499 ASMP (back end) revenue 1,178 1,048 160 - -

Total New Orders 1,370 1,377 725 515 531 ASMI (front end) new orders 398 360 491 515 531 ASMI (front end) new orders yoy -2.2% -9.6% 36.3% 5.0% 3.0%

ASMP (back end) new orders 971 1,017 234 - -

0%

20%

40%

60%

80%

100%

2010 2011 2012Top 3 customer No. 4-10 Rest

0%

20%

40%

60%

80%

100%

2010 2011 2012SE Asia EUROPE US Japan

Page 67: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASM International NV Small/Mid-Cap: Technology Hardware

67

Profit and loss – margin pick-up in 2013

Figure 18: Profit and loss

Source: Berenberg estimates

We expect ASMI’s gross margin and operating margin to rise to the historical peak level reached in the previous cycle. We estimate the company gross margin at 34%, 40% and 41% in 2013, 2014 and 2015 respectively. We forecast that the group operating margin will be 5% in 2013, 13% in 2014 and 14% in 2015. We expect R&D and SG&A expenses to increase between 2013 and 2015 but at a slower pace than revenue growth.

Dividends policy and cash distribution

After a three-year dividend break between 2008 and 2010, ASMI distributed consistently stable dividends from 2010 to 2012. In 2012, it announced it would buy back 2m shares from shareholders. In addition to the dividend and the repurchase programme, it is returning 65% of the ASMP divestment proceeds (€4.25/share) to shareholders. In total, ASMI distributed €335m cash to investors from 2011, which is equivalent to about 20% of its market capitalisation.

Eur mn 2011 2012 2013E 2014E 2015ERevenueASMI (front end) 456 370 398 477 499 ASMP (back end) 1,178 1,048 160 - - Total revenue 1,634 1,418 559 477 499

Gross profitASMI (front end) 172 124 151 191 204 ASMP (back end) 410 316 38

582 440 189 191 204 Gross marginASMI (front end) 37.8% 33.6% 37.9% 40.0% 41.0%ASMP (back end) 34.8% 30.2% 24.0%

35.6% 31.1% 33.9% 40.0% 41.0%

R&DASMI (front end) 49 59 59 65 68 ASMP (back end) 81 91 17

129 149 76 65 68 SG&AASMI (front end) 61 65 62 65 68 ASMP (back end) 115 138 26

176 202 87 65 68 Operating profitASMI (front end) 63 1 30 61 68 ASMP (back end) 214 88 (4)

276 89 25 61 68

Operating marginASMI (front end) 13.7% 0.4% 7.5% 12.8% 13.7%ASMP (back end) 18.1% 8.4% -2.7%

16.9% 6.3% 4.5% 12.8% 13.7%

Page 68: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASM International NV Small/Mid-Cap: Technology Hardware

68

Figure 18: ASMI dividends history

Source: Company data

Balance sheet and cash flow At end-Q1 2013, it had €564m in cash and zero long-term debt. After the proposed distribution of €274m to shareholders following the ASMP divestment, we estimate ASMI will have a healthy balance sheet with €275m net cash.

We forecast ASMI will generate €104m and €82m free cash flow in 2014 and 2015 respectively, benefiting from the increase in profitability and the top line.

2010 2011 2012Dividends/share* 0.4 0.5 0.5Payout ratio 20.0% 14.7% 440.8%* dividends over the year, and paid in subsequent yearSource: Company data

Page 69: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASM International NV Small/Mid-Cap: Technology Hardware

69

Company background

ASMI was founded by Arthur del Prado in 1968. It co-founded ASML with Philips Electronics. It is a leading supplier of semiconductor equipment, materials and process solutions for the wafer processing industry. It supplies equipment used for ALD, PE ALD, PE CVD, low pressure (LP) CVD and oxidation/diffusion. It currently holds 40% of shares in ASMP. ASMP is world’s largest assembly and packaging supplier for the semiconductor and LED industries, and is a leading supplier of stamped and etched lead frames.

Figure 19: Product portfolio

Source: Company data

Holding in ASMP

ASMP was founded in 1975 as the Asian marketing arm of ASMI. It has been operating independently since incorporation. ASMP was formally listed on the Hong Kong Stock Exchange in 1989, and ASMI, as the parent company held 53% of ASMP’s shares before Mar 2013.

At its AGM in May 2012, ASMI announced that it had appointed Morgan Stanley and HSBC to carry out a study into why the market had failed to recognise the market value of the company’s combined business (front end and back end). Subsequently, ASMI has analysed alternative solutions for crystallising the front-end business value, including a larger or full secondary placement of ASMP shares, a spin-off of ASMP, a sale of its ASMP stake, the sale of its front end, ASMP integration and a 100% ASMP acquisition. Due to concerns about pricing and tax efficiency, the company concluded that a partial secondary placement of 8% to 12% of ASMP shares was the most suitable way to address the lack of recognition of ASMI’s combined business value.

In March 2013, ASMI disposed of a 12% of stake in ASMP, and the company intends to distribute approximately 65% of the proceeds from disposal. The lock-up period will expire in September 2013.

ASMI shareholder structure ASMI has approximate 64m outstanding ordinary shares, listed at both NYSE Euronext Amsterdam and at NASDAQ in New York. The free float percentage is 74%. The shareholder structure at the end of 2012 is shown below.

Technology Products ALD EmerALD XP ALD

Pulsar XP ALDEagle XP8 ALD

LP CVD Advance Vertical Furnace A412Advance Vertical Furnace A400

PECVD Dragon XP8

Epitaxy Epsilon 2000Epsilon 3200

Intrepid XP Epitaxy

Page 70: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASM International NV Small/Mid-Cap: Technology Hardware

70

Figure 20: Holding structure as at end-2012

Source: Company data

Management Chuck del Prado, the son of Arthur del Prado, became ASMI’s CEO in 2008. Between 1989 and 1996, Chuck Del Prado held several marketing and sales positions at IBM Nederland NV. From 1996 to 2001, he worked in various manufacturing and sales management positions at ASML in Taiwan and the Netherlands. He was appointed director of marketing, sales and service of ASM Europe in March 2001. From 2003 to 2007, he was president and general manager of ASM America. From 1 January 2008 to 29 February 2008, he acted as executive vice president front-end operations at ASM America.

Peter AM Van Bommel became CFO on 1 September 2010. Mr Van Bommel joined Philips in 1979. From the mid-1990s until 2005 he acted as CFO of several business units of the Philips group. Between 2006 and 2008 he was CFO at NXP, formerly Philips Semiconductors. He was CFO of Odersun AG, a manufacturer of thin-film solar cells and modules until 31 August 2010. In April 2012, Mr Van Bommel was appointed a member of the supervisory board and a member of the Audit Committee of the Royal KPN NV.

18%

10%

5%67%

Arthur del Prado

Aberdeen

Capital Groupcompanies

Others

Page 71: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASM International NV Small/Mid-Cap: Technology Hardware

71

Financials

Profit and loss account

Year-end December (EUR m) 2011 2012 2013E 2014E 2015E Sales 1,634.4 1,418.0 558.6 477.5 498.7 Cost of sales 1,052.3 977.6 369.3 286.5 294.3 Gross profit 582.1 440.4 189.3 191.0 204.5 Selling, General and Administrative Expense 176.4 202.0 87.4 65.0 68.0 Research and development 129.4 149.2 76.3 65.0 68.0 Other operating expenses 0.0 0.0 0.0 0.0 0.0 Amortisation of intangible assets 0.0 0.0 0.0 0.0 0.0 Restructuring expenses -89.8 0.9 0.0 0.0 0.0 EBIT 366.1 88.3 25.3 61.0 68.5 Net interest expenses 15.0 14.6 0.7 0.0 0.0 Other financial result 1.8 -6.9 3.9 0.0 0.0 Extraordinary income/loss 0.0 0.0 1,409.8 0.0 0.0 EBT 353.0 66.7 1,438.3 61.0 68.5 Taxes 36.7 26.3 6.5 9.1 10.3 Net income from continuing operations 316.3 40.4 1,431.8 51.8 58.2 Income from discontinued operations (net of tax) - - - - - Net income 316.3 40.4 1,431.8 51.8 58.2 Minority interest 129.4 33.3 -2.7 0.0 0.0 Share of profit of associates 56.9 24.6 34.0 72.9 75.3 Net income (net of minority interest) 186.9 7.2 1,470.0 124.7 133.5 Source: Company data, Berenberg estimates

Page 72: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASM International NV Small/Mid-Cap: Technology Hardware

72

Balance sheet

Year-end December (EUR m) 2011 2012 2013E 2014E 2015E Intangible assets 52.1 51.9 11.5 11.5 11.5 Property, plant and equipment 260.2 275.4 39.0 10.3 -18.4 Other assets 74.1 56.1 1,423.2 1,508.9 1,597.4 Fixed Assets 386.4 383.5 1,473.7 1,530.8 1,590.6 Liquid assets 390.3 290.5 255.6 367.6 480.3 Accounts receivable 330.9 304.8 89.0 76.1 79.5 Inventories 376.7 403.4 116.6 90.4 92.9 Other current assets 82.7 80.0 6.3 5.4 5.6 Deferred taxes 14.4 18.0 5.3 5.3 5.3 Current income tax assets 0.9 0.9 0.5 0.5 0.5 Current Assets 1,195.8 1,097.6 473.3 545.2 664.0 TOTAL 1,582.2 1,481.0 1,947.0 2,076.0 2,254.6 Shareholders' equity 659.8 738.4 1,851.9 1,997.7 2,173.6 Minority interest 297.9 308.1 0.0 0.0 0.0 Long-term debt 15.3 12.6 0.0 0.0 0.0 Subordinated capital 135.1 0.0 0.0 0.0 0.0 Provisions 6.8 5.3 0.0 0.0 0.0 Deferred taxes 0.9 1.0 0.0 0.0 0.0 Pensions provisions 9.9 -6.0 3.4 3.4 3.4 Other liabilities 0.0 0.0 0.4 0.9 1.3 Non-current liabilities 659.8 738.4 1,851.9 1,997.7 2,173.6 Short-term debt 40.7 61.7 0.0 0.0 0.0 Accounts payable 157.5 151.8 62.2 48.2 49.5 Other accruals 152.9 170.7 22.9 19.6 20.4 Advance payments 42.7 0.0 0.0 0.0 0.0 Deferred income 0.0 0.0 0.0 0.0 0.0 Other liabilities 54.9 27.7 2.8 2.8 2.8 Current portion of long term debt 4.3 6.3 0.0 0.0 0.0 Current liabilities 456.5 421.6 91.4 74.1 76.3 TOTAL 1,582.2 1,481.0 1,947.0 2,076.0 2,254.6 Source: Company data, Berenberg estimates

Page 73: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

ASM International NV Small/Mid-Cap: Technology Hardware

73

Cash flow statement

EUR m 2011 2012 2013E 2014E 2015E Net profit/loss 316.2 38.8 1,431.8 51.8 58.2 Depreciation and Amortisation 47.0 55.6 53.3 53.3 53.3 Other operating cash flows -78.9 33.7 -1,408.9 0.5 0.5 Change in working capital -67.6 -85.5 -60.2 22.7 -5.7 Cash flow from operating activities 216.7 42.5 15.9 128.3 106.2 Capex -85.4 -67.6 -24.6 -24.6 -24.6 Investment in Intangible assets -7.1 -4.6 -0.2 0.0 0.0 Payments for acquisitions -1.0 0.0 299.8 0.0 0.0 Income from asset disposals 0.0 0.3 0.0 0.0 0.0 Cash flow from investing activities -93.4 -71.9 275.0 -24.6 -24.6 Inflows resulting from the issue of shares 4.12 2.21 0.99 0.00 0.00 Purchase of own shares 0.0 -44.1 -1.1 0.0 0.0 Dividends paid -22.3 -27.5 -305.6 0.0 0.0 Other financing cash flows -37.1 -4.1 -21.9 8.2 31.0 Cash flow from financing activities -55.3 -73.5 -327.6 8.2 31.0 Effects of exchange rate changes on cash -18.1 3.1 1.5 0.0 0.0 Increase/decrease in liquid assets 49.9 -99.8 -35.2 111.9 112.7 Liquid assets at end of period 390.6 290.8 255.6 367.6 480.3 Source: Company data, Berenberg estimates

Page 74: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Suess Microtec AG Small/Mid-Cap: Technology Hardware

74

Small, but active in growing markets

• We initiate on Suess Microtec (SUSS) with a Buy recommendation and a price target of €9.4. SUSS supplies equipment used for chip packaging, photomask cleaning, and 3D integration, which will drive smaller chip sizes once shrinkage stops. Our Buy rating is based on the following: 1) the lithography segment’s strong performance (69% of SUSS’s revenue, and 100% of its profit), which is sufficient to drive group revenue growth; 2) Tamarack Scientific’s (acquired in 2012) margin may gradually converge to an average lithography margin of 20%; 3) bonder segment revenue is likely to triple in 2016/2017 once 3D bonder starts to ship in volume, driven by chip-makers expanding their 3D packaging capacity; and 4) the option value of more frequent cleaning for EUV photomasks as the move to EUV is made – the photomask segment’s revenue could double from the current €20m-40m level in 2015/2016.

• Key debates: 1) How will the company’s “bread-and-butter” lithography segment perform in the future? 2) When will 3D bonding tools show significant growth? 3) Could SUSS become an acquisition target given its 3D bonding speciality? 1. We expect the lithography segment to grow at 22% in 2014 and

24% in 2015. With Tamarack’s contribution, semiconductor cycle recovery momentum and its high-growth end-market exposure, lithography is expected to contribute 100% of group revenue growth and 95% of group profit growth in 2015. It can therefore drive group growth even in the absence of a significant improvement in the other segments.

2. 3D bonder volume shipments may not start before 2016/17, and some chip-makers may start building pilot lines in 2014/15; our bull-case assumption gives 9% and 8% revenue upside to our current group revenue estimates in 2014 and 2015 respectively.

3. We think that an acquisition of SUSS’s 3D bonding business is unlikely given that: a) AMAT has used EV Group since 2009, and b) TEL may not have any further acquisition plans in the near term after acquiring Oerlikon and FSI in 2012.

• Our EPS forecasts are 8% and 11% above consensus for 2014 and 2015. We believe that the lithography segment will be able to drive total revenue and profit growth even without a material improvement from the bonder and photomask divisions. We estimate the lithography operating margin will slowly normalise to 20% after Tamarack’s integration.

• Our €9.4 price target is based on 14x P/E on 2014 adjusted EPS of €0.67. The 14x P/E is at the mid of the historical 11-18x multiple applied in the middle of the order recovery cycle.

Buy (initiation) Rating system

Current price EUR 7.65

Absolute

Price target EUR 9.40

19/07/2013 XETRA Close Market cap EUR 147 m Reuters SMHNn.DE Bloomberg SMHN GY Share data

Shares outstanding (m) 19 Enterprise value (EUR m) 142 Daily trading volume 73,041 Performance data

High 52 weeks (EUR) 10 Low 52 weeks (EUR) 7 Relative performance to SXXP TecDAX 1 month -7.1 % -8.4 % 3 months -12.2 % -13.7 % 12 months -35.3 % -39.9 %

Key data

Price/book value 1.2 Net gearing 0.0% CAGR sales 2012-2015 9.5% CAGR EPS 2012-2015 28.8%

Business activities: Suess is a leading supplier of equipment and process solutions for the semiconductor and LED industry.

22 July 2013

Tammy Qiu Analyst +44 20 3207 2673 [email protected] Jean Beaubois Specialist Sales +44 20 3207 7835 [email protected]

Y/E 31.12., EUR m 2011 2012 2013E 2014E 2015E Sales 175 164 153 188 215 EBIT 19 12 -8 19 29 Net profit 15 8 -8 13 20 Y/E net debt (net cash) -46 -26 -5 -7 -21 EPS (reported) 0.77 0.49 -0.40 0.67 1.04 EPS (Proforma) 0.77 0.41 -0.04 0.67 1.04 CPS 2.43 1.34 0.26 0.38 1.09 DPS 0.00 0.00 0.00 0.00 0.00 Gross margin 37.8% 35.0% 30.0% 36.5% 38.8% EBIT margin 10.6% 7.2% -5.5% 9.9% 13.7% Dividend yield 0.0% 0.0% 0.0% 0.0% 0.0% ROCE 12.9% 7.5% -6.0% 9.6% 13.1% EV/sales 0.6 0.7 0.9 0.7 0.6 EV/EBIT 5.4 10.4 -17.0 7.5 4.3 P/E 10.0 15.8 -19.3 11.4 7.4

Source: Company data, Berenberg

Page 75: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Suess Microtec AG Small/Mid-Cap: Technology Hardware

75

Investment summary

Our investment thesis on Buy-rated SUSS is predicated on four points.

• Lithography can drive growth on its own, even without any contribution from the bonder and photomask divisions: We estimate group revenue and profit will grow at 14% and 58% respectively in 2015, with the lithography segment contributing 100% of group revenue growth and 83% of profit growth in 2015. The lithography segment is likely to show an organic growth rate similar to historical recovery cycle growth of ~15% in 2014 and 2015, and generate a ~20% peak cycle margin. Tamarack’s contribution may triple to €30m by 2015, as SUSS is aiming to gain half of the back-end lithography market (a $60mn market). Along with Tamarack’s contribution, growth momentum from the semiconductor recovery cycle and strong growth in end-markets such as MEMS, we expect lithography to drive group revenue and profit growth on its own, without the company having to rely on significant improvements in other segments.

• 3D bonder revenue may triple in 2016/17: Industry experts believe 3D integration could be one way to extend Moore’s law (ie that the number of components on integrated circuits doubles approximately every two years) once the physical shrinkage limit for chips is reached at ~5nm/3nm. Market researcher Yole Development expects the market to grow from $150m today to $500m-1bn in the next few years. In our opinion, even though we are not likely to see large order intakes before 2015/2016, the segment’s revenue could triple once volumes ramp up in 2016/2017. Our bull-case scenario for SUSS (seven tool shipments for 2014 and 2015 versus two tools by our estimates) suggests 9% and 8% revenue upside and 42% and 18% operating profit upside for 2014 and 2015 respectively compared to our current forecasts.

• EUV photomask cleaning provides potential upside: SUSS holds a 100% share of the EUV photomask-cleaning tool market and an 80% share of the argon fluoride immersion (ArFi) photomask-cleaning tool market (combined market size: €50m-60m). We may see significant revenue upside in this segment once EUV volume shipments start in 2016, as EUV photomasks are likely to require more frequent cleaning than conventional photomasks as their structure is much more complex.

• Valuation – at the middle of the range of historical multiples: Our price target of €9.4 is based on 14x P/E on 2014 adjusted EPS of €0.67, which is towards the middle of the historical 11-18x multiple range applied in the middle of the order recovery cycle.

Figure 1: Valuation matrix

*based on Berenberg estimates of 2014 performance. Net cash based on 2012 YE balance Source: Berenberg estimates, company data

No.1 ASML 19.7% AMAT 2.5% AMAT 9.7% TEL 26.5%No.2 AMAT 17.1% TEL 1.5% ASMI 6.2% SUSS 17.6%No.3 SUSS 9.1% ASML 0.9% ASML 4.4% AMAT 16.7%No.4 TEL 6.3% ASMI - TEL 4.3% ASMI 8.9%No.5 ASMI 6.2% SUSS - SUSS 1.6% ASML 6.5%

ROE*Dividend

yield*FCF yield* Net cash/marcap

Page 76: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Suess Microtec AG Small/Mid-Cap: Technology Hardware

76

Based on our 2014 estimates, SUSS’s ROE is lower than ASML’s and AMAT’s. Its FCF yield is lower than the front-end equipment vendors’, as we expect it will supply more 3D bonder demonstration tools to potential customers in 2014/2015, which is negative for operating cash flow.

Key catalysts The key catalysts to drive the share price include the following.

1) Further 3D bonder orders received from mainstream chip-makers: Samsung may release a showcase chip in 2014 that could be a trigger for other chip-makers to start placing orders. The other mainstream chip-makers may also build pilot lines if Samsung’s chip gains traction in the market.

2) EUV photomasks require more frequent cleaning than conventional photomasks: EUV photomasks have a more complicated structure compared to conventional photomasks, and they may therefore need to be cleaned more frequently. We believe more data points will become available once EUV ramps up in 2014/2015.

3) Order recovery: The front-end semiconductor equipment vendors have all reported/guided improved order levels in recent quarters compared to 2012 levels. As a back-end player, SUSS is likely to see order improvement in the coming quarters.

Key risks The key risks include the following.

1) The timeframe for 3D bonder adoption remains uncertain and the division is currently loss-making: In our opinion, the 3D bonder division is not likely to receive orders in volume until 2015/16 and will remain loss-making in 2014 and 2015.

2) The photomask division has a lower operating margin than the lithography division (5% versus 21% in 2012) and dilutes the group operating margin: If EUV photomasks do not require more frequent cleaning than conventional photomasks, the division is likely to remain margin-dilutive.

Page 77: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Suess Microtec AG Small/Mid-Cap: Technology Hardware

77

Small, but active in growing markets

SUSS is heavily exposed to various growing end-markets, including MEMS, 3D integration and EUV lithography equipment. It supplies equipment used by packaging/assembling companies, MEMS manufacturers, integrated device manufacturers (IDMs) and lithography photomask shops. It has optimised its end-market exposure through various acquisitions and disposals over the past few years.

3D bonding – revenue may triple in 2016/2017 The hottest topic in the semiconductor industry today is how to deliver smaller chips with less power consumption, better performance and more functionality. Shrinking the size of chips used to be the only solution adopted by chip-makers. As current chip design is likely to approach its physical limits at 7nm/5nm, 3D integration is viewed as the most feasible way to continue delivering smaller chips. Yole Development expects the bonding market to grow from $150m today to $500m-1bn once volume adoption starts – therefore SUSS’s bonder revenue may triple from €30m to €100m in 2016/17.

Stacking, which can be done at the die or wafer level, is one of the key primary technologies that enables 3D integration. It works by vertically stacking individual chip components and interconnecting them by means of TSV (through-silicon via) (see Figure 2 below). Shorter signal paths, reduced power consumption, enhanced bandwidths and smaller surface areas can be achieved by stacking dies or wafers on top of each other.

Figure 2: 3D stacking and TSV

Source:www.nist.gov Source: electronicsbus.com

SUSS has received two orders from a leading IDM (Samsung we believe) for 3D bonders to build its 3D pilot line. If Samsung releases a sample chip next year, the remaining chip-makers are very likely to follow suit. Due to the high level of competition, chip-makers will want to remain diversified and become involved in all possible mainstream chip designs.

3D integration has yet to be adopted by mainstream chip-makers as the main focus currently is on shrinking chip size and the performance/power consumption gain from 3D integration is less than that from chip shrinking/FinFET. We believe the mainstream chip-makers are likely to start working on 3D pilot lines while continuing to shrink chips. In our model, we have included one shipment each for 2014 and 2015. Our bull-case scenario assumes all mainstream IDMs, memory and packaging houses will start building their pilot lines in 2014/2015. Excluding the chip-makers already working with EV Group (Intel and Micron, we believe), the

Page 78: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Suess Microtec AG Small/Mid-Cap: Technology Hardware

78

demand could amount to around seven new tools for 2014 and 2015 (one each for the top three packaging houses ASE, Amkor and SPIL, one for IBM, one for Toshiba/Sandisk, one for Hynix and one for TSMC), which is five more than our current estimate for 2014 and 2015 combined. The maximum revenue upside is 9% and 8% for 2014 and 2015 respectively, and operating profit upside is 42% and 18% respectively, as the bonder segment is likely to reach break even.

The bonder division has been loss-making in the past, and has wiped out half of the group’s operating profit for the past three years. The company has indicated that revenue of €30m-40m is required to break even. In our forecast, we expect the division’s revenue to reach €29m by 2015, and the operating loss will narrow significantly from €12m in 2012 to €5.4m, driven by higher revenue levels and future cost savings from improved efficiency (€2m-€3m/year).

SUSS supplies wafer bonders, coater/developers, mask aligners and exposure equipment used in the 3D integration process. It shares the market with EV Group and has a leading market share in the temporary bonders market. If 3D bonding gains traction with other IDMs over the next two years, and becomes one of the mainstream solutions in 2016/2017, we may see the risk of other big front-end players such as AMAT and TEL joining the market.

EUV photomask cleaning – potential upside EUV equipment is currently viewed as the only credible path for shrinking chip size without significantly increasing the cost. Currently, the mainstream chip-makers use ArFi tools for manufacturing leading-edge chips. We expect EUV tools to be shipped in volume in 2015/2016, with annual shipments of 24 and 50 respectively (see Figure 3 below).

Figure 3: EUV shipment ramp-up

Source: Company data, Berenberg estimates

Currently, photomasks are cleaned after 5,000 lithography exposures, but it is unclear how regularly EUV photomasks should be cleaned. In our opinion, the photomask division will at least maintain its current €20m-40m run-rate for the foreseeable future, although a more frequent cleaning requirement – if decided – would provide further upside.

SUSS holds a 100% share of the EUV photomask cleaning equipment market. The market size is relatively small (currently €50m-60m), hence the big equipment

0

50

100

150

200

250

2011 2012 2013E 2014E 2015E 2016E

Unit mnShipment by Technology

I-Line KrF ArF Dry ArF Immersion EUV

Page 79: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Suess Microtec AG Small/Mid-Cap: Technology Hardware

79

players such as TEL and AMAT do not focus on this area – although we would expect them to join the market if it expands significantly.

MEMS – smartphone- and tablet-driven The MEMS market provides 30% of SUSS’s revenue: As per Gartner data, the non optical sensor market grew by 14% in 2012, mainly driven by smartphone and tablets. MEMS sensors included in smartphones and tablets include accelerometers, gyroscopes, e-compasses, three-axis magnetometers and pressure sensors. Apple and Samsung were the biggest buyers of MEMS motion sensors in 2012, and we expect to see more and more tier two vendors such as Sony, ZTE and even China whitebox vendors (like xiaomi) incorporating MEMS sensors into their devices. As per iSuppli research, China-based handset and tablet-makers doubled their purchasing of MEMS motion sensors in 2012.

Not only are more vendors installing MEMS into their devices, the number of MEMS sensors in smart devices is also growing. Apple pioneered the use of MEMS sensors (accelerometers, light sensors) in the iPhone2. In Samsung’s new flagship model Galaxy S4 released in 2013, there is a wealth of different sensors including the accelerometer as well as pressure, RGB light, geomagnetic, proximity, gyroscope, barometer, gesture temperature and humidity sensors. Today, smart devices contains up to nine sensors, and we believe this number will grow as vendors incorporate more sensors in their products to deliver a better user experience and new functionality.

In the next few years, we expect the total MEMS industry is forecast to grow at c12%, mainly driven by the demand from smartphone and tablets (see Figure 4 below).

Figure 4: MEMS end-markets by application

Source: Gartner data, Berenberg Estimation

SUSS’s exposure, coating and bonding equipment are essential in MEMS manufacturing. It shares the market with TEL and EV Group; we do not expect any significant changes in market share structure in the near future. TEL holds a dominant market share in coaters/developers (photoresist processing), and its main focus is on supplying equipment used in the front-end semiconductor fabrication process rather than the MEMS market. SUSS currently supplies equipment used in MEMS manufacturing to more than 100 customers, and generates about 30% of its revenue from the MEMS market. We expect that its high level of diversification and technology leadership will enable it to benefit from the MEMS market growth.

24.0%

20.2%

0

1,000

2,000

3,000

4,000

5,000

6,000

7,000

8,000

9,000

10,000

2011 2012 2013E 2014E 2015E 2016E 2017E

$mn

Mobile phones Tablet Consumer Automotive Industrial Military Wired Storage Computer

Page 80: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Suess Microtec AG Small/Mid-Cap: Technology Hardware

80

Key catalysts

In our view, the following events could be the catalysts for the stock price.

1. 3D bonder orders from other mainstream chip-makers: SUSS’s share price increased by 10% on 28 March 2013 on the news that it had received a bonder order from a leading IDM (Samsung, we think). This demonstrates that 3D bonding designs are being recognised by mainstream chip-makers. If SUSS received further orders from other mainstream chip-makers and packaging houses, its share price would likely react positively.

We believe Samsung may be planning to release sample chips using a 3D stacking design in 2014 following the recent bonder order. We believe it could be a trigger for other chip-makers to start building R&D/pilot lines based on 3D bonding solutions. Given the intensified competition among chip-makers today, they will not want to lag behind their competitors in any area. SUSS may therefore see an increase in 3D bonder orders as early as the second half of 2014, which would benefit its share price.

2. More frequent EUV photomask cleaning: EUV masks require sophisticated cleaning tools due to their complex structure. SUSS has a 100% share of the EUV photomask cleaning market. Conventional photomasks need to be cleaned every 5,000 exposures; however, it is unclear how regularly EUV photomasks need to be cleaned. Should it be decided that EUV photomasks need to be cleaned more regularly, there will be a surge in demand for cleaning tools and SUSS will be the main beneficiary.

In our opinion, visibility on the cleaning tools required for EUV photomasks is currently low. The growth of the photomask segment will at least be maintained in line with today’s rate, and we can only see upside revenue potential. We are expecting further datapoints after the EUV ramp-up from mid-2014.

3. Order recovery: SUSS generates 69% of its revenue from the lithography segment. Any sign of an order recovery will be positive for its share price. The higher the order intake, the higher the revenue growth and operating margin improvement due to increased utilisation rates.

In our opinion, front-end equipment vendors such as ASML, AMAT, LAM and KLA have all reported improved order levels in recent quarters compared to end-2012. SUSS focuses on the back end of the semiconductor fabrication process, so it is likely to see an order recovery from end-2013. We believe the company may start to see order increases in the coming quarters, therefore, as the back-end players may start to place orders as soon as they see signs of a front-end recovery.

Page 81: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Suess Microtec AG Small/Mid-Cap: Technology Hardware

81

Valuation

Our €9.0 price target is based a P/E of 14x on 2014 adjusted EPS of €0.67/share. The P/E of 14x was based on its historical multiple.

Historically, SUSS has traded at 9x to 20x forward P/E during a semiconductor industry recovery cycle (end-2009 to 2011). We believe the industry entered a recovery cycle from the beginning of 2013, marked by front-end players registering a pick-up in orders. SUSS, as a back-end player, would expect to see its own recovery start after a three- to six-month delay. It was trading at an 11x to 18x multiple between December 2010 and March 2011, which was 12 months after the front-end players began their recovery cycle.

We have taken the mid-point (14x) of the historical multiple (11x-18x).

Figure 5: Peer group valuation

*Ultratech Inc 2015 consensus is not available Source: Bloomberg data

Company Name PE EV/EBIT EV/Sales FY 2013E FY 2014E FY2015E FY 2013E FY 2014E FY2015E FY 2013E FY 2014E FY2015E

NIKON CORP 14.12 13.42 12.25 9.83 8.28 8.53 0.82 0.80 0.87APPLIED MATERIAL 23.30 13.18 11.92 17.43 10.77 4.87 2.35 1.92 0.91ASML HOLDING NV 25.04 15.67 12.17 21.81 10.74 2.10 4.80 3.73 0.67ASM INTL NV 27.03 14.02 13.19 50.89 10.49 13.21 3.13 1.91 1.52KLA-TENCOR CORP 16.94 13.21 11.26 9.43 7.59 2.97 2.46 2.21 0.95LAM RESEARCH 20.99 11.64 10.15 14.73 8.28 2.90 1.74 1.44 0.58ULVAC INC na 10.21 7.36 25.28 12.27 15.08 0.82 0.75 1.04DAINIPPON SCREEN 28.32 14.13 14.41 67.36 11.63 13.66 0.76 0.70 0.81TOKYO ELECTRON 48.60 20.98 18.74 25.57 9.75 5.61 1.16 1.02 0.72Mean incl TEL&Nikon 22.70 14.05 12.38 26.93 9.98 7.66 2.01 1.61 0.90Median incl TEL&Nikon 23.30 13.42 12.17 21.81 10.49 5.61 1.74 1.44 0.87

Back end playersASM PACIFIC TECH 28.35 16.78 15.32 24.57 14.64 4.10 2.91 2.41 0.73SUSS MICROTEC nm 12.29 7.85 nm 4.67 6.47 0.80 0.67 0.76ULTRATECH INC* 33.99 17.78 na 18.67 19.10 na 3.21 2.49 na

Mean Back end companies 20.78 15.62 7.72 14.42 12.80 3.52 2.31 1.86 0.50Median Back end companies 28.35 16.78 7.85 18.67 14.64 4.10 2.91 2.41 0.73

Page 82: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Suess Microtec AG Small/Mid-Cap: Technology Hardware

82

Key risks

1. Timing of 3D bonding adoption: The substrate bonder division has a -50% operating margin, and made a €12m loss in 2012 (group operating profit: €10m). It has never been profit-making in the past. The substrate bonder division is likely to keep making a loss until it begins volume shipments.

In our opinion, 3D bonding has a bright future once the industry reaches the physical lithography shrinkage limit. However, the timeframe for volume adoption is unclear. Management has indicated that the division requires a revenue run-rate of €30m-40m/year to reach breakeven. The extra €7m-17m revenue will require SUSS to ship 1-3 more tools more each year compared to 2012, which may be a challenge in the short term, in our opinion.

2. The photomask division dilutes group operating profit: SUSS’s photomask revenue may remain at the €20m-40m level if it turns out that EUV photomasks do not require a more regular cleaning process. The segment is profit-dilutive as its operating margin is lower than the lithography division’s (5% versus 21% in 2012). It accounted for 10% of total group operating profit in 2012.

We believe the photomask division will remain profit-dilutive at the operational level as it has a lower operating margin compared to the lithography segment. If further data point shows that EUV photomasks need to be cleaned more regularly than conventional photomasks, SUSS may enjoy a period of high growth before other equipment vendors join the market. However, we are not expecting to see any significant growth in this segment until mid-2014, which is when we believe EUV will ramp up.

3. Margin pressure: The main profit generator for SUSS has always been the lithography segment, which generated 100% of group operating profit in 2010, 2011 and 2012. The profitability level is highly cyclical and highly dependent on the top-line level. The operating margin for the division was 20% for Q4 2011, and 2% in Q1 2013.

The Tamarack acquisition is margin-dilutive as well, as it has a low level of profitability compared with the lithography segment.

In our opinion, the profitability of the lithography division is likely to improve in the coming quarters as the top line recovers from the 2012 trough. Tamarack is only likely to account for a small part of lithography revenue in the next two years – 10% in 2014, 14% in 2015 – hence the margin dilution is not likely to be significant. We expect Tamarack to slowly converge to the average lithography operating profit level starting from 2015, as integration completes.

Page 83: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Suess Microtec AG Small/Mid-Cap: Technology Hardware

83

Financial

Profit and loss account We model SUSS’s revenue based on the order intake trend. The lithography segment has a shorter lead time compared to substrate bonder and photo mask equipment, thus the order trend in the lithography segment is likely to be reflected in the top line earlier than the other two segments.

Figure 6: Revenue forecast

Source: Company data, Berenberg estimates

Lithography: SUSS mainly focuses on the mid-/back end of the semiconductor fabrication process, and so is affected by the semiconductor cycle with a three- to six-month delay compared to front-end-focused semiconductor equipment players like ASML, AMAT and KLA. The front-end players have seen an order recovery from Q1 2013; we therefore expect SUSS’s order intake to rebound from Q2.

We expect total order intake to grow to €163m in 2013. Revenue is likely to decrease in 2013 due to the low order intake towards the end of 2012 and weak Q1 revenue. We estimate that revenue will rebound in 2014 following the order recovery.

Substrate bonder: After receiving the temporary bonder order from a leading IDM (Samsung, we think), management indicated that no further orders were expected from the same buyer or from its peers in the short term. We estimate that order intake and revenue levels will remain flat at the €20m-30m level for the time being, but will start to grow at high speed once other leading IDMs/foundries start to adopt 3D bonding as part of their chip-manufacturing process.

Photomask equipment: We estimate photomask equipment revenue will remain flattish (€20m-40m) further data proves that EUV photomask requires more regular cleaning. As per ASML’s EUV roadmap, the first batch of EUV tools will

2011 2012 2013E 2014E 2015ERevenues (Eur millions)Lithography 111.5 113.2 96.9 118.0 145.9Substrate Bonder 20.5 23.1 24.2 28.5 29.2Photo mask equipment 36.3 22.9 26.4 36.0 34.2Other 7.1 4.8 5.4 6.0 6.0 Total 175.4 164.0 152.9 188.5 215.3Percent of totalLithography 64% 69% 63% 63% 68%Substrate Bonder 12% 14% 16% 15% 14%Photo mask equipment 0% 14% 17% 19% 16%Other 0% 3% 4% 3% 3% Total 75% 100% 100% 100% 100%

year-over-year % changeLithography 25% 2% -14% 22% 24%Substrate Bonder -17% 13% 5% 18% 3%Photo mask equipment 97% -37% 15% 36% -5%Other 1% -32% 12% 11% 0% Total 26% -6% -7% 23% 14%

Page 84: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Suess Microtec AG Small/Mid-Cap: Technology Hardware

84

be dispatched from mid-2014, and we may have better visibility on the division’s revenue potential closer to that date.

Revenue by region SUSS’s customers include large packaging companies, semiconductor IDMs, LED manufacturers and mask shops. The company generates the majority of its revenue from Taiwan, where many of the packaging companies are based. The share of revenue from Europe increased in 2012 due to the MEMS-related manufacturing equipment demand.

Figure 7: Revenue breakdown by region

Source: Company data

Profit margin SUSS’s profitability depends on its product mix and factory utilisation. Among different product categories, lithography has the highest margin, followed by photomask equipment; substrate bonder is currently loss-making and management is not expecting it to be profitable in 2013.

We estimate the gross margin will decrease from 35% to 30.3% in 2013 due to a lower revenue base, and a product mix shift towards substrate bonders, which are lower-margin. We expect the gross margin to improve in 2014 and 2015 due to an increased top line and improved factory utilisation.

We estimate the company to reach breakeven at the operating margin level (excluding one-off write offs from the bonder segment) in 2013, and then gradually returning to 2011 profitability levels. The increasing level of profitability is believed to be driven by a higher gross margin, improved profitability of the substrate bonder segment, and a cost saving resulting from the corporate structure optimisation.

0%10%20%30%40%50%60%70%80%90%

100%

2010 2011 2012Europe North America Japan Rest of Asia

Page 85: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Suess Microtec AG Small/Mid-Cap: Technology Hardware

85

Figure 8: Profit and loss

Source: Company data, Berenberg estimates

Balance sheet and cash flow At end-Q1 2013, SUSS had net cash of €18m. We expect the net cash level will be €13m by end-2014 driven by earnings momentum. It had €85.7m in inventory on the balance sheet at end-Q1, and inventory days of 343. The inventories are mainly demo tools, and we expect the amount of demo tools it provides to potential customers to increase once 3D bonders become attractive.

SUSS generated negative free cash flow in Q1 due to weak earnings and negative working capital movement. The longer cash conversion cycle resulted from the decrease in the revenue contribution from the lithography segment, which has a shorter lead time.

We forecast SUSS to generate €17m free cash flow in 2015, and to benefit from the increase in profitability and in the top line.

2011 2012 2013E 2014E 2015ERevenues 175.4 163.8 152.9 188.5 215.3 YOY 26.1% -6.6% -6.7% 23.3% 14.2%Cost of goods sold 109.1 106.4 107.0 119.6 131.8Gross profit 66.4 57.4 45.9 68.8 83.5 % of revenues 37.8% 35.0% 30.0% 36.5% 38.8%

Research & development 12.9 9.7 9.6 10.3 10.8 % of revenues 7% 6% 6% 5% 5%SG&A 35.8 37.6 37.8 41.0 44.5 % of revenues 20% 23% 25% 22% 21%Operating Income 18.6 11.7 -8.4 18.6 29.4 % of revenues 10.6% 7.2% -5.5% 9.9% 13.7%

Operating income (ADJ) 18.6 10.3 -1.6 18.6 29.4 % of revenues 10.6% 6.3% -1.0% 9.9% 13.7%Lithography 25.5 23.7 11.3 19.2 28.1Substrate Bonder -11.1 -12.0 -11.6 -8.2 -5.4Photo mask equipment 5.3 1.1 2.8 4.4 4.2

Operating Margin (Pro forma)Lithography 23% 21% 12% 16% 19%Substrate Bonder -54% -52% -48% -29% -18%Photo mask equipment 15% 5% 11% 12% 12%

EPS - GAAP 0.77 0.49 -0.40 0.67 1.04EPS - Adj 0.77 0.41 -0.04 0.67 1.04

Common shares (millions) 19 19 19 19 19

Page 86: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Suess Microtec AG Small/Mid-Cap: Technology Hardware

86

Company background

SUSS is a leading supplier of equipment and process solutions for the semiconductor and LED industry, with more than 60 years of engineering experience. It focuses primarily on the mid- and back-end of the semiconductor fabrication process, supplying equipment including mask aligners, coater/developers and UV tool sets (see Figure 9 below), which are used in wafer level packaging, advance packaging and MEMS manufacturing processes. It is also involved in the front-end semiconductor fabrication process, specifically in terms of supplying photomask cleaning tools. In 2012, SUSS acquired US-based Tamarack Scientific, and enhanced its product portfolio meaningfully with Tamarack’s laser processing and ultraviolet projection tools.

Figure 9: SUSS product portfolio

Source: Company data

End-markets SUSS’s customers include large packaging companies, semiconductor IDMs, LED manufacturers and mask shops. Its products are widely used in various end-markets including advanced packaging, 3D integration, MEMS and mask manufacturing (see Figure 10).

Lithography Substrate Bonder Photomask equipmentSpin/Spray Coater/Developer Wafer bonder

-ACS300 Gen2 -XBS300 -MaskTrack Pro-ACS200 Gen 3 -XBC300 Gen2 -Mask Track-ACS200 Plus -XBC300 -Asx series-Gamma -CBC200 -HMx series-Delta 12RC -ABC200-Delta Altaspray -CB200M-RCD 8 -SB6/8e (semi auto)-Lab Spin 6 and Lab Spin 8 -SB6/8L-HP8 -BA6/BA8

Mask Aligner -BA8 Gen3-MA 300 Gen 2 -CL 200/CL8-MA 200 Compact-MA150e-MA 100/150e/Gen2-MA/BA8 Gen3-MA/BA6 Gen2-MJB4

Page 87: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Suess Microtec AG Small/Mid-Cap: Technology Hardware

87

Figure 10: Products used in different end-markets

Source: Company data

Mask manufacturing: Photomask equipment is used for cleaning and processing the photomasks used in the lithography process. SUSS currently holds an 80% share of the mask cleaning equipment market for ArFi lithography tools. The most advanced EUV lithography tools require the use of highly sensitive reflecting masks instead of optical transmission masks, and operators need to use advanced mask cleaning equipment: SUSS is currently the only provider of EUV mask cleaning tools.

Advanced packaging (such as flip chip and wafer level packaging): With the growing spread of Ultrabooks, tablets and smartphones which demand thin, light designs, advanced packaging is preferred over traditional wire bonding for producing thin, light designs. Flip chips are used as a cost effective solution for forming electrical connections with small bump pitches instead of tradition wire. As a result, the completed chip is much smaller and thinner, and the short wires allow higher speed data processing. Wafer level packaging allows all steps of integrated circuit packaging to be performed at wafer level, and reduces the size of the packaging footprint so that no plastic housing and wires are needed.

3D integration: New 3D integration technology is likely to be developed in parallel with shrinking as part of the constant requirement for smaller components, and is expected to drive smaller chip sizes once shrinkage has reached its physical limit. 3D stacking using through-silicon vias (TSV) is the one of the main 3D integration solutions being considered. By stacking the chips or wafers on top of each other instead of laying them out on a planar surface, 3D integration offers the advantages of shorter signal paths, reduced power consumption, enhanced bandwidths and a smaller surface area. Thinner wafers are usually required in 3D integration to reduce the thickness of chips.

MEMS: MEMS are key components for automotive, industrial, medical, aerospace and consumer electronics. MEMS sensors are used in applications ranging from gaming, smartphone and medical testing to satellites. The manufacturing process for MEMS requires highly specialised equipment to create mechanical structures. SUSS has been supplying equipment to the MEMS industry ever since such products have been produced in volume.

LED: SUSS solutions are used in three stages of the LED process: the structuring of substrates, the structuring of LED chips and chip packaging.

Front endSegment Photomask equipment Substrate Bonder

Products used MaskTrack Pro Exposure system Mask Aligher Coater/developer Wafer bonders

Process step Photomask cleaning Stepper, Scanner Mask Aligner

(proximity exposure)coating/developing Bond Alignment

(UV projection) Nano imprinting Permanent BondingTemporary Bonding

Mask manufacturing

Markets

Back endLithography

Advanced Packaging3D Integration

MEMSLED

Page 88: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Suess Microtec AG Small/Mid-Cap: Technology Hardware

88

Acquisitions and divestments SUSS has completed three acquisitions in recent years to enhance its competitiveness (see Figure 11). In our opinion, all three deals are positive for SUSS, as they have all helped it optimise its end-market exposure and focus on its core target markets, including advanced packaging, 3D integration, MEMS and LED.

Figure 11: SUSS’s M&A history

Source: Company data, Berenberg

Manufacturing plants SUSS used to operate four different production facilities:

• Palo Alto (US) – photomask cleaning division (now sold);

• Waterbury (US) – wafer bonders;

• Garching (Germany) – mask aligners, coater/developers;

• Vaihingen (Germany) – mask aligners, coater/developers.

After it acquired HamaTech in February 2010, including its factory building at Sternenfels, SUSS decided to consolidate its main operation (everything bar ultraviolet projection and laser technology) in two locations. The transition was completed in 2011, and SUSS currently operates from the following facilities:

• Garching (Germany) – mask aligners;

• Sternenfels (Germany) – wafer bonders, coaters/developers, photomask equipment;

• Corona (US) – ultraviolet projection and laser technology.

In our view, this transition is positive for SUSS, as the integration of production facilities has enabled it to reduce costs and simplify its corporate structure.

Name Amount Description Synergy for Suess

2012 AcquireTamarack Scientific

Co.,Inc$9.34mn

Develops, manufactures and distribute UV projection lithography equipment

- Same target end market, advanced packaging, 3D integration, MEMS and LED market,

-enhance product portfolio, supplying a comprehensive range of equipment for mid/back end process

2010 Dispose Test system $6.29mnSupply measurement and test systems for semiconductor industry

-Test system market was too competitive for any suppliers to secure decent level of profit.

-Reduced exposure to high saturated testing market, maintain profitability level

2010 Acquire HamaTech APE $5.08mnLeading photomask cleaning equipment supplier

- helped SUSS to break into front end equipment industry,

-set a strong fundamental for SUSS being the only EUV mask cleaning tool supplier today.

Page 89: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Suess Microtec AG Small/Mid-Cap: Technology Hardware

89

Figure 12: Holding structure as at end-2012

Source: Company data

SUSS shareholder structure

SUSS has approximately 19m outstanding ordinary shares, listed at XETRA. The free float percentage is 100%. The shareholder structure as at end-2012 is shown in Figure 12.

Management

CEO Frank Averdung Mr Averdung became CEO in February 2009. Prior to joining SUSS, he served as managing director of Carl Zeiss SMS GmbH, Jena, and general manager at AMAT in Munich.

CFO Michael Knopp Mr Knopp became CFO in August 2007. Prior to joining SUSS, he was the commercial managing director of Kemmax GmbH located in Essen, as well as CFO of international operations of Canadian parent company Chemtrade Logistics.

Others, 79%

DWS Luxembourg, 3%Henderson, 3%Blackrock, 3%Credit Suisse, 3%

DWS Frankfurt, 9%

Page 90: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Suess Microtec AG Small/Mid-Cap: Technology Hardware

90

Profit and loss account

Year-end December (EUR m) 2011 2012 2013E 2014E 2015E Sales 175.4 163.8 152.9 188.5 215.3 Cost of sales 109.1 106.4 107.0 119.6 131.8 Gross profit 66.4 57.4 45.9 68.8 83.5 Research and development 12.9 9.7 9.6 10.3 10.8 Selling, General and Administrative expense 35.8 37.6 37.8 41.0 44.5 Other operating income 1.0 -1.7 -6.8 1.1 1.2 EBIT 18.6 11.7 -8.4 18.6 29.4 Interest expenses -1.8 -1.1 -1.1 -1.2 -1.2 Extraordinary income/loss 0.0 0.0 0.0 0.0 0.0 EBT 20.4 12.9 -7.2 19.8 30.6 Taxes 5.8 5.1 0.4 6.9 10.7 Net income from continuing operations 14.6 7.8 -7.6 12.9 19.9 Income from discontinued operations (net of tax) 0.0 1.5 0.0 0.0 0.0 Net income 14.6 9.3 -7.6 12.9 19.9 Minority interest 0.2 0.1 0.0 0.0 0.0 Net income (net of minority interest) 14.6 7.8 -7.6 12.9 19.9 Source: Company data, Berenberg estimates

Page 91: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Suess Microtec AG Small/Mid-Cap: Technology Hardware

91

Balance sheet

Year-end December (EUR m) 2011 2012 2013E 2014E 2015E Intangible assets 22.2 22.9 22.3 22.3 22.3 Property, plant and equipment 9.5 12.1 21.3 21.3 21.3 Other assets 6.1 2.4 4.1 4.1 4.1 Fixed Assets 37.7 37.3 47.7 47.7 47.7 Liquid assets 56.4 36.6 15.9 18.3 31.8 Accounts receivable 17.8 21.8 25.8 26.1 36.3 Inventories 71.6 82.2 86.6 97.8 97.9 Other current assets 4.2 2.6 4.3 4.3 4.3 Current Assets 150.1 143.1 132.6 146.4 170.3 TOTAL 187.7 180.4 180.4 194.2 218.0 Shareholders' equity 120.4 127.2 127.4 141.5 162.6 Minority interest 0.7 0.0 0.0 0.0 0.0 Long-term debt 4.3 4.0 4.0 4.0 4.0 Other Non Current liabilities 6.2 7.1 7.1 7.1 7.1 Non-current liabilities 10.5 11.0 11.0 11.0 11.0 Accounts payable 7.6 6.9 8.7 8.4 11.2 Short-term debt 10.1 0.3 0.2 0.2 0.2 Other liabilities 39.1 35.0 33.0 33.0 33.0 Current liabilities 56.9 42.2 41.9 41.6 44.4 TOTAL 187.7 180.4 180.4 194.2 218.0 Source: Company data, Berenberg estimates

Cash flow statement

EUR m 2011 2012 2013E 2014E 2015E Net profit/loss 13.8 9.1 -0.8 12.9 19.9 Depreciation and Amortisation 6.4 6.8 4.6 4.6 4.6 Change in working capital -12.2 -15.9 -12.0 -11.7 -7.6 Other operating cash flows -1.8 -0.7 0.6 0.6 0.6 Cash flow from operating activities 6.1 -0.6 -7.7 6.4 17.5 Capex -3.4 -4.2 -13.1 -4.0 -4.0 Other cash flow from investing activities -1.9 4.3 -9.0 0.0 0.0 Cash flow from investing activities -5.3 0.1 -22.0 -4.0 -4.0 Loan and receivable repayments -0.2 -0.2 0.0 0.0 0.0 Increase/decrease in debt position 0.0 -0.1 -0.1 0.0 0.0 Others -0.4 -11.0 0.0 0.0 0.0 Purchase of own shares 0.0 0.0 0.0 0.0 0.0 Dividends paid 0.0 0.0 0.0 0.0 0.0 Cash flow from financing activities -0.6 -11.3 -0.1 0.0 0.0 Effects of exchange rate changes on cash 0.2 -0.1 0.2 0.0 0.0 Increase/decrease in liquid assets 0.5 -11.8 -29.6 2.4 13.5 Source: Company data, Berenberg estimates

Page 92: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

92

Recovery and growth priced in

• We initiate coverage on Applied Materials (AMAT) with a Hold recommendation and a price target of $14.4. AMAT supplies equipment used in semiconductor, flat panel display (FPD) and solar cell manufacturing processes. Our Hold rating is based on the following. 1) AMAT only addresses 72% of the total wafer fab equipment (WFE) market (Gartner data), and would need to gain a 3-6% market share from its current customer base to gain a further 2-4% total share by 2016, as targeted by management. We consider this to be a challenging target as the market is highly consolidated and AMAT’s competitors – LAM, TEL and KLA – are all trying to expand/maintain their market share. 2) We think that at the $16.5 share price level, much of the good news such as cost savings and WFE market share gain opportunities is priced in.

• Key debates: 1) Can AMAT achieve a 4% WFE share gain by 2016? 2) Will AMAT be able to gain market share in the process control market from its competitor KLA? 3) Can management deliver the 5% opex cut as targeted by 2016? 1. We expect AMAT to only gain a 1% share by 2016, as: a) its

WFE market share has never reached 22% in the past 10 years; b) it would need to gain a 3-6% share from its current customer base to reach the target, as it only addresses 72% of the WFE market; c) its potential share gain from etching and process control only translates into a 1% total WFE share gain by 2016, according to our calculations.

2. We think AMAT can gain up to a 5% market share in process control by 2016 from its competitor KLA, given the recent release of its new Applied SEMVision G6 product and the new president’s experience in the process control market.

3. We believe the new president and CFO, both of whom had good track records at their respective previous posts at Varian Semi, will improve the likelihood of AMAT achieving is 5% opex cut target by 2016.

• Our forecasts are in line with consensus. • We value AMAT on a P/E multiple basis: Our price target of

$14.4 implies a P/E of 12x based on our 2014 EPS estimate of $1.2. The 12x P/E is the mid-point of the historical 10-14x multiple applied in the middle of the semiconductor recovery cycle.

Hold (initiation) Rating system

Current price USD 16.56

Absolute

Price target USD 14.40

18/07/2013 New York Close Market cap USD 19,897 m Reuters AMAT.O Bloomberg AMAT US Share data Shares outstanding (m) 1,202 Enterprise value (USD m) 18,595 Daily trading volume 13,958,129 Performance data

High 52 weeks (USD) 17 Low 52 weeks (USD) 10 Relative performance to SXXP NASDAQ 1 month 3.3 % 3.0 % 3 months 21.5 % 15.7 % 12 months 36.2 % 34.9 % Key data

Price/book value 2.7 Net gearing 0.0% CAGR sales 2012-2015 3.4% CAGR EPS 2012-2015 173.6%

Business activities: AMAT supplies semiconductor fabrication equipment, flat panel display fabrication equipment and solar PV manufacturing systems.

22 July 2013

Tammy Qiu Analyst +44 20 3207 2673 [email protected] Jean Beaubois Specialist Sales +44 20 3207 7835 [email protected]

Y/E 31.10., USD m 2011 2012 2013E 2014E 2015E Sales 10,517 8,719 7,784 9,438 9,626 EBIT 2,410 1,379 1,135 1,842 1,961 Net profit 1,928 109 451 1,382 1,471 Y/E net debt (net cash) -5,227 -1,046 -1,302 -2,268 -3,319 EPS (GAAP) 1.45 0.06 0.38 1.17 1.25 EPS (Proforma) 1.30 0.75 0.67 1.17 1.30 CPS 4.51 1.14 1.63 2.47 3.40 DPS 0.24 0.33 0.38 0.40 0.41 Gross margin 41.8% 40.9% 42.1% 43.7% 43.4% EBIT margin 22.9% 15.8% 14.6% 19.5% 20.4% Dividend yield 1.4% 2.0% 2.3% 2.4% 2.5% ROCE 21.9% 1.5% 6.1% 17.1% 16.7% EV/sales 1.6 1.9 2.2 1.8 1.7 EV/EBIT 6.9 14.5 16.4 9.4 8.1 P/E 11.4 269.3 43.9 14.2 13.2

Source: Company data, Berenberg

Page 93: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

93

Investment summary

Our investment thesis on Hold-rated AMAT is based on the following five points.

1. We remain cautious about the likelihood of a 2-4% WFE share gain: We believe AMAT will gain a 1% share of the WFE market, rather than a 2-4% share, for the following reasons.

From 2004 to 2012, AMAT’s share in WFE was between 15-20% (Gartner data), and it never gained more than 1.1% share within any three-year timeframe within that period.

AMAT only addresses 72% of the WFE market (Gartner data), so to gain a further 2-4% share of the total market, it would need to gain by 3-6% from its current customer base. We consider this to be a challenging target as the market is highly consolidated and AMAT’s competitors are all also trying to expand/maintain market share. For example, LAM is aiming to gain a 3-5% etching share and a 4-8% deposition share, KLA expects its dollar amount market share to maintain, and TEL is aiming to expand its etching market share from 29% to 35% by 2015.

In etching, we expect AMAT to gain a less than 1% market share through silicon etching expansion – which is less than a 1% WFE share. There are several reasons for the limited share gain: 1) all the main chip-makers are already penetrated by one/several equipment vendors; 2) AMAT benefits less from the 3D NAND-driven etching opportunity than LAM due to its lower memory exposure; 3) the etching market is becoming more competitive, with LAM aiming for a 3-5% share gain by 2016, and TEL is looking for a 6% gain by 2015.

In process control, we expect AMAT to gain a maximum 5% of market share to reach its peak market share of 20% (which equates to a 1% WFE share) by 2016, as: 1) new president Gary Dickerson can leverage his 18 years’ experience at KLA to increase AMAT’s penetration; 2) it has a strong 60% position in the defect review market (however, this only amounts to a 6% share of the total process control market, hence it will be insufficient to drive a bigger market share gain); 3) AMAT is less experienced in process control compared with KLA and indeed lost a 7% defect review share to KLA in 2012 as KLA’s new tool is considered to be of superior quality.

We do not think AMAT will gain etching and process control market share through acquisition: In our view, AMAT is only interested in chasing the market leaders, given its Varian acquisition. LAM and TEL hold the biggest etching market shares (47% and 29% respectively), and KLA holds the biggest process control market share, but we do not think AMAT is in a position to acquire LAM or KLA: LAM’s and KLA’s market caps are $8bn and $10bn respectively, which translate to 67% and 83% respectively of AMAT’s total balance sheet of $12bn. Having taken up ~$2bn debt to acquire Varian in 2011, we do not expect it to further stretch its balance sheet. We also think it unlikely that AMAT will buy TEL, as TEL is mainly exposed to the declining dielectric market.

2. WFE spending to reach $37bn by 2016: We expect AMAT’s semiconductor revenue to reach $6.7bn excluding any market share gain ($7bn including expected share gain) in 2016, thus exceeding the 2007 peak level of $6.5bn, driven by the strong WFE spending environment. AMAT is most closely linked to WFE spending among the front-end equipment vendors, due to its more diversified product portfolio and balanced revenue exposure to growth/declining markets compared to peers.

Page 94: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

94

In our opinion, WFE spending could reach the $37bn mark in 2016, which was the peak level attained in 2011 and 2007. The strong WFE spending is expected to be driven by new technology such as FinFET, 20nm, 3D NAND and 450mm migration. AMAT, TEL, LAM and the SEMI trade association have all estimated that WFE spending will rise to $37bn-40bn in 2016/17.

3. Our bull-case $1.7 peak EPS is 20% lower than AMAT’s 2016 bull-case of $2.15: AMAT laid out its 2016 bull-case EPS of $2.15 during the Semicon 2013 event, citing 1) $37bn in WFE spending, 2) a 4% WFE market share gain from 2012 (up from 18% to 22% WFE market), 3) $1bn in Display revenue (equating to a 5% market share gain, and 3) cost-cutting in the material costs area and lower opex/revenue from 25% of revenue today to 20%, 4) a tax rate decrease of 2-3% to 22%. The $2.15 EPS suggests a fair value of $20.8 by end-2014 using our 12x P/E.

AMAT laid out similar revenue/share gain targets in 2010, 2011 and 2012, but did not achieve all of them. We believe it is different this time, as the new president and CFO both had strong execution records when they were with Varian Semi. The new management team may be able to nudge AMAT into a better position to achieve these targets, especially the cost saving target.

Our 2016 bull-case EPS estimate of $1.7 is 20% lower than AMAT’s bull-case of $2.15. The delta is down to our belief that AMAT will gain a 1% WFE market share rather than a 4% gain, and a lower gross margin (200bp) as a result of a drop in revenue.

4. Solar/Display will remain a profit drag: Solar and Display revenue accounted for 10% of group revenue in 2012, resulting in a combined loss. In our opinion, the Display segment may return $1bn in revenue by 2016 (9% of AMAT’s total revenue), which is the level of revenue attained in 2008 and 2010. However, it may remain margin-dilutive for the company as a whole as its margin has always been lower than its Silicon Systems Group (SSG) segment except in 2009, and TV-makers may exert pricing pressure on AMAT as they struggle to make a profit. We believe the solar segment will continue to make a loss for the next three years. AMAT’s cost-cutting initiative could in our view narrow the operating loss from $184m to $100m in 2013, but breakeven is unlikely.

5. Valuation: Our price target of $14.4 implies a P/E of 12x based on 2014 EPS estimates of $1.2. The 12x multiple was in the middle of range of the historical 10-14x mid-recovery cycle P/E. AMAT’s RoE is lower than ASML’s as its operating margin is lower. It has the highest FCF yield and dividend yield among the companies in our coverage universe.

Figure 1: Valuation matrix

*base on Berenberg estimation of 2014 performance Net cash base on 2012 YE balance Source: Berenberg estimate, company data

No.1 ASML 19.7% AMAT 2.5% AMAT 9.7% TEL 26.5%No.2 AMAT 17.1% TEL 1.5% ASMI 6.2% SUSS 17.6%No.3 SUSS 9.1% ASML 0.9% ASML 4.5% AMAT 16.7%No.4 TEL 6.3% ASMI - TEL 4.3% ASMI 8.9%No.5 ASMI 6.2% SUSS - SUSS 1.6% ASML 6.5%

ROE*Dividend

yield*FCF yield* Net cash/marcap

Page 95: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

95

Key catalysts Key catalysts include the following:

1) an increase in orders driven by the semiconductor recovery cycle – in our opinion, we are likely to see positive order intake in H2 2013 and 2014, driven by a strong logic/foundry and memory recovery;

2) a process control share gain – in our opinion, with AMAT’s new product cycle (Applied SEMVision G6) in process control, combined with its new management’s experience in this market, we think it can gain 5% market share in this segment;

3) a memory exposure gain – AMAT’s exposure to the memory market is lower than LAM’s, hence it is likely to benefit less from 3D NAND market expansion than LAM. We believe the share price will react positively if AMAT gains more memory share.

Key risks Key risks include the following:

1) a capex cut from Samsung, Intel and TSMC – in our opinion, however, these companies are unlikely to cut capex any time soon, as they need to be up to speed with the most advanced manufacturing technologies to maintain their competitive advantage;

2) the sluggish solar energy segment will remain a drag on profit – we believe the Energy and Environment Solutions (EES) solar division will remain loss-making over the next three years. The cost-saving programme will only narrow the 2013 operating loss to $137m from the $184m loss in 2012.

Page 96: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

96

Recovery and growth already priced in

In our bull-case scenario, we believe AMAT’s SSG revenue will grow at c6.2% from now to 2016, and exceed the 2007 revenue peak by 2016, driven by 1) the strong WFE spending environment (WFE spending is likely to grow from $30bn today to $37bn in 2016 and $40bn in 2017), and 2) a 1% WFE share gain mainly due to a 5% process control potential share gain. Our bull cash 2016 non-GAAP EPS will reach $1.7, which has a CAGR of 23% pa from 2012 to 2016, driven by an opex cut from 25% of revenue in 2012 to 20% by 2016. However, the $1.7 bull-case EPS is 20% lower than AMAT’s bull-case scenario $2.15.

Figure 2: 2016 bull-case EPS of $2.15

Source: Company data

AMAT’s 2016 bull-case EPS $2.15 is driven by 1) $37bn in WFE spending, 2) a 4% WFE market share gain from 2012 (up from 18% to 22% of the WFE market), 3) $1bn in Display revenue (equating to a 5% market share gain, and 3) cost-cutting in the material costs area and lower opex/revenue from 25% of revenue today to 20%, 4) a tax rate decrease of 2-3% to 22%. The $2.15 EPS suggests a fair value of $20.8 by end-2014 using our 12x P/E and discounted using 11.4% WACC.

AMAT laid out similar market share expansion/revenue targets previously, but did not achieve all of them. In 2012, it aimed to gain market share in the etching and inspection markets. As per Gartner data, its market share in etching was down 2% from 2011 to 2012. In 2010, it aimed to gain 4% share in etching and 3% share in inspection. As per Gartner data, its etching market share increased only 2% from 2009 to 2010, and its wafer inspection market share was only up 1%. In our opinion, the new president and CFO, both of whom had good executing track records, may put AMAT in a better position to achieve targets: however, we think a 2-4% gain is a stretch.

2016 AMAT bull case Berenberg Bull case DifferenceWFE $bn 37.0 37.0 -Market share 21.9% 19.0% -3%Revenue 12.4 11.3 -9% SSG 8.1 7.0 -13.6% AGS 2.6 2.6 - Non semi 1.7 1.7 -

GM 46.0% 44.0% -2.0%

Opex 2.5 2.3 -8.0%Opex% 20.0% 20.0% 0.0%

OP% 26.0% 24.0% -2.0%

EPS 2.15 1.7 -20.9%

Share no mn 1,140 1,140 -

Page 97: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

97

We remain cautious about the likelihood of a 2-4% share gain

AMAT is aiming to gain a 2-4% WFE market share by 2016, specifically in etching and process control. As per Figure 3, the 2-4% share gain is an important element of AMAT’s bull-case 2016 projection, and accounts for 28% of peak $2.15 EPS. Compared to a flat market share, the extra 2% and 4% share gain would contribute a 7% and 10% EPS CAGR respectively.

Figure 3: 4% share gain contributes 27% $2.15 EPS

Source: Company data, Berenberg estimates

In our opinion, AMAT will gain a 1% WFE share by 2016/2017, but it would be challenging to gain a 2-4% share as:

1) from 2004 to 2012, AMAT’s share in WFE was between 15-20% (Gartner data), and it has never before gained more than a 1.1% share within any three-year timeframe;

2) AMAT only addresses 72% of the WFE market (Gartner data), so to gain a further 2-4% share of the total market, it would need to gain by 3-6% from its current customer base. We consider this to be a challenging target as the market is highly consolidated and AMAT’s competitors are all also trying to expand/maintain market share;

3) it may gain 1% share in etching market as silicon etching market expansion, which is less than 1% WFE share;

4) it may gain a maximum 5% market share in process control, which is a 1% WFE share, as the process control market is 16% of WFE spending at peak;

5) we do not think AMAT will gain a 4% market share through acquisition in the etching or process control markets.

Etching – we expect AMAT to gain a 1% share

The etching market is dominated by LAM, which has a 47% market share. According to Gartner’s forecast, the etching market will remain about flat between 2012 and 2016: although silicon and metal etching will grow, dielectric etching is a segment in decline.

By our calculations (see Figure 4), AMAT can achieve a 1% etching market share

20162012 0%

share gain 2%

share gainvs

0% share gain 4%

share gainvs

0% share gain 1%

share gainvs

4% share gainShare gain 0% 2% 4% 1% -3%% of WFE 18% 18% 20% 22% 19% -3%

WFE $bn 29.7 37 37 37 37

SSG revenue $bn 5.5 6.7 7.4 11% 8.1 22% 7.0 -13%Other $bn 3.2 4.3 4.3 4.3 4.3

8.7 11.0 11.7 7% 12.4 13% 11.33 -9%GM % 40.9% 45% 46% 1% 46% 1% 44% -2%

Opex % 25.0% 20% 20% 0% 20% 0% 20% 20%Opex $bn 2.2 2.2 2.3 7% 2.5 13% 2.3 -9%

Op% 15.9% 25% 26% 1% 26% 1% 24% -2%Op profit $bn 1.4 2.7 3.0 11% 3.2 18% 2.7 -16%

tax rate % 26% 22% 22% 0% 22% 0% 22% 0%

EPS $ 0.75 1.55 1.95 26.1% 2.15 39% 1.70 -20.9%EPS CAGRvs 2012 20% 27% 30% 23%No. shares mn 1,275 1,140 1,140 1,140 1,140

AMAT projection Berenberg

Page 98: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

98

gain through silicon etching expansion – although if it wants a greater share, it will have to gain it from competitors. This will be difficult as: 1) each of the major equipment vendors already has an established relationship with one/all of the main chip-makers; 2) the etching market is expected to be driven by 3D NAND, which is an area in which AMAT may benefit less than LAM as it has lower memory exposure. AMAT estimated its NAND sales addressable market (SAM) would expand by 25% to 35%, versus LAM’s expectation of 35-55%; 3) the growing complexity of the technology is making it more difficult for companies to compete through product innovation; and 4) the market has always been competitive. In the etching market, LAM has recently indicated that it is aiming to gain a 3-5% market share by 2015/2016. TEL is aiming to increase its etching share from 29% to 35% by 2015. As a result, we believe AMAT will only gain an extra 1% share, which is less than 1% WFE share gain (LAM estimates that the etching market is 12-14% of total WFE).

Figure 4: AMAT may gain a 1% etching share as the market shifts

Source: Gartner data, Berenberg estimates

Process control – we expect AMAT to gain maximum 5% share AMAT has been trying to gain a process control market share from KLA since 2008/2009 but with limited success. In May 2013, it noted an inspection share gain at both the foundry and logic level, which partly explains KLA’s weak result last quarter. KLA guided 8% below consensus, and its wafer inspection booking for Q1 2013 was 20% lower than the peak booking quarter.

In our opinion, AMAT may further gain some share in the process control market, given that the new president Gary Dickerson is experienced in process control market (18 years at KLA) and has a good execution track record. However, we believe AMAT will only achieve a maximum market share of 15%, which was its peak level between 2009 to 2012, and will only gain a 5% process control market share (1% of WFE), because:

1) it is a strong player in the defect review market (with a 60% share) – however, the defect review market only amounts to 6% of the total process control market, hence it will not in itself be sufficient to drive significant growth;

$mn 2,012 2016E Share ChangeEtching market 4,060 4,291LAM 42% 45% 3%AMAT 9% 10% 1%TEL 35% 32% -3%hitachi 8% 9% 1%of which Silicon etching 1920 2326 up c 5%LAM 1,132 1,371Hitachi 303 367AMAT 264 320TEL 174 210of which Dielectric etching 1,968 1,855 down c1%TEL 1,234 1,163LAM 511 482AMAT 101 95of which Metal etching 98 110 up c3%LAM 76 85AMAT 14 15Hitachi 8 9

Page 99: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

99

2) AMAT lags KLA in terms of technology and experience – it lost 7% of defect review market share to KLA in 2012 (see Figure 5) as KLA’s new tool allows it to identify and analyse 15nm defects which cannot be found by AMAT’s tool;

3) KLA is well established with the main capex spenders such as Intel, TSMC, Samsung, Globalfoundries, SK Hynix, Micro/Elpida and Toshiba/Sandisk – AMAT’s relationship with these companies does not give it a competitive advantage over KLA.

Figure 5: AMAT’s process control share fell by 2% in 2012

Source: Gartner data

We do not believe AMAT will gain share through M&A Historically, AMAT used to gain market share through acquisition – ie it acquired Varian in 2011 to obtain ion implant market exposure (Varian had a 75% share of Ion implant market). However, we do not think AMAT will expand through acquisition in the etching and process control segments:

1) judging by its Varian acquisition, we believe AMAT is only interested in market leaders, therefore it may only be interested in LAM, KLA and TEL in etching and process control markets (see Figures 6 and 7 below);

2) we do not think AMAT will acquire LAM or KLA as we do not expect it will want to further stretch its balance sheet;

3) we do not think AMAT will buy TEL as the latter is mainly exposed to declining dielectric market.

Figure 6: The etching market is already largely consolidated

Source: Gartner data

2012Market size AMAT KLA AMAT KLA AMAT KLA

CD-SEM 533 52 0 9.7% 0.0% 14.0% 0.0%Mask Inspection/Review 489 66 332 13.5% 67.8% 21.6% 65.3%Optical Patterned Wafer Inspec 1,393 194 1,159 13.9% 83.2% 12.2% 84.5%SEM Defect Review and Classif 234 156 54 66.6% 23.0% 73.4% 10.9%Other Defect Review and Classi 6 0 0 0.0% 0.0% 0.0% 0.0%AMAT&KLA common mkt 2,656 467 1,545 17.6% 58.2% 20.1% 59.2%Other markets 1,760 842 47.8% 47.8%Total Process Control 4,416 467 2,387 10.6% 54.1% 12.1% 54.7%

2012 REV 2012 mkt share % 2011 mkt share %

0.00%

10.00%

20.00%

30.00%

40.00%

50.00%

60.00%

70.00%

80.00%

90.00%

100.00%

2012 2011 2010LAM Hitachi AMAT TEL Mattson Others

Page 100: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

100

Figure 7: 21% of the process control market is shared by more than 20 players

Source: Gartner data

2007 peak revenue will be exceeded in 2016, driven by strong WFE spending AMAT’s semiconductor revenue is more closely linked to the WFE spending cycle compared with other front-end semi equipment vendors (see Figure 8). We believe this is due to its more diversified product portfolio compared with peers and balanced revenue exposure to legacy and growing markets (see Figures 9 and 10).

Figure 8: Semiconductor revenue is closely linked to WFE spending

Source: Gartner data, Company data Figure 9: AMAT has the most diversified product portfolio

Source: Company data, Gartner data

0%

20%

40%

60%

80%

100%

2012 2011 2010

KLA Hitachi AMAT Nanometrics Hermes MicrovisionCarl Zeiss Rudolph Lasertec Nova TorayNikon SII Nano Rave Semilab OmronJEOL Synopsys SEMES Dainippon AdvantestOthers

-100.0%

-50.0%

0.0%

50.0%

100.0%

150.0%

200.0%

2006 2007 2008 2009 2010 2011 2012ASML ASMI - front end AMAT TEL KLA LAM WFE

Semiconductor Equipment AMAT LAM ASMI ASML TEL KLALithography YPhotoresist Processing (Track) YDeposition Y Y Y YEtch, Clean, and Planarization Y Y YRTP and Oxidation/Diffusion Y Y YIon Implanter YProcess Control Y YManufacturing Automation and Control YWafer-Level Packaging Y Y Y YDie-Level Manufacturing Equipment Y YTest Equipment

Page 101: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

101

Figure 10: AMAT has the most balanced market exposure

Source: Gartner Data, Berenberg estimates

AMAT’s 2016 financial projection assumes WFE spend of $30bn-37bn: the high-end $37bn assumption gives AMAT 30% EPS upside compared with the $30bn assumption.

Figure 11: WFE spend in 2016 to be $30bn-37bn

Source: Company data

We believe WFE spend can reach $37bn in 2016, which was the peak level seen in 2011 and 2007. The strong WFE spend is likely to be driven by new technology such as FinFET, 20nm, 3D NAND and 450mm migration, which is more complex and capital-intensive than the technology in 2011 and 2007. All recent WFE spending projections from the industry point to the same conclusion (see Figure 12).

Figure 12: WFE could reach $40bn by 2017

Source: Gartner data, company data, the SEMI trade organisation, Berenberg estimates

Growth markets exposure 2012 Legacy markets exposure 2012

ASML 100% 0%

ASMI 91% 9%AMAT 61% 39%

LAM 74% 26%

KLA 100% 0%

TEL 23% 77%

AMAT 2016 financial scenarios bull case

WFE $bn 30.0 33.5 37.0 37.0

Market share 19.9% 19.9% 19.9% 21.9%Revenue 9.9 10.8 11.7 12.4 SSG 6.0 6.7 7.4 8.1 AGS 2.5 2.6 2.6 2.6 Non semi 1.4 1.5 1.7 1.7

GM 44.0% 45.0% 46.0% 46.0%

Opex 2.1 2.2 2.4 2.5Opex% 21.0% 21.0% 20.0% 20.0%

OP% 23.0% 24.0% 25.0% 26.0%

EPS 1.5 1.7 1.95 2.15

Share no mn 1,140 1,140 1,140 1,140

$bn 2012 2013E 2014E 2015E 2016E 2017EAMAT 30 -10%~flat yoy up 32~35 37TEL 30 30 40LAM 30 28~32Gartner 30 27 32 37 36 39SEMI 30 29 36Berenberg 30 30 33 35 37 40

35~40

Page 102: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

102

AMAT is very likely to benefit from the strong spending environment. In our view, if WFE steadily grows to $40bn in 2017, and assuming AMAT remains its 18% share in WFE (15-20% historically), SSG revenue will grow at c5.4% per year from 2012 to 2017, and exceed its 2007 peak level of $6.5bn in 2016 ($6.7bn) without any market share gain.

Figure 13: SSG exceeds 2007 peak in 2016 due to strong WFE spend

Source: Company data, Gartner data, Berenberg estimates

Operating leverage At Semicon 2013, AMAT’s management announced its target to decrease opex from 25% of revenue to 20% of revenue by 2016. The cost-cutting is likely to come from SG&A by lowering total SG&A from $1bn in 2012 to $840m by 2016 (a 17% reduction). AMAT’s level of innovation will not be impaired by this in our opinion, as the R&D level is projected to reach 63% of opex from 56% in 2012 (17% higher than the 2012 level in dollar terms).

We see that AMAT is already taking initial steps to reduce its costs: for example, it will carry out a week-long factory shut down in Q3. Judging by the new president’s and CFO’s strong execution record in Varian Semi, we believe the cost-cutting projects will be successful.

Solar and Display remain as profit drag Display accounted for 5% revenue in 2012, with a 7% operating margin compared to a 23% corporate average. The segment has recently shown signs of recovery. Orders increased by 41% qoq in Q2, and returned to the 2011 level. Management is expecting the strong momentum to continue, with $800m of orders expected in FY 2013, to reach $1bn in revenue by 2016 (c21%). In our opinion, the display segment may return to the $1bn revenue level by 2016, and we saw a similar revenue level back in 2008 and 2010. However, the margin is likely to be dilutive for the group as 1) the Display division’s operating margin has only once been higher than SSG’s, in 2009, when SSG’s margin was 8% and was significantly affected by the financial crisis, and 2) the main TV vendors such as Samsung, TCL Corp, Sony and Panasonic are either making very lean margins or are loss-making today, and may therefore place pricing pressure on AMAT.

The EES division (5% group revenue and always loss-making except in 2011) is likely to remain loss-making in the next few years. Its order level was down by 43% qoq in Q2, with revenue also down qoq, by 17%. AMAT managed to narrow the operating loss from 96% to 89% by cutting costs, and is expecting a further $5m per quarter in cost cuts by the end of 2013. In our opinion, cost-cutting could help to narrow losses from $184m to $100m in 2013, but breakeven is unlikely in the next three years.

0.0%

5.0%

10.0%

15.0%

20.0%

25.0%

0

5,000

10,000

15,000

20,000

25,000

30,000

35,000

40,000

45,000

2004 2005 2006 2007 2008 2009 2010 2011 2012 2013E 2014E 2015E 2016E 2017E

% of WFE$mn

AMAT SSG WFE AMAT SSG/WFE

Page 103: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

103

Key catalysts

In our view, the following factors will be the main share price catalysts.

1. Semiconductor recovery cycle, higher order intake: As with its peers, AMAT’s share price is closely correlated to the semiconductor cycle, as measured by equipment order intake and capex levels. Any sign that the industry is moving towards the peak cycle will benefit AMAT’s share price.

In our opinion, the industry entered a steady recovery phase in Q1 2013. ASML, AMAT, KLA, ASMI and TEL have all reported better order intake levels than in 2012. We expect the positive order intake trend to continue in H2 2013 and 2014, driven by strong logic/foundry orders and memory recovery. We believe AMAT’s share price will benefit as a result.

2. Market share gain: Revenue growth for semiconductor equipment companies is driven by SAM expansion and market share gain. AMAT has stated in Q2 it had gained market share in the process control market. Investors were encouraged by its comments regarding process control share gain.

We do not believe there will be significant sustainable organic market share gain in this industry. In each of the fabrication process, we now have one well established dominant player followed by many small players. Any small player that wants to gain significant market share needs to have better products than the market leader, and its customers would need to recognise this. However, given AMAT’s new process control product (Applied SEMVision G6) and its new president had 18 experience in the market, we expect AMAT to announce more share gains in coming quarters.

3. Memory customer gain: AMAT’s recent comment about the potential upside from memory markets does not sound as encouraging as similar comments made by LAM and KLA. We agree with management that 2014 capex will be driven by NAND and foundry.

In our opinion, if AMAT announce any share gain in memory in the coming quarters, its revenue upside in 2015 will be bigger than it is today as it would benefit from 3D NAND-related capex growth. As a result, its revenue and share price would react positively as a result.

Page 104: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

104

Valuation

Our valuation is based on a P/E multiple. Our price target of $14.40 implies a P/E of 12x based on 2014 EPS estimates of $1.20.

Historically, AMAT has traded between 8x and 24x P/E during the semiconductor industry recovery cycle (from end-2009 to 2011 – see Figure 14). We believe we entered the recovery cycle at the start of 2013, when an increase in order levels was reported by front-end players such as ASML, AMAT, TEL and KLA. AMAT was trading at 10-14x between June and September 2010, which was six months after it entered the recovery cycle. Our P/E of 12x is in the middle of range of the historical 10-14x mid-recovery cycle P/E, and it is in line with its peer group median multiple based on 2014 earnings.

Figure 14: 8-14x P/E in the middle of the semiconductor recovery cycle

Source: Bloomberg data Figure 15: Peer group valuation

Source: Bloomberg data

S Bl b d

Company Name PE EV/EBIT EV/Sales FY 2013E FY 2014E FY2015E FY 2013E FY 2014E FY2015E FY 2013E FY 2014E FY2015E

NIKON CORP 14.12 13.42 12.25 9.83 8.28 8.53 0.82 0.80 0.87ASM INTL NV 27.03 14.02 13.19 50.89 10.49 13.21 3.13 1.91 1.52ASML HOLDING NV 25.04 15.67 12.17 21.81 10.74 2.10 4.80 3.73 0.67KLA-TENCOR CORP 16.94 13.21 11.26 9.43 7.59 2.97 2.46 2.21 0.95LAM RESEARCH 20.99 11.64 10.15 14.73 8.28 2.90 1.74 1.44 0.58ULVAC INC na 10.21 7.36 25.28 12.27 15.08 0.82 0.75 1.04DAINIPPON SCREEN 28.32 14.13 14.41 67.36 11.63 13.66 0.76 0.70 0.81TOKYO ELECTRON 48.60 20.98 18.74 25.57 9.75 5.61 1.16 1.02 0.72Mean 22.63 14.16 12.44 28.12 9.88 8.01 1.96 1.57 0.89Median 23.01 13.72 12.21 23.55 10.12 7.07 1.45 1.23 0.84

APPLIED MATERIAL 23.30 13.18 11.92 17.43 10.77 4.87 2.35 1.92 0.91

Page 105: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

105

The share price has increased by 60% since November 2012, mainly due to the improved semiconductor equipment order intake levels reported, comforting statements on share gains and SAM expansion potential. Following the rally, the P/E multiple stands at a higher level compared to the previous recovery cycle multiple in 2011, without any significant EPS downwards revision. We believe this multiple expansion is driven by momentum rather than strengthened fundamentals.

Figure 16: forward P/E is higher than previous recovery cycle

Source: Bloomberg data Figure 17: No EPS major revision

Source: Bloomberg data

Page 106: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

106

Key risks

The key risks to our investment case are as follows.

1. Capex cuts at Samsung, Intel and TSMC: AMAT generated 45% of its revenue from Samsung, Intel and TSMC in 2012. Any capex cut or investment delay from these three companies would thus have a significant impact on AMAT due to the high level of customer/capex spender concentration.

In our opinion, Samsung, Intel and TSMC are not likely to reduce their capex spending at any time soon. All of them are heavily exposed to the consumer electronics market, which is highly competitive, and they have to keep up to speed with the most advanced manufacturing technologies to maintain their competitive advantage.

2. Sluggish solar energy market: The solar energy market as a whole has suffered from oversupply in recent years. AMAT’s solar segment (EES) made an adjusted operating loss of $46m in 2012 before impairment charges. Orders were down by 88% yoy in 2012, and down by 43% qoq in Q2 2013. This continuing underperformance will have a negative impact on total corporate earnings.

In our opinion, the solar market will continue to underperform over the next two years, until the oversupply issue is resolved. AMAT’s cost saving programme may only be able to narrow the operating loss down to $137m in 2013 as per our estimate.

Page 107: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

107

Financials

AMAT’s revenue includes revenue from selling equipment used in semiconductors for manufacturing, FPD fabrication and solar PV panel manufacturing processes. It reports revenue under four segments: SSG, Applied Global Services (AGS), Display and EES.

Order trend down for the remainder of 2013 SSG order intake has increased by 14% qoq (see Figure 18 below), which trended to the same direction as its front-end semiconductor equipment peers. Management guided that the full-year wafer fab equipment market would be flat/down 10%, hence we expect the order intake to be down in Q3 and Q4, as the ytd order is already higher than 55% of 2012 total order. In addition, a week-long factory shutdown is due to take place in Q3, which we believe is an indication that management may have already noted some early sign of slowing down. As a result, we expect SSG orders to slow down in Q3 and Q4.

Figure 18: Order trend in 2013

Source: Berenberg estimates

Revenue/order by customer/region Samsung, TSMC and Intel are AMAT’s top three customers, accounting for 32%/45% of the group revenue in 2011/2012 respectively. The majority of its revenue and order intake is from Asia. We expect to see a similar revenue/order customer/region mix in the future, as Samsung, TSMC and Intel are expected to remain the main capex spender in this sector.

Jan Q1 Apr Q2 Jul Q3 Oct Q4 2012 Jan Q1 Apr Q2 Jul Q3E Oct Q4E 2013E(Dollars in millions)SSG 1,418 1,969 1,166 741 5,294 1,363 1,551 1,365 1,338 5,616AGS 517 650 531 576 2,274 544 481 481 481 1,987Display 40 84 67 83 274 138 195 218 245 796EES 33 62 35 65 195 68 39 39 40 186 Total 2,008 2,765 1,799 1,465 8,037 2,113 2,266 2,104 2,103 8,586

Out of totalSSG 71% 71% 65% 51% 66% 65% 68% 65% 64% 65%AGS 26% 24% 30% 39% 28% 26% 21% 23% 23% 23%Display 2% 3% 4% 6% 3% 7% 9% 10% 12% 9%EES 2% 2% 2% 4% 2% 3% 2% 2% 2% 2%

QOQ % changeSSG 53% 39% -41% -36% -- 84% 14% -12% -2% --AGS -8% 26% -18% 8% -- -6% -12% 0% 0% --Display 100% 110% -20% 24% -- 66% 41% 12% 12% --EES -62% 88% -44% 86% -- 5% -43% 1% 1% -- Total 26% 38% -35% -19% -- 44% 7% -7% 0% --

Page 108: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

108

Figure 19: Revenue by customer

Source: Company data Figure 20: Revenue by region

Source: Company data Figure 21: Orders by region

Source: Company data

Revenue – 2014 driven by logic/foundry In 2014, SSG revenue is expected to increase by 26%, having fallen by 10% in 2013 (see Figure 22 below). The increase is likely to be driven by foundry and logic

0%10%20%30%40%50%60%70%80%90%

100%

2009 2010 2011 2012Samsung TSMC Intel Others

0.0%10.0%20.0%30.0%40.0%50.0%60.0%70.0%80.0%90.0%

100.0%

2009 2010 2011 2012U.S. Japan Europe Korea Asia Pacific

0.0%

10.0%

20.0%

30.0%

40.0%

50.0%

60.0%

70.0%

80.0%

90.0%

100.0%

2009 2010 2011 2012U.S. Japan Europe Korea Asia Pacific

Page 109: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

109

expanding 20nm output using DP, and by the expansion of HKMG process adoption.

By late 2014/2015, the semiconductor equipment market will be driven by 3D NAND- and FinFET-related spending. Recent comments from management suggest that its expected memory order intake in H2 is likely to be less than 25% of total orders, which is less positive than KLA’s and LAM’s recent results and statements.

KLA is expecting memory to account for 44% of the June quarter’s total order intake, up from 28% in the March quarter and 17% in the December quarter. LAM’s memory shipment increased from a low 20% of total shipments in December 2012 to 31% in the March quarter. We therefore believe that AMAT’s memory exposure is not as great as peers KLA’s and LAM’s, hence its revenues from the 3D NAND capex boost may be limited. We estimate a much smaller revenue growth rate (3%) in 2015 following a 26% increase in 2014, due to AMAT’s memory exposure is lower, and majority of the equipment required for 3D NAND capacity building would be shipping in 2014.

We estimate that the AGS division’s revenue will decrease in 2013, due to the decreased order levels since H2 2012. Display revenue is expected to grow in 2014 driven by recent order increases, but we remain cautious about the sustainability of a high order level. We estimate EES activity to remain at a low level, and we do not expect a major improvement until the industrial oversupply issue has been resolved.

Figure 22: Revenue model by segment

Source: Berenberg estimates

2011 2012 2013E 2014E 2015ERevenues(Dollars in millions)SSG 5,414.0 5,536.0 4,945.3 6,186.7 6,359.5AGS 2,413.0 2,285.0 2,037.0 2,115.0 2,237.3Display 699.0 473.0 616.9 901.6 762.6EES 1,991.0 425.0 184.3 234.4 267.0Total 10,517.0 8,719.0 7,783.6 9,437.8 9,626.5

% of totalSSG 51.5% 63.5% 63.5% 65.6% 66.1%AGS 22.9% 26.2% 26.2% 22.4% 23.2%Display 6.6% 5.4% 7.9% 9.6% 7.9%EES 18.9% 4.9% 2.4% 2.5% 2.8%

YOY % changeSSG 2.1% 2.3% -10.7% 25.1% 2.8%AGS 29.3% -5.3% -10.9% 3.8% 5.8%Display -22.2% -32.3% 30.4% 46.2% -15.4%EES 34.5% -78.7% -56.6% 27.2% 13.9% Total 10.1% -17.1% -10.7% 21.3% 2.0%

Opm (Pro forma)SSG 32.8% 27.8% 25.0% 26.9% 28.0%AGS 21.3% 23.2% 22.2% 22.6% 23.7%Display 22.3% 6.8% 20.3% 23.8% 18.0%EES 22.4% -43.3% -74.5% -9.0% -8.0% Total 22.9% 15.8% 14.6% 19.5% 20.4%

Page 110: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

110

Margin to expand with revenue growth and cost saving The SSG operating margin is expected to be down in 2013, with the cost saving expected from its planned factory shutdown offset by the low margin achieved in the first two quarters. However, we believe that the SSG margin will improve over the next two years driven by an increase in the top line and further cost-saving initiatives. We expect that the AGS operating margin will move with the top line, and hence also expand in 2014 and 2015. The Display division’s operating margin is projected to be 24% in 2014, due to the higher revenue level expected in this segment. We expect display’s revenue to drop by 24% in 2015 after 54% growth in 2014, as we do not believe the strong order momentum will last. We believe that the loss in EES will narrow over time from $184m in 2012 to $137m in 2013, driven by the ongoing cost-saving programme.

We estimate the gross margin will expand as revenues increase, from 40.9% in 2012 to 42.1% in 2013 and 43.6% in 2014.

Figure 23: Profit and loss

Source: Berenberg estimates

Dividends and share buyback AMAT has a history of paying a stable level of dividends. In March 2013, it raised its quarterly dividend level from $0.09 to $0.10. Assuming it maintains its dividend level, the payout ratio will be 33.5% and 30.5% in 2014 and 2015 respectively by

Profit and Loss 2011 2012 2013E 2014E 2015E(Dollars in millions)Revenues 10,517.0 8,719.0 7,783.6 9,437.8 9,626.5 YOY % 10.1% -17.1% -10.7% 21.3% 2.0%

Cost of goods sold 6,118.8 5,153.0 4,504.3 5,317.6 5,451.4Gross profit (proforma) 4,398.2 3,566.0 3,279.2 4,120.1 4,175.1Gross margin (proforma) 41.8% 40.9% 42.1% 43.7% 43.4%

Research & development 1,113.0 1,236.0 1,283.6 1,379.3 1,347.7Marketing & selling 436.4 471.8 413.7 445.3 442.8General & administrative 439.0 479.2 447.4 453.0 423.6Operating Income (proforma) 2,409.8 1,379.0 1,134.5 1,842.4 1,961.0OP margin (proforma) 22.9% 15.8% 14.6% 19.5% 20.4%

Interest & other expense (income) 16.0 78.0 41.0 0.0 0.0

PBT (Pro forma) 2,393.8 1,301.0 1,093.5 1,842.4 1,961.0

Taxes (proforma) 670.0 342.0 294.5 460.6 451.0 Tax rate 28.0% 26.3% 26.9% 25.0% 23.0%Net income before JV (proforma) 1,723.8 959.0 799.0 1,381.8 1,510.0

EPS - Pro forma $1.30 $0.75 $0.67 $1.17 $1.30YOY % 47.7% -42.4% -16.7% 66.2% 4.0%

Common shares (millions) 1,329.8 1,274.8 1,203.8 1,197.5 1,194.5

Page 111: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

111

our estimates. Compared to its peers, AMAT’s payout ratio is higher than ASML ( 17% payout ratio), and lower than TEL (35% payout ratio).

Figure 24: Dividend payout

Source: Company data, Berenberg estimates

AMAT repurchased $1,416m in shares in 2012. The total share buyback over the past three years stands at $2,234m, which is 11% of its market cap. We believe AMAT will keep returning cash to shareholders through share buyback and dividends.

Balance sheet and cash flow Following the aggressive share buyback and the $4.9bn Varian acquisition, AMAT’s balance sheet is not as strong as it used to be. It had net cash of $904m at end-Q2. We expect a net cash balance of $1,302m at end-2013.

In the absence of large-scale M&A, we expect AMAT to continue to generate positive cash flow. We estimate that the company generated free cash flow of $978m in 2013, $1,845m in 2014 and $1,929m in 2015, representing an FCF yield of 5%, 10% and 11% for 2013, 2014 and 2015 respectively.

2010 2011 2012 2013E 2014E 2015EDividends/share $ 0.26 0.24 0.33 0.38 0.40 0.41Payout ratio 29.5% 18.2% 44.6% 57.0% 34.7% 31.7%

Page 112: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

112

Company background

AMAT was the biggest semiconductor equipment supplier worldwide by revenue in 2012 according to Gartner data (see Figure 25). It supplies semiconductor fabrication equipment, FPD fabrication equipment and solar PV manufacturing systems. AMAT’s customers include manufacturers of semiconductor chips, LCDs, solar PV cells and modules, and other electronic devices.

Figure 25: AMAT revenue split

Source: Gartner data

AMAT operates in four reportable segments: Silicon Systems Group (SSG), Applied Global Services (AGS), Display and EES.

Figure 26: Segments

Source: Company data

Source: Gartner Data

0.0%

10.0%

20.0%

30.0%

40.0%

50.0%

60.0%

70.0%

80.0%

90.0%

100.0%

2008 2009 2010 2011 2012

Deposition Etch Clean&PlanarizationRTP&Oxidation/Diffusion Ion Implanter Process ControlAutomation&Control Wafer-Level Packaging

SSG

AGS

Display

EES

Supplies PV equipment used in:- Produce crystalline-silicon (c-Si), Solar PV cell and modules,- Help accelerate global PV adoption by delivering cost-effective solutions to improve productivity and increase cell efficiency

DescriptionSupplies semiconductor equipment used in chip fabrication processes including:- Deposition,- Etching,- Cleaning & Planarization,- Rapid Thermal Processing, Oxidation, Diffusion,- Ion Implant,- Process control,- Wafer level packaging.

Helping customers to lower cost, improve equipment and fab performance and maximise return on asset.- Provides semiconductor, display and solar customers with solutions that maximize output and efficiency - Largest supplier of software for automating semiconductor, display and solar factories

Suppliers TFT-LCD equipment and services, which help make flat panel TVs more than 20% larger each year without price increases

Page 113: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

113

Compared to other major semiconductor equipment companies, AMAT has the broadest product portfolio, used in different chip fabrication processes (see Figure 27).

Figure 27: product portfolio of equipment vendors

Source: Company data

Acquisitions AMAT has been active in M&A during the past decade, acquiring Varian in 2011 and Semitool in 2009 – and although it attempted to acquire ASMI in 2008, it was not successful. None of the companies that AMAT has acquired in the past few years operate in the same segments as AMAT – thus there is no overlap with AMAT in terms of market share. All the acquired companies have a dominant market share in their respective segments. We believe that AMAT’s strategy is to expand its total addressable market through acquisition, and to grow organically in the areas in which it currently has a position.

Figure 28: M&A deals

Source: Company data, Berenberg, Bloomberg data

AMAT shareholder structure AMAT has approximately 1,203m outstanding ordinary shares listed on the NASDAQ stock exchange. The free-float percentage is 99%. The shareholder structure in June 2013 is shown below.

Semiconductor Equipment AMAT LAM ASMI ASML TEL KLALithography YPhotoresist Processing (Track) YDeposition Y Y Y YEtch, Clean, and Planarization Y Y YRTP and Oxidation/Diffusion Y Y YIon Implanter YProcess Control Y YManufacturing Automation and Control YWafer-Level Packaging Y Y Y YDie-Level Manufacturing Equipment Y YTest Equipment

Name Amount Description Synergy for AMAT

2011 AcquireVarian Semiconductor Equipment Associates,

Inc$4,900mn

Leading supplier of ion implantation equipment to the semiconductor and solar industries

- Gain exposure to ion implantation market, where it do not have any share before and Varian had 75% share,-Enhance AMAT's Baccini Solar product portfolio with c-Si products,- Supply chain synergy of $50-60mn in annual cost from end of 2nd year.

2009 Acquire Semitool Inc $346mnSupply equipment used in chip packaging

-Get exposure to advanced packaging and memory industry's conversion to copper interconnect.

2008 Rejected ASM International $800mnSemiconductor equipment vendor specialised in ALD deposition tool

- Enhance its deposition product portfolio further,- Benefit from ASMI's comprehensive IP portfolio on ALD equipment.

Page 114: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

114

Figure 29: Holding structure in June 2013

Source: Bloomberg data

Management Chairman and CEO, Michael Splinter Michael Splinter took over the role of CEO in April 2003, and has been chairman of the board of directors since March 2009. Prior to joining AMAT, Mr Splinter was at Intel Corporation, where he held a number of positions, including executive vice president and director of sales and marketing, and executive vice president and general manager of the technology and manufacturing group. CFO, Bob Halliday Bob Halliday was appointed senior vice president and CFO in February 2013, after the former CFO, George Davis, left to join Qualcomm. Mr Halliday was executive vice president and CFO of Varian Semiconductor Equipment Associates prior to AMAT’s acquisition of the company in November 2011. Since the acquisition of Varian, Mr Halliday served as general manager of the implant business unit within AMAT’s SSG. He previously worked at Ionics, a manufacturer of water treatment capital equipment, where he served as COO and CFO. President, Gary Dickerson Gary Dickerson became president of AMAT in June 2012, and has been working on integrating newly-acquired companies since joining AMAT following its acquisition of Varian in November 2011. Mr Dickerson was CFO and a director of Varian from 2004 until Varian was acquired by AMAT. Prior to joining Varian in 2004, Mr Dickerson served 18 years with KLA-Tencor Corporation, a supplier of process control and yield management solutions for the semiconductor and related industries, where he held a number of operations and product development roles before being appointed COO in 1999 and then president and COO in 2002. CTO, Om Nalamasu Om Nalamasu has been group vice president and chief technology officer at AMAT since January 2012, having served as corporate vice president and chief technology officer since January 2011. Nalamasu joined AMAT in June 2006, and until January 2011 was vice president of research and served as deputy chief technology officer and general manager of the company’s Advanced Technologies Group. General manager of SSG, Ranhir Thakur An executive vice president and general manager of SSG since December 2009, Ranhir Thakur previously served as senior vice president and general manager of SSG, and before then as senior vice president and general manager of the Thin Film Solar and Display division.

Others, 84%

Harris Associates, 6%

Blackrock, 5%

Vanguard, 5%

State Street, 0%

Page 115: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

115

Financials

Profit and loss account

Year-end October (USD m) 2011 2012 2013E 2014E 2015E Sales 10,517.0 8,719.0 7,783.6 9,437.8 9,626.5 Cost of sales 6,118.8 5,153.0 4,504.3 5,317.6 5,451.4 Gross profit 4,398.2 3,566.0 3,279.2 4,120.1 4,175.1 Sales and marketing 436.4 471.8 413.7 445.3 442.8 General and administration 439.0 479.2 447.4 453.0 423.6 Research and development 1,113.0 1,236.0 1,283.6 1,379.3 1,347.7 Other operating income - - - - - Other operating expenses - - - - - Unusual or infrequent items 0.0 0.0 0.0 0.0 0.0 EBIT 2,409.8 1,379.0 1,134.5 1,842.4 1,961.0 Interest income 0.0 0.0 0.0 0.0 0.0 Interest expenses 16.0 78.0 41.0 0.0 0.0 Income on ordinary activities before taxes 2,393.8 1,301.0 1,093.5 1,842.4 1,961.0 Extraordinary income/loss 0.0 0.0 0.0 0.0 0.0 EBT 2,393.8 1,301.0 1,093.5 1,842.4 1,961.0 Taxes 670.0 342.0 294.5 460.6 451.0 Net income from continuing operations 1,723.8 959.0 799.0 1,381.8 1,510.0 Source: Company data, Berenberg estimates

Balance sheet

Year-end October (USD m) 2011 2012 2013E 2014E 2015E Property, plant and equipment 866.0 910.0 882.0 914.0 926.0 Other Assets 1,709.0 5,035.0 4,815.0 4,595.0 4,375.0 Fixed Assets 2,575 5,945 5,697 5,509 5,301 Inventories 1,701 1,272 1,331 1,278 1,228 Accounts receivable 1,532 1,220 1,286 1,235 1,186 Other current assets 879 673 750 750 750 Liquid assets 7,174 2,992 3,248 4,214 5,265 Current Assets 11,286 6,157 6,615 7,477 8,429 TOTAL 13,861 12,102 12,312 12,986 13,730 Shareholders' equity 8,800 7,235 7,393 8,068 8,811 Minority interest 0 0 0 0 0 Long-term debt 1,947 1,946 1,946 1,946 1,946 Non-current liabilities 8,800 7,235 7,393 8,068 8,811 Accounts payable 2,794 2,265 2,322 2,322 2,322 Other liabilities 320.0 656.0 650.0 650.0 650.0 Current liabilities 2,794 2,265 2,322 2,322 2,322 TOTAL 13,861 12,102 12,312 12,986 13,730 Source: Company data, Berenberg estimates

Page 116: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Applied Materials Inc Technology Hardware

116

Cash flow statement

USD m 2011 2012 2013E 2014E 2015E Net profit/loss 1,928.0 109.0 450.8 1,381.8 1,470.8 Depreciation and Amortisation 246.0 422.0 416.0 408.0 408.0 Other 250.0 993.0 397.1 132.0 132.0 Cash flow from operations before changes in w/c 2,426.0 1,851.0 1,152.8 2,024.9 2,109.8 Change in working capital 4.0 327.0 -111.1 103.1 99.0 Cash flow from operating activities 2,426.0 1,851.0 1,152.8 2,024.9 2,109.8 Capex -209.0 -162.0 -175.0 -180.0 -180.0 Payments for acquisitions 0.0 -4,900.0 0.0 0.0 0.0 Other investing cash flow 919.0 392.0 292.0 0.0 0.0 Cash flow from investing activities 710.0 -4,670.0 117.0 -180.0 -180.0 Long Term Debt 1,730.0 0.0 0.0 0.0 0.0 Short Term Debt 0.0 -1.0 0.0 0.0 0.0 Repayment of borrowings 0.0 0.0 0.0 0.0 0.0 Purchase of own shares -350.0 -1,416.0 -348.0 -400.0 -400.0 Inflow resulting from the issue of shares 49.0 97.0 85.0 0.0 0.0 Dividends paid -314.0 -424.0 -455.6 -479.2 -479.2 Cash flow from financing activities 960.0 -1,744.0 -718.6 -879.2 -879.2 Effects of exchange rate changes on cash 6.3 -5.0 0.0 0.0 0.0 Increase/decrease in liquid assets 4,102.3 -4,568.0 551.2 965.7 1,050.6 Liquid assets at end of period 5,959.0 1,392.0 1,943.2 2,908.9 3,959.5 Source: Company data, Berenberg estimates

Page 117: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Tokyo Electron Ltd Technology Hardware

117

Mainly exposed to legacy markets

• We initiate coverage of TEL with a Sell recommendation and a price target of ¥4,331. TEL supplies equipment used for semiconductor chip fabrication, FPD and photovoltaic (PV) manufacturing. We are sellers because: 1) 77% of the revenues from its semiconductor precise equipment (SPE) segment (which accounts for 79% of revenue, and 100% profit) comes from low-growth/declining markets; 2) the loss-making FPD and PV segment is likely to remain weak, as the TV and solar markets remain sluggish.

• Key debates: 1) Can TEL benefit from new chip designs including FinFET, 20nm and 3D NAND? 2) Has the recent depreciation of the yen improved TEL’s competitive position versus other equipment vendors? 3) Can it gain market share in the silicon etching market? 1. We estimate TEL’s SPE revenue will grow by 7% in 2013

and 6% in 2014 and will decline by 5% in 2015: The level of growth is unlikely to be as strong as peers as its SPE revenue is exposed to low-growth markets, such as photoresist processing, dielectric etching and LP CVD,

2. We believe the depreciated yen versus the dollar will have a very limited impact on TEL: We do not think chip-makers will switch vendors purely for cost reasons, as they would incur extra expenses related to process reconfiguration/testing. TEL’s ASP may look attractive compared to peers AMAT and LAM, but other Japan-based competitors (ie Hitachi, Dainippon) have the same advantage.

3. Management aims to grow its silicon etching market share, with growth driven by 20nm, FinFET and 3D NAND: We remain sceptical about whether TEL can increase its silicon etching share. It currently holds a 9% market share, but has fallen behind LAM, AMAT and Hitachi (an 89% combined share). These latter three already have strong positions with the main foundry, logic and memory players, so we see little room for TEL to expand.

• Compared to consensus, our FY 2014 and FY 2015 EPS are 8% and 10% lower: We estimate revenue will remain flat from FY 2014 to FY 2015, given TEL’s 60% exposure to low growth markets, while consensus is expecting 4% growth.

• Our ¥4,331 PT implies an EV/sales of 0.9x, which is the middle of the historical EV/sales range (0.7-1.2x) during the semiconductor recovery cycle.

Sell (initiation) Rating system

Current price JPY 4,790

Absolute

Price target JPY 4,331

19/07/2013 Tokyo Close Market cap JPY 910,222 bn Reuters 8035.T Bloomberg 8035 JT Share data Shares outstanding (m) 179 Enterprise value (JPY bn) 660 Daily trading volume 2,025,887 Performance data

High 52 weeks (JPY) 5,980 Low 52 weeks (JPY) 3,225 Relative performance to SXXP TOPIX 1 month -6.0 % -9.7 % 3 months -2.8 % -3.4 % 12 months 12.6 % -31.2 % Key data

Price/book value 1516.5 Net gearing 0.0% CAGR sales 2012-2015 6.0% CAGR EPS 2012-2015 84.8%

Business activities: Tokyo Electron supplies equipment used for semiconductor chip fabrication, flat panel display and photovoltaic manufacturing.

22 July 2013

Tammy Qiu Analyst +44 20 3207 2673 [email protected]

Jean Beaubois Specialist Sales +44 20 3207 7835 [email protected]

Y/E 31.03., JPY bn 2011 2012 2013E 2014E 2015E Sales 633 497 549 596 593 EBIT 60 13 17 53 52 Net profit 37 6 15 39 39 Y/E net debt (net cash) -247 -240 -250 -275 -321 EPS (GAAP) 205.04 34.07 82.02 218.51 214.99 EPS (Proforma) 205.04 34.07 82.02 218.51 214.99 CPS 1380.00 1340.17 1396.31 1532.27 1793.15 DPS 128.98 51.99 50.00 76.00 75.00 Gross margin 33.4% 31.9% 31.7% 33.3% 31.6% EBIT margin 9.5% 2.5% 3.2% 8.9% 8.8% Dividend yield 2.5% 1.0% 1.0% 1.5% 1.5% ROCE 6.2% 1.0% 2.4% 6.3% 5.9% EV/sales 1.0 1.3 1.2 1.1 1.1 EV/EBIT 11.0 53.4 38.0 12.0 11.3 P/E 24.8 149.1 61.9 23.2 23.6

Source: Company data, Berenberg

Page 118: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Tokyo Electron Ltd Technology Hardware

118

Investment summary

Our investment thesis on Sell-rated TEL is predicated on the following five points.

• TEL is mainly exposed to legacy markets: TEL generates 77% of its SPE revenue from low-growth/declining markets. Compared to its peers ASML, ASMI, AMAT, KLA and LAM, which respectively have 0%, 9%, 39%, 0% and 26% of their revenue exposed to low-growth/declining markets, TEL’s growth opportunity from expansion in its current markets is the weakest of the six companies. Therefore, we do not believe TEL will benefit from new chip designs/semiconductor technology as much as its peers.

• TEL unlikely to return to 2007 peak: At the Semicon 2013 event in the US in July, TEL shared its bull-case scenario. It expects its FY 2017 revenues (ie to end-March 2018) to return to the FY 2007 peak level of ¥906bn from ¥497bn in 2012, driven by market expansion and share gains in etching and cleaning processes. We do not believe that TEL will be able to return to its 2007 peak level for the following reasons.

o Revenue failed to return to the 2007 peak level during the last peak cycle (2009-2011). TEL’s revenue reached ¥668bn during this period, which was 26% lower than the 2007 peak. The operating margin was 15% at the peak, compared with 19% in 2007. We believe that this lower revenue and margin was due to the impact of its exposure to low-growth markets.

o TEL’s SPE revenue as a percentage of total wafer fab equipment (WFE) spending decreased from 20% in 2007 to 13% in 2012 excluding the impact of FX movements. We estimate TEL’s share of WFE decrease to 12% in 2015 and 11% in 2017, as it is less likely to benefit from the new chip designs compare with its peers.

o Our FY 2017 revenue estimate for TEL is ¥662bn (27% lower than TEL’s bull-case scenario of ¥906bn), including SPE revenue of ¥450bn (applying an 11% share of total WFE spending to TEL’s 2017 WFE estimate of $40bn), FPD/PV revenue of ¥101bn (in line with the 2007 peak level, plus ¥32bn in solar revenue), and components revenue of ¥111bn (in line with the 2007 peak). Our operating margin in FY 2017 is 15% (TEL’s estimate: 19%), including a ¥30bn cost saving compared with the 2012 level of ¥146bn.

Figure 1: We do not think TEL will return to 2007 peak

Source: Company data, Berenberg estimate

2007 2010 20122017E TEL

2017E Berenberg

Delta %

Revenue JPY bn 906.1 668.7 497.3 906.0 661.8 -27.0%SPE JPY bn 726.4 511.3 392.1 450.0FPD/PV JPY bn 68.0 66.7 20.2 100.7Components 111.1 91.3 84.7 111.1

OPM % 18.6% 14.6% 2.5% 19.0% 15.4% -3.6%OP profit JPY bn 168.5 97.6 12.5 172.1 102.2 -40.6%

tax rate (effective) % 18.3% 26.9% 64.1% 30.0% 30.0% 0.0%

EPS JPY 594.0 401.7 34.1 672.5 399.2 -40.6%

no. share mn 178.9 179.0 179.2 179.2 179.2 0.0%

Page 119: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Tokyo Electron Ltd Technology Hardware

119

o AMAT and LAM are also aiming to gain market share: AMAT is aiming to gain a 2-4% WFE share by 2016, and LAM is looking to gain a 3-5% share in the etching market and a 5-10% share in the cleaning market. In our view, TEL is in a weaker position compared with AMAT and LAM in terms of gaining share, as it does not have an established market position or experience in these growing markets.

• Little upside from operating leverage and yen depreciation: TEL’s margin may improve due to cost savings, but we believe the margin is unlikely to show significant improvement without top-line growth. We estimate that the gross margin will stay at the 33% level (34% in 2007) after cost savings are taken into account. We estimate the operating margin will be 9% in 2015 (compared with 19% in 2007), assuming that the ¥10bn saving planned for 2013 happens each year thereafter.

• Yen depreciation has had little impact: In our opinion, the yen depreciation has had little impact on TEL from both an operational and competitiveness perspective, as: 1) its operations are not heavily exposed to FX movements in terms of yen contract prices and its manufacturing plants are located in Japan, meaning that the effect on its operations/cost base is limited; 2) we believe chip-makers care more about tool performance, reliability and supporting services than price; and 3) Japan-based Hitachi and Dainippon have the same FX advantage.

• The FPD/PV segment remain a profit drag: In our opinion, the FPD/PV segment was always been a profit-dilutive segment for TEL. Between 2004 and 2012, the segment’s margin was 10% at peak, which is lower than the SPE margin (which averaged 12.5% in 2004-2012). We estimate the segment will be loss-making in 2013, 2014 and 2015 due to the weak TV and solar markets. Management is targeting a profit in the solar business in two years’ time, but expects it to remain sluggish in 2013 and 2014. A weak performance may trigger impairments on the ¥22bn goodwill related to the Oerlikon Solar acquisition in 2012.

• Valuation: Our price target of ¥4,331 implies an EV/sales of 0.9x, which is the middle of the historical EV/sales range of 0.7x-1.2x during the semiconductor recovery cycle. We base our valuation on EV/sales instead of P/E, as TEL trades at a premium P/E compared to its peers due to its strong cash position. We believe EV/sales is the valuation methodology to reflect its weaker growth potential compared with ASML, AMAT and ASMI.

TEL’s ROE is lower than ASML’s and AMAT’s as its operating margin is lower. It has the strongest cash position among its peers.

Figure 2: Valuation matrix

*base on Berenberg estimation of 2014 performance Net cash base on 2012 YE balance Source: Berenberg estimate, company data

No.1 ASML 19.7% AMAT 2.5% AMAT 9.7% TEL 26.5%No.2 AMAT 17.1% TEL 1.5% ASMI 6.2% SUSS 17.6%No.3 SUSS 9.1% ASML 0.9% ASML 4.5% AMAT 16.7%No.4 TEL 6.3% ASMI - TEL 4.3% ASMI 8.9%No.5 ASMI 6.2% SUSS - SUSS 1.6% ASML 6.5%

ROE*Dividend

yield*FCF yield* Net cash/marcap

Page 120: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Tokyo Electron Ltd Technology Hardware

120

Key catalysts Key catalysts would include the following.

• Semiconductor/FPD/solar order recovery: In our opinion, TEL’s SPE orderbook will likely remain flattish in the FY 2013 as weaker foundry spending is offset by increasing memory spending, although we expect a slight increase towards the end of CY 2013. Nevertheless, we do not expect flat panel and solar orders to recover in the next few quarters.

• Market share gain in the silicon etching segment: We believe TEL has little room to expand in the global silicon etching market, as LAM, Hitachi and AMAT already hold a combined 89% market share, and have penetrated all the mainstream chip-makers.

Key risks Key risks include the following.

• The FPD/solar segments remain a drag on group profit: The underperformance of the FPD and solar segments are in line with what we are seeing at AMAT. We expect these two divisions to remain sluggish and indeed loss-making in 2014 and 2015.

• Semiconductor spending pause: We are not concerned about the expected pause in semi capex over the next four years, as chip-makers are ramping up new chip designs such as FinFET, 20nm and 3D NAND. However, TEL may benefit from this ramp-up to a lesser extent compared with front-end peers such as AMAT, ASML and ASMI as 77% of its SPE revenue is exposed to low-growth markets.

Page 121: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Tokyo Electron Ltd Technology Hardware

121

TEL is mainly exposed to legacy markets

TEL supplies SPE, FPD/PV equipment and electronic components and computer networks (EC) equipment. The SPE segment generates 79% of group revenue and 100% of its profit. The FPD/PV division is currently loss-making and the EC division has a 2% margin. We believe that TEL’s performance will be mainly driven by the SPE segment; any positive newsflow related to other segments may help the share price but not its business fundamentals. In the SPE segment, TEL has a diversified product portfolio including photoresist processing, deposition, etching and wafer level packaging equipment. It generates the majority of its revenue from the photoresist processing, deposition and etching markets (see Figure 3 below).

Figure 3: TEL’s revenue from equipment

Source: Gartner data, Berenberg estimates

TEL generates 77% of its SPE revenue from its legacy markets such as photoresist processing, LP CVD and dielectric etching, where it holds dominant market shares. It has 23% of SPE revenue coming from growing markets such ALD and silicon etching, where its market share is relatively small (see Figure 4 below). Compared to peers ASML, ASMI, AMAT, KLA and LAM, we believe TEL’s growth perspective to be the weakest, due to its high exposure in legacy markets. Consequently, it may not stand to benefit as much from new chip designs/semiconductor technology as its peers.

As shown in Figure 4 below, ASML’s, ASMI’s, AMAT’s, KLA’s and LAM’s exposure to legacy markets are much smaller compared to TEL. If we assume that market shares will remain the same, TEL is likely to have the lowest growth rate among its peer group during 2012-2017.

Photoresist Processing

(Track), 34%

Deposition, 17%

Etch, 27% Clean, and Planarization,

11%

RTP and Oxidation/Diffusion, 5%

Wafer-Level Packaging, 6%

Page 122: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Tokyo Electron Ltd Technology Hardware

122

Figure 4: TEL is mainly exposed to legacy markets

Source: Gartner Data, Berenberg estimates

Photoresist processing TEL generates 34% of its revenue from photoresist processing equipment. It had a dominant, 89% share of the global photoresist processing market in 2012, followed by its closest competitor Dainippon, with a 7% share (see Figure 5).

Figure 5: Photoresist processing market share

Source: Gartner Data

In our opinion, the photoresist processing market is likely to underperform the total semiconductor capex over the next few years. Demand for photoresist processing equipment is associated with unit growth of lithography equipment, as each photoresist coater/developer usually supports one lithography tool. As the new generation of lithography tools becomes more efficient in terms of throughput, and demand for legacy tools declines, the total number of lithography

Market exposure2012-2017

CAGR

% of revenue

2012 market share

Market exposure2012-2017

CAGR

% of revenue

2012 market share

2012-2017

Growth CAGR

ASML Lithograhy +18% 100% 74% no exposure to low growth market18.0%

ASMI ALD deposition +15.0% 60% 45% LP CVD 1.0% 9% 51%Epitaxy +7.5% 17% 9%PE CVD +5.0% 14% 9%

91% 9%11.1%

AMAT PE CVD +5.0% 19% 47% Ion implanter -2.5% 11% 76%Epitaxy +8.0% 11% 89% Dielectric etching 0.5% 1% 5%Silicon etching +4.0% 8% 14% PVD 0.0% 21% 78%CMP&cleaning +3.0% 6% 59% RTP Thermal -3.0% 7% 42%Process control +6.0% 9% 76%Wafer level packaging excl conta +12.0% 6% 9%Fab automation +4.0% 3% 16%

61% 39%3.3%

KLA process control +6.0% 100% 54% no exposure to low growth market6.0%

LAM Silicon etching +4.0% 50% 59% Dielectric etching 0.5% 14% 26%Metal etching +6.0% 4% LP CVD 1.0% 3% 10%Wafer level packaging excl conta +12.0% 1% Bevel edge removal -2.4% 3% 100%PE CVD +5.0% 15% 40% Cleaning (spin.spray processor) -2.0% 6% 15%ECD +4.0% 4%

74% 26%3.2%

TEL ALD deposition +15.0% 6% 44% Photoresist processing 1.4% 34% 89%Silicon etch +4.0% 8% 9% LP CVD 1.0% 12% 51%WL packaging-excl contact prob +12.0% 5% 3% Dielectric etching 0.5% 20% 63%WL packaging- contact prob +3.0% 4% 39% RTP oxidisation/diffusion -1.5% 3% 22%

Cleaning (spin.spray processor) -2.0% 9% 13%23% 77%

2.4%

High Growth markets Low Growth/Declining markets

0%10%20%30%40%50%60%70%80%90%

100%

2010 2011 2012

TEL Dainippon SEMES Suss FSI

Page 123: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Tokyo Electron Ltd Technology Hardware

123

tools is likely to grow at a slower pace. Gartner forecasts the photoresist processing market will grow by c1.4% between 2012 and 2017, versus growth in semiconductor capex of c4.1%.

In 2015-16, when extreme ultraviolet lithography (EUV) starts to ship in volume, we may potentially see a higher top-line growth rate. The photoresist used for EUV is different from conventional lithography tools and requires a different type of photoresist equipment which may carry a high ASP. However, there is little visibility on revenue upside.

Etching TEL generates 27% of its revenue from etching equipment, with a 29% market share globally in 2012. Some 85% of TEL’s etching revenue comes from shipping dielectric etching equipment, with the remainder from silicon etching equipment. It holds a dominant 63% share in the dielectric etching market, and a 9% share in silicon etching (LAM leads this market with a 59% share).

Etching market trends have moved against TEL over the past two years (see Figure 6) as the total addressable market (TAM) for dielectric etching has fallen by c20%, while the silicon etching TAM increased by c4% between 2010 and 2012.

Figure 6: Etching market solutions

Source: Gartner Data

This trend should continue over the next few years, driven by new chip manufacturing processes including double patterning (DP) and FinFET in logic/foundry, and 3D NAND in memory. From a process point of view, DP uses more silicon etching steps than single patterning (SP), as does FinFET. The memory chip manufacturing process currently requires that 55% of etching steps are carried out using silicon etching technology, and this percentage is expected to rise to 60% for 3D NAND. As a result, TEL’s TAM may shrink at an accelerated pace in the near future, unless it can offset this loss by gaining market share in silicon etching.

TEL aims to increase its overall market share in etching from 29% currently to 35% by 2015, as well as gain silicon etching market share. We remain sceptical about whether TEL can gain market share because the silicon etching market is crowded and competitive. LAM, Hitachi and AMAT all hold a bigger market share than TEL (Figure 7) and are currently shipping silicon etching tools to mainstream logic/foundries, while TEL is mainly supplying dielectric tools to these chip-makers. It would be hard for the latter to switch vendors due to process reconfiguration costs.

0%10%20%30%40%50%60%70%80%90%

100%

2010 2011 2012

Bevel Edge removal Dielectric Etch

Metal Etch Silicon Etch (including TSV)

Other

Page 124: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Tokyo Electron Ltd Technology Hardware

124

Figure 7: Silicon etching market share

Source: Gartner Data

Deposition

TEL generates 17% of its revenue from the deposition market, and had a 12% global market share in 2012. It generates 73% of its deposition revenue from LP CVD tools (with a 51% market share; Hitachi is the next biggest player – see Figure 8) and the rest from atomic layer deposition (ALD) tools.

Figure 8: LP CVD market share

Source: Gartner data

LP CVD equipment is used mainly in memory manufacturing processes and some poly silicon logic processes. In the future, we may see some LP CVD process demand being cannibalised by plasma-enhanced (PE) CVD solutions, as memory chip-makers migrate to 3D NAND. We may also see LP CVD lose logic market share as chip-makers shift to HKMG technology which uses ALD. We believe the LP CVD market will remain flattish in 2014-15, driven by memory spending offset by reduced logic adoption.

The ALD market is growing quicker than the LP CVD market, as it is associated with the adoption of HKMG in mainstream logic/foundries. However, ALD revenue growth may be too small to drive TEL’s total top-line growth.

0.0%10.0%20.0%30.0%40.0%50.0%60.0%70.0%80.0%90.0%

100.0%

2010 2011 2012Lam Hitachi AMAT TEL Mattson

Soucre: Gartner Data

0%10%20%30%40%50%60%70%80%90%

100%

2010 2011 2012TEL LAM+novellus AMAT hitachi LAM+novellus AMAT hitachi

Page 125: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Tokyo Electron Ltd Technology Hardware

125

TEL unlikely to return to 2007 revenue peak, despite its bull-case scenario expectations At the Semicon 2013 event, TEL gave a more bullish outlook on its WFE spending expectations than AMAT and LAM. It expects the WFE market to reach $40bn in 2017 (which would represent c6% growth from 2012 to 2017), while AMAT and LAM expect $30bn-37bn and $30-35bn WFE spending respectively in 2016 (c3.1% growth from 2012 using the mid-point of their WFE spending projection). In its bull-case scenario, it expects FY 2017 (ending March 2018) revenue to return to its FY 2007 peak level of ¥906bn, up from ¥497bn in 2012, driven by market expansion and share gains in etching and cleaning processes.

We do not think that TEL will be able to return to its 2007 peak revenue level for the following reasons.

1) During the last peak cycle (2009-2011), TEL’s revenue reached a peak of ¥668bn, which was 26% lower than the 2007 peak level. The peak operating margin was 15% during this period (lower than the 19% achieved in 2007). We believe the lower revenue and operating margin level was due to the impact of its exposure to low-growth markets.

Figure 9: TEL unlikely to return to 2007 revenue peak

Source: Company data, Berenberg estimate 2) TEL’s SPE revenue as a percentage of total WFE spending has been

decreasing over the past five years, from 20% in 2007 to 13% in 2012, excluding the impact of FX movements (see Figure 10). We estimate that this share will fall to 12% in 2015 and 11% in 2017, as it is less likely than peers to benefit from the new chip designs.

Figure 10: Share of WFE down from 20% to 12%

Source: Gartner data, company data, Berenberg estimate

2007 2010 20122017E TEL

2017E Berenberg

Delta %

Revenue JPY bn 906.1 668.7 497.3 906.0 661.8 -27.0%SPE JPY bn 726.4 511.3 392.1 450.0FPD/PV JPY bn 68.0 66.7 20.2 100.7Components 111.1 91.3 84.7 111.1

OPM % 18.6% 14.6% 2.5% 19.0% 15.4% -3.6%OP profit JPY bn 168.5 97.6 12.5 172.1 102.2 -40.6%

tax rate (effective) % 18.3% 26.9% 64.1% 30.0% 30.0% 0.0%

EPS JPY 594.0 401.7 34.1 672.5 399.2 -40.6%

no. share mn 178.9 179.0 179.2 179.2 179.2 0.0%

0.0%

5.0%

10.0%

15.0%

20.0%

25.0%

-

5

10

15

20

25

30

35

40

45

2004 2005 2006 2007 2008 2009 2010 2011 2012 2013E 2014E 2015E 2016E 2017E

TEL SPE Rev/WFEWFE $bn

WFE $bn TEL/WFE

Page 126: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Tokyo Electron Ltd Technology Hardware

126

3) Our FY 2017 revenue estimate for TEL (see Figure 9) is ¥662bn (27% lower than the TEL bull-case scenario), comprising SPE revenue of ¥450bn (ie after applying the 11% share to TEL’s 2017 $40bn WFE estimate), FPD/PV revenue of ¥101bn (in line with 2007 peak levels, and includes solar revenue of ¥32bn), and components revenue of ¥111bn (in line with the 2007 peak). Our operating margin estimate for FY 2017 is 15% (TEL’s estimate: 19%), including a ¥30bn cost saving compared to the 2012’ ¥146bn level.

4) TEL is aiming to gain share in the etching and cleaning markets, although so is AMAT (which plans to gain a 2-4% WFE share by 2016) and LAM (which is looking to gain by 3-5% in etching and by 5-10% in the cleaning market). In our opinion, with neither an established market position nor experience of these growing markets, TEL is in a weaker position than AMAT and LAM to gain share.

Yen depreciation – limited impact The yen has depreciated by 30% against the US dollar since 2012. However, we believe the impact on TEL has been limited. From an operational/cost perspective, its operations are not heavily exposed to FX movements. TEL’s sales contracts are mostly denominated in yen and it hedges its foreign-currency exposures. Its main manufacturing plants are all based in Japan. Therefore the impact on its operations/cost base is limited.

From a competitiveness perspective, the depreciation in the yen makes TEL’s prices more competitive compared to its US peers AMAT’s and LAM’s. However, we do not expect TEL to benefit much from this, as we do not believe chip-makers will switch vendors purely because of lower prices. From our conversations with industry specialists, chip-makers care more about equipment performance, reliability and support services than price.

Even if chip-makers did switch due to lower prices, TEL’s gain could still be very limited as it only competes with US competitors in some of its end-markets and other Japan-based competitors such as Hitachi and Dainippon have the same advantage.

Page 127: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Tokyo Electron Ltd Technology Hardware

127

Key catalysts

In our view, the following factors will be the main share price catalysts.

• Semiconductor/FPD/solar order recovery: As with its peers, TEL trades on its order intake level. Any sign of order recovery in the semiconductor, FPD or solar industries would be positive for TEL’s share price.

We believe TEL has already seen a positive order trend for semiconductors over the past two quarters, and we believe the company is likely to see similar order levels in the coming quarter as weaker foundry spending is offset by stronger memory spend. ASML, KLA and LAM have all shown early indications of a memory recovery recently and we expect TEL also to benefit. But while FPD and solar orders doubled in the last quarter (Q4 FY 2013) compared to Q3, we do not expect the orderbook to show material improvement in the near future as the solar and FPD markets remain sluggish. On a net basis, we expect a slight recovery in orders in the coming quarter, mainly driven by the semiconductor segment.

• Market share gain in high-growth markets: TEL is mainly exposed to low-growth markets such as deposition, LP CVD and dielectric etching. Its only high-growth market is silicon etching, where growth is likely to be fuelled by 20nm DP, FinFET and 3D NAND chip designs. Management is keen to increase TEL’s market share in silicon etching and we believe any market share gain will be positive for TEL’s share price.

In our opinion, the silicon etching market is crowded: LAM (with a 59% share), Hitachi (16%) and AMAT (14%) all hold higher market shares than TEL (9%). LAM has strong relationships with logic and foundry players, while AMAT has strong relationships with memory players, hence we believe TEL has very limited opportunities to increase market share.

Page 128: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Tokyo Electron Ltd Technology Hardware

128

Valuation

Our valuation is based on an EV/sales multiple. TEL trades at a premium P/E multiple compared to its peers, as it has a solid cash position. Its cash/market cap ratio (see Figure 11) was higher than its peers’ for the majority of 2006-2012. Hence, we use EV/sales as a valuation methodology for TEL instead of P/E to reflect its weaker growth potential compared to ASML, ASMI and AMAT.

Figure 11: Stronger cash position compared to peers

Source: Company data, Bloomberg data

Historically, TEL has traded at 0.7x-1.2x EV/sales in a semi recovery cycle. Our price target of ¥4,331 implies a EV/sales of 0.9x, which is in the middle of this range, but lower than its better quality peers (ASML, ASMI, AMAT, KLA and LAM). We believe that the lower multiple is justified due to its lower growth potential and profitability.

Figure 12: Peer group valuation

Source: Bloomberg data

Cash/marcap 2006 2007 2008 2009 2010 2011 20122006-2012

avgTEL 14.8% 21.3% 23.2% 26.9% 31.4% 27.3% 26.5% 24.5%

ASML 6.1% 4.7% 4.1% 3.8% 7.2% 10.1% 6.5% 6.1%ASMI 6.4% 6.1% 4.8% 11.1% 8.7% 13.9% 8.9% 8.6%AMAT 17.9% 20.8% 19.3% 18.2% 21.7% 40.0% 16.7% 22.1%LAM 14.6% 9.3% 14.7% 8.0% 11.5% 29.4% 39.7% 18.2%KLA 25.2% 18.5% 17.1% 14.4% 16.6% 22.0% 27.4% 20.2%

Company Name PE EV/EBIT EV/Sales FY 2013E FY 2014E FY2015E FY 2013E FY 2014E FY2015E FY 2013E FY 2014E FY2015E

NIKON CORP 14.12 13.42 12.25 9.83 8.28 8.53 0.82 0.80 0.87APPLIED MATERIAL 23.30 13.18 11.92 17.43 10.77 4.87 2.35 1.92 0.91ASML HOLDING NV 25.04 15.67 12.17 21.81 10.74 2.10 4.80 3.73 0.67ASM INTL NV 27.03 14.02 13.19 50.89 10.49 13.21 3.13 1.91 1.52KLA-TENCOR CORP 16.94 13.21 11.26 9.43 7.59 2.97 2.46 2.21 0.95LAM RESEARCH 20.99 11.64 10.15 14.73 8.28 2.90 1.74 1.44 0.58ULVAC INC na 10.21 7.36 25.28 12.27 15.08 0.82 0.75 1.04DAINIPPON SCREEN 28.32 14.13 14.41 67.36 11.63 13.66 0.76 0.70 0.81Mean 19.47 13.19 11.59 27.10 10.00 7.92 2.11 1.68 0.92Median 22.14 13.32 12.04 19.62 10.61 6.70 2.04 1.68 0.89

TOKYO ELECTRON 48.60 20.98 18.74 25.57 9.75 5.61 1.16 1.02 0.72

Page 129: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Tokyo Electron Ltd Technology Hardware

129

Key risks

The key risks to our investment case are as follows.

• FPD/PV segment: TEL’s FPD/PV business was loss-making in FY 2012, and management has guided that the division will continue to make a loss over the next six months. The segment’s continuing underperformance is likely to have a negative impact on total corporate earnings, and may trigger a heavy impairment charge on goodwill related to its end-2012 acquisition of Oerlikon Solar.

In our opinion, the underperformance of the FPD and solar divisions is in line with the experience of AMAT. We expect the two markets to remain sluggish in the near future, until the solar supply/demand balance improves and TV manufacturers start investing in new technology or capacity. TEL has ¥22bn of goodwill related to the Oerlikon solar acquisition, which is likely to be impaired if we see continuing underperformance.

• Semiconductor spending pause: TEL generates 79% of sales and 100% of profit from its SPE division. The performance of SPE is highly correlated to chip-makers’ capex spend. If chip-makers’ capex level falls as the consumer electronics market reaches saturation, or technology migration starts to decelerate, TEL’s shipments, revenue and profit margin could be hit.

In our opinion, capex spending is likely to remain strong over the next few years, driven by consumer devices growth in emerging markets. We believe there will be a capex uptick driven by 450mm migration in 2016. As a result, we are not too concerned about the expected capex pause in the next couple of years.

Page 130: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Tokyo Electron Ltd Technology Hardware

130

Financials

Profit and loss account TEL’s revenue includes revenue from SPE, FPD and PV equipment and EC components.

TEL’s revenue model

We model TEL’s revenue based on front-end order intake trends.

Figure 13: TEL revenue forecast

Source: Company data, Berenberg estimates

We expect TEL’s equipment order intake to slightly increase in FY 2013 (ending March 2014), with a recovery in memory spend likely to drive its deposition segment, and with the mainstream logic/foundry segment starting to ramp up 20nm/16nm FinFET mass production capacity. Order intake for FY 2014 (ending March 2015) will fall slightly as TEL is mainly exposed to low-growth and declining markets.

We remain cautious about order intake for FPD/PV equipment and expect orders to increase at a moderate level.

We estimate TEL’s underlying revenue will reach ¥549bn in FY 2013, which includes a ¥420bn contribution from the SPE segment, growing to ¥444bn in FY 2014.

Revenues and orders by customer/region

TEL supplies equipment to the leading chip-makers in the logic, foundry and memory markets. The majority of its orders in FY 2013 came from foundry customers, in line with total capex spending trends, as foundry customers are the main buyers.

(Yen Billions) F13E (3/2014) F14E (3/2015) F15E(3/2016)FY H1E H2E F13E H1E H2E F14E H1E H2E F15EOrders Orders 210.7 254.6 465.2 232.4 219.5 451.8 232.7 240.2 472.9Semiconductor Equipment 198.7 240.6 439.2 217.4 204.5 421.8 214.7 222.2 436.9

Movement YOY Orders 7% 3% 5% 10% -14% -3% 0% 9% 5%Semiconductor Equipment 39% 21% 28% 9% -15% -4% -1% 9% 4%

Revenue - Total 262.5 286.7 549.2 308.5 287.1 595.5 289.9 303.2 593.1Semiconductor Equipment 200.0 220.6 420.6 233.7 210.7 444.5 206.9 219.2 426.1FPD Equipment 12.0 13.6 25.6 14.1 15.7 29.8 16.0 18.0 34.0PV Solar 8.0 10.0 18.0 16.0 16.0 32.0 20.0 20.0 40.0Electronic Components 42.5 42.5 85.0 44.6 44.6 89.3 47.0 46.0 93.0

Movement YOYRevenue - Total -2% 24% 10% 18% 0% 8% -6% 6% 0%Semiconductor Equipment -7% 24% 7% 17% -4% 6% -11% 4% -4%FPD Equipment 30% 24% 27% 17% 15% 16% 13% 15% 14%PV Solar - - - 100% 60% 78% 25% 25% 25%Electronic Components 0% 1% 0% 5% 5% 5% 5% 3% 4%

Page 131: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Tokyo Electron Ltd Technology Hardware

131

Figure 14: TEL order breakdown by customer type

Source: Company data

Profit margin We expect TEL’s operating margin to increase from 3.2% in FY 2013 to 8.9% in FY 2014, and 8.8% in FY 2015, due to factory loading improvement following semiconductor order recovery.

Balance sheet and cash flow TEL has a strong balance sheet, with ¥240bn net cash, and zero debt at the end of FY 2013. We expect TEL will maintain its healthy balance sheet for the near future and have ¥321bn of net cash at FY 2015.

We forecast TEL to generate ¥19bn free cash flow in FY 2013, ¥38bn in FY 2014 and ¥60bn in FY 2015.

0%

10%

20%

30%

40%

50%

60%

70%

80%

90%

100%

FY 2010 FY 2011 FY 2012 FY 2013MPU, System LSI, Others Foundry NAND DRAM

Page 132: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Tokyo Electron Ltd Technology Hardware

132

Company background

Founded in 1963, TEL is a world-leading supplier of SPE, FPD, PV and EC equipment.

It supplies a broad range of SPE which are used in different chip manufacturing processes, and generates the majority of its revenue from shipping SPE. Its customers include major foundry, logic and memory chip-makers and IDMs.

Figure 15: TEL product portfolio

Source: Company data

Its EC division acts as a distributor of a wide range of sophisticated electronic components and computer network equipment.

TEL Product portfolio:Semiconductor Production Equipment: Flat panel display equipment:Coater/Developer - photoresist processing FPD Coater/developersClean track Lithius Pro V/Lithius pro V-i CS SeriesClean track Lithius Pro/Lithius pro i ExcelinerClean track Lithius/lithius i+Clean track act 12/Clean track Act 8 FPD etch/ash systemsClean track Act 12 SOD/clean track ACT 8 SME SeriesClean Track act M HT Series

SE eriesWafer deposition: ImpressioTriase SPAiTriase Ti/TiNTriase WTriase High K CVD

Etching tools:Telius SPUNITY MeTactras

Wafer bonding/debondingSynapse V/Spnapse ZSynapse S

Surface preparation tools:Auto wet station Expedius +Auto wet station Expedius -iGas Chemical Etch system Certas WINGSingle Wafer cleaning system - Cellesta+Single Wafer cleaning system - Cellesta-iScrubber system - NS 300+HTScrubber system - NS 300+

Page 133: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Tokyo Electron Ltd Technology Hardware

133

TEL’s shareholder structure TEL has approximately 180m outstanding ordinary shares listed on the Tokyo Stock Exchange, with a 94% free float. The shareholder structure at the 2012 financial year-end (March 2013) is shown below.

Figure 16: Holding structure at end-FY 2012

Source: Company data

Management

Chairman, president and CEO Tetsuro Higashi

Mr Higashi joined TEL in 1996, and took over the role of president and CEO in Apr 2013, after former CEO Hiroshi Takenake resigned for health reasons.

Executive vice president Hikaru Ito Mr Ito was appointed executive vice president of the SPE sales division and general manager in 2003.

Other, 81%

The Master Trust Bank of Japan, Ltd. (trust account), 11%

Japan Trustee Services Bank, Ltd. (trust account), 8%

Page 134: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Tokyo Electron Ltd Technology Hardware

134

Profit and loss account

Year-end March (¥ bn) 2011 2012 2013E 2014E 2015E Sales 633.1 497.3 549.2 595.5 593.1 Cost of sales 421.6 338.5 375.2 397.0 405.9 Gross profit 211.4 158.7 174.0 198.5 187.1 Administrative and selling expenses 69.5 73.0 83.6 71.5 60.0 General and administration - - - - - Research and development 81.5 73.2 73.0 74.0 75.0 Impairment charges - - - - - EBIT 60.4 12.5 17.4 53.0 52.1 Interest income 4.1 6.1 6.1 6.1 6.1 Interest expenses -0.5 -2.0 -2.0 -2.0 -2.0 Income on ordinary activities before taxes 64.0 16.7 21.5 57.2 56.3 Extraordinary income/loss -3.4 1.1 0.0 0.0 0.0 EBT 60.6 17.8 21.8 57.5 56.6 Taxes 23.4 11.4 6.5 17.2 17.0 Net income from continuing operations 37.2 6.4 15.0 40.0 39.3 Income from discontinued operations (net of tax) - - - - - Net income 37.2 6.4 15.0 40.0 39.3 Minority interest 0.5 0.3 0.3 0.8 0.8 Net income (net of minority interest) 36.7 6.1 14.7 39.2 38.5 Source: Company data, Berenberg estimates

Page 135: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Tokyo Electron Ltd Technology Hardware

135

Balance sheet

Year-end March (¥ bn) 2011 2012 2013E 2014E 2015E Intangible assets 4.7 59.9 56.1 52.3 48.5 Property, plant and equipment 126.9 135.7 122.7 109.2 95.7 Financial assets 45.0 58.4 58.4 58.4 58.4 Fixed Assets 176.6 254.0 237.2 219.9 202.6 Liquid assets 211.8 190.5 190.5 190.5 190.5 Financial investments 35.4 49.6 59.7 84.1 130.8 Inventories 149.5 135.7 150.5 163.2 162.5 Accounts receivable 150.3 100.5 111.3 120.7 120.2 Other current assets 60.1 45.2 44.2 48.0 47.8 Other accruals - - - - - Current Assets 607.1 521.5 556.3 606.4 651.8 TOTAL 783.6 775.5 793.5 826.3 854.4 Shareholders' equity 587.9 594.4 600.2 625.7 650.8 Minority interest 10.7 10.7 10.7 10.7 10.7 Bonds (Long term) 0.0 0.0 0.0 0.0 0.0 Long-term debt 0.0 0.0 0.0 0.0 0.0 Pensions provisions 54.6 56.6 56.6 56.6 56.6 Other liabilities 5.6 7.1 7.9 8.3 8.5 Non-current liabilities 587.9 594.4 600.2 625.7 650.8 Short-term debt 0.0 0.0 0.0 0.0 0.0 Accounts payable 47.0 36.3 40.1 42.4 43.4 Other current liabilities 0.0 0.0 0.0 0.0 0.0 Other liabilities 77.8 70.4 78.0 82.6 84.4 Current liabilities 124.8 106.7 118.1 125.0 127.8 TOTAL 783.6 775.5 793.5 826.3 854.4 Source: Company data, Berenberg estimates

Page 136: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Tokyo Electron Ltd Technology Hardware

136

Cash flow statement

¥ bn 2011 2012 2013E 2014E 2015E Net profit/loss 36.7 6.1 14.7 39.2 38.5 Depreciation of fixed assets 24.2 26.6 30.0 30.5 30.5 Amortisation of intangible assets 0.0 0.0 3.8 3.8 3.8 Other 8.8 -12.4 0.0 0.0 0.0 Change in inventory 19.5 13.8 -14.8 -12.7 0.7 Change in accounts receivable -13.9 49.8 -10.8 -9.4 0.5 Change in Current assets -6.2 14.9 0.9 -3.7 0.2 Change in accounts payable -6.6 -10.7 3.8 2.3 1.0 Change in current liabilities -36.6 -7.4 7.6 4.5 1.9 Change in other liabilities 3.8 3.5 0.8 0.5 0.2 Non Controlling interest change 0.1 0.0 0.0 0.0 0.0 Cash flow from operating activities 29.7 84.3 36.0 55.0 77.2 Capex -39.5 -21.7 -17.0 -17.0 -17.0 Income from asset disposals 1.1 3.6 0.0 0.0 0.0 Financial investments 3.2 -13.4 0.0 0.0 0.0 Investment in Intangible assets -0.5 -1.2 0.0 0.0 0.0 Payments for acquisitions 0.0 -55.1 0.0 0.0 0.0 Other cash flow from investing activities 27.3 -109.0 0.0 0.0 0.0 Cash flow from investing activities -8.4 -141.8 -17.0 -17.0 -17.0 Long Term debt issuance 0.0 0.0 0.0 0.0 0.0 Short Term debt issuance 0.0 0.0 0.0 0.0 0.0 Purchase of own shares 0.7 0.2 0.0 0.0 0.0 Dividends paid -23.1 -9.3 -9.0 -13.6 -13.4 Other financing cash flow -4.9 -1.5 0.0 0.0 0.0 Cash flow from financing activities -27.3 -10.6 -9.0 -13.6 -13.4 Effects of exchange rate changes on cash -0.3 -5.3 0.0 0.0 0.0 Increase/decrease in liquid assets -6.3 -73.5 10.1 24.4 46.7 Liquid assets at end of period 158.7 85.3 95.3 119.7 166.4 Source: Company data, Berenberg estimates

Page 137: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

137

Please note that the use of this research report is subject to the conditions and restrictions set forth in the “General investment-related disclosures” and the “Legal disclaimer” at the end of this document.

For analyst certification and remarks regarding foreign investors and country-specific disclosures, please refer to the respective paragraph at the end of this document.

Disclosures in respect of section 34b of the German Securities Trading Act (Wertpapierhandelsgesetz – WpHG) Company Disclosures Applied Materials Inc no disclosures ASM International NV no disclosures ASML Holding NV no disclosures Suess Microtec AG no disclosures Tokyo Electron Ltd no disclosures (1) Joh. Berenberg, Gossler & Co. KG (hereinafter referred to as “the Bank”) and/or its affiliate(s) was Lead

Manager or Co-Lead Manager over the previous 12 months of a public offering of this company. (2) The Bank acts as Designated Sponsor for this company. (3) Over the previous 12 months, the Bank and/or its affiliate(s) has effected an agreement with this company

for investment banking services or received compensation or a promise to pay from this company for investment banking services.

(4) The Bank and/or its affiliate(s) holds 5% or more of the share capital of this company. (5) The Bank holds a trading position in shares of this company. Historical price target and rating changes for Applied Materials Inc in the last 12 months (full coverage)

Date Price target - USD Rating Initiation of coverage 22 July 13 14.40 Hold 22 July 13 Historical price target and rating changes for ASM International NV in the last 12 months (full coverage)

Date Price target - EUR Rating Initiation of coverage 22 July 13 31.00 Buy 22 July 13 Historical price target and rating changes for ASML Holding NV in the last 12 months (full coverage)

Date Price target - EUR Rating Initiation of coverage 22 July 13 73.00 Hold 22 July 13 Historical price target and rating changes for Suess Microtec AG in the last 12 months (full coverage)

Date Price target - EUR Rating Initiation of coverage 22 July 13 9.40 Buy 22 July 13 Historical price target and rating changes for Tokyo Electron Ltd in the last 12 months (full coverage)

Date Price target - JPY Rating Initiation of coverage 22 July 13 4331.00 Sell 22 July 13 Berenberg distribution of ratings and in proportion to investment banking services

Buy 41.83 % 51.52 % Sell 19.20 % 9.09 % Hold 38.97 % 39.39 %

Valuation basis/rating key

Page 138: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

138

The recommendations for companies analysed by the Bank’s equity research department are either made on an absolute basis (“absolute rating system”) or relative to the sector (“relative rating system“), which is clearly stated in the financial analysis. For both absolute and relative rating system, the three-step rating key “Buy”, “Hold” and “Sell” is applied. For a detailed explanation of our rating system, please refer to our website at

http://www.berenberg.de/research.html?&L=1

NB: During periods of high market, sector or stock volatility, or in special situations, the rating system criteria as described on our website may be breached temporarily. Competent supervisory authority Bundesanstalt für Finanzdienstleistungsaufsicht -BaFin- (Federal Financial Supervisory Authority), Graurheindorfer Straße 108, 53117 Bonn and Marie-Curie-Str. 24-28, 60439 Frankfurt am Main, Germany.

General investment-related disclosures Joh. Berenberg, Gossler & Co. KG (hereinafter referred to as „the Bank“) has made every effort to carefully research all information contained in this financial analysis. The information on which the financial analysis is based has been obtained from sources which we believe to be reliable such as, for example, Thomson Reuters, Bloomberg and the relevant specialised press as well as the company which is the subject of this financial analysis. Only that part of the research note is made available to the issuer (who is the subject of this analysis) which is necessary to properly reconcile with the facts. Should this result in considerable changes a reference is made in the research note. Opinions expressed in this financial analysis are our current opinions as of the issuing date indicated on this document. The companies analysed by the Bank are divided into two groups: those under “full coverage” (regular updates provided); and those under “screening coverage” (updates provided as and when required at irregular intervals). The functional job title of the person/s responsible for the recommendations contained in this report is “Equity Research Analyst” unless otherwise stated on the cover.

The following internet link provides further remarks on our financial analyses: http://www.berenberg.de/research.html?&L=1&no_cache=1

Legal disclaimer This document has been prepared by Joh. Berenberg, Gossler & Co. KG (hereinafter referred to as „the Bank“). This document does not claim completeness regarding all the information on the stocks, stock markets or developments referred to in it. On no account should the document be regarded as a substitute for the recipient procuring information for himself/herself or exercising his/her own judgements. The document has been produced for information purposes for institutional clients or market professionals. Private customers, into whose possession this document comes, should discuss possible investment decisions with their customer service officer as differing views and opinions may exist with regard to the stocks referred to in this document. This document is not a solicitation or an offer to buy or sell the mentioned stock. The document may include certain descriptions, statements, estimates, and conclusions underlining potential market and company development. These reflect assumptions, which may turn out to be incorrect. The Bank and/or its employees accept no liability whatsoever for any direct or consequential loss or damages of any kind arising out of the use of this document or any part of its content. The Bank and/or its employees may hold, buy or sell positions in any securities mentioned in this document, derivatives thereon or related financial products. The Bank and/or its employees may underwrite issues for any securities mentioned in this document, derivatives thereon or related financial products or seek to perform capital market or underwriting services.

Page 139: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

139

Analyst certification I, Tammy Qiu, hereby certify that all of the views expressed in this report accurately reflect my personal views about any and all of the subject securities or issuers discussed herein. In addition, I hereby certify that no part of my compensation was, is, or will be, directly or indirectly related to the specific recommendations or views expressed in this research report, nor is it tied to any specific investment banking transaction performed by the Bank or its affiliates.

Remarks regarding foreign investors The preparation of this document is subject to regulation by German law. The distribution of this document in other jurisdictions may be restricted by law, and persons into whose possession this document comes should inform themselves about, and observe, any such restrictions.

United Kingdom This document is meant exclusively for institutional investors and market professionals, but not for private customers. It is not for distribution to or the use of private investors or private customers.

United States of America This document has been prepared exclusively by the Bank. Although Berenberg Capital Markets LLC, an affiliate of the Bank and registered US broker-dealer, distributes this document to certain customers, Berenberg Capital Markets LLC does not provide input into its contents, nor does this document constitute research of Berenberg Capital Markets LLC. In addition, this document is meant exclusively for institutional investors and market professionals, but not for private customers. It is not for distribution to or the use of private investors or private customers. This document is classified as objective for the purposes of FINRA rules. Please contact Berenberg Capital Markets LLC (+1 617.292.8200), if you require additional information.

Third-party research disclosures

Company Disclosures Applied Materials Inc no disclosures ASM International NV no disclosures ASML Holding NV no disclosures Suess Microtec AG no disclosures Tokyo Electron Ltd no disclosures (1) Berenberg Capital Markets LLC owned 1% or more of the outstanding shares of any class of the subject

company by the end of the prior month.* (2) Over the previous 12 months, Berenberg Capital Markets LLC has managed or co-managed any public

offering for the subject company.* (3) Berenberg Capital Markets LLC is making a market in the subject securities at the time of the report. (4) Berenberg Capital Markets LLC received compensation for investment banking services in the past 12 months,

or expects to receive such compensation in the next 3 months.* (5) There is another potential conflict of interest of the analyst or Berenberg Capital Markets LLC, of which the

analyst knows or has reason to know at the time of publication of this research report.

* For disclosures regarding affiliates of Berenberg Capital Markets LLC please refer to the ‘Disclosures in respect of section 34b of the German Securities Trading Act (Wertpapierhandelsgesetz – WpHG)’ section above.

Copyright The Bank reserves all the rights in this document. No part of the document or its content may be rewritten, copied, photocopied or duplicated in any form by any means or redistributed without the Bank’s prior written consent.

© May 2013 Joh. Berenberg, Gossler & Co. KG

Page 140: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment

Semiconductor Equipment Technology Hardware

140

Contacts: Investment Banking

Equity Research E-mail: [email protected]; Internet www.berenberg.deBANKS ECONOMICS MID-CAP GENERALNick Anderson +44 (0) 20 3207 7838 Dr. Holger Schmieding +44 (0) 20 3207 7889 Gunnar Cohrs +44 (0) 20 3207 7894James Chappell +44 (0) 20 3207 7844 Dr. Christian Schulz +44 (0) 20 3207 7878 Bjoern Lippe +44 (0) 20 3207 7845Andrew Lowe +44 (0) 20 3465 2743 Robert Wood +44 (0) 20 3207 7822 Anna Patrice +44 (0) 20 3207 7863Eoin Mullany +44 (0) 20 3207 7854 Stanislaus von Thurn und Taxis +44 (0) 20 3465 2631Eleni Papoula +44 (0) 20 3465 2741 FOOD MANUFACTURINGMichelle Wilson +44 (0) 20 3465 2663 Fintan Ryan +44 (0) 20 3465 2748 OIL & GAS

Andrew Steele +44 (0) 20 3207 7926 Asad Farid +44 (0) 20 3207 7932BEVERAGES James Targett +44 (0) 20 3207 7873 Jaideep Pandya +44 (0) 20 3207 7890Philip Morrisey +44 (0) 20 3207 7892Josh Puddle +44 (0) 20 3207 7881 GENERAL RETAIL & LUXURY GOODS REAL ESTATE

Bassel Choughari +44 (0) 20 3465 2675 Kai Klose +44 (0) 20 3207 7888BUSINESS SERVICES John Guy +44 (0) 20 3465 2674 Estelle Weingrod +44 (0) 20 3207 7931William Foggon +44 (0) 20 3207 7882Simon Mezzanotte +44 (0) 20 3207 7917 HEALTHCARE TECHNOLOGYArash Roshan Zamir +44 (0) 20 3465 2636 Scott Bardo +44 (0) 20 3207 7869 Adnaan Ahmad +44 (0) 20 3207 7851Konrad Zomer +44 (0) 20 3207 7920 Alistair Campbell +44 (0) 20 3207 7876 Sebastian Grabert +44 (0) 20 3207 7834

Charles Cooper +44 (0) 20 3465 2637 Daud Khan +44 (0) 20 3465 2638CAPITAL GOODS Louise Hinds +44 (0) 20 3465 2747 Ali Khwaja +44 (0) 20 3207 7852Frederik Bitter +44 (0) 20 3207 7916 Tom Jones +44 (0) 20 3207 7877 Tammy Qiu +44 (0) 20 3465 2673Benjamin Glaeser +44 (0) 20 3207 7918William Mackie +44 (0) 20 3207 7837 HOUSEHOLD & PERSONAL CARE TELECOMMUNICATIONSMargaret Paxton +44 (0) 20 3207 7934 Jade Barkett +44 (0) 20 3207 7895 Wassil El Hebil +44 (0) 20 3207 7862Alexander Virgo +44 (0) 20 3207 7856 Seth Peterson +44 (0) 20 3207 7891 Usman Ghazi +44 (0) 20 3207 7824Felix Wienen +44 (0) 20 3207 7915 Stuart Gordon +44 (0) 20 3207 7858

INSURANCE Laura Janssens +44 (0) 20 3465 2639CHEMICALS Tom Carstairs +44 (0) 20 3207 7823 Paul Marsch +44 (0) 20 3207 7857John Philipp Klein +44 (0) 20 3207 7930 Peter Eliot +44 (0) 20 3207 7880 Barry Zeitoune +44 (0) 20 3207 7859Evgenia Molotova +44 (0) 20 3465 2664 Kai Mueller +44 (0) 20 3465 2681Jaideep Pandya +44 (0) 20 3207 7890 Matthew Preston +44 (0) 20 3207 7913 TOBACCO

Sami Taipalus +44 (0) 20 3207 7866 Erik Bloomquist +44 (0) 20 3207 7870CONSTRUCTION Kate Kalashnikova +44 (0) 20 3465 2665Chris Moore +44 (0) 20 3465 2737 MEDIARobert Muir +44 (0) 20 3207 7860 Robert Berg +44 (0) 20 3465 2680 UTILITIESMichael Watts +44 (0) 20 3207 7928 Emma Coulby +44 (0) 20 3207 7821 Robert Chantry +44 (0) 20 3207 7861

Laura Janssens +44 (0) 20 3465 2639 Andrew Fisher +44 (0) 20 3207 7937DIVERSIFIED FINANCIALS Sarah Simon +44 (0) 20 3207 7830 Oliver Salvesen +44 (0) 20 3207 7818Pras Jeyanandhan +44 (0) 20 3207 7899 Lawson Steele +44 (0) 20 3207 7887

Sales E-mail: [email protected]; Internet www.berenberg.deSpecialist Sales Sales Sales TradingBANKS LONDON HAMBURGIro Papadopoulou +44 (0) 20 3207 7924 John von Berenberg-Consbruch +44 (0) 20 3207 7805 Paul Dontenwill +49 (0) 40 350 60 563

Matt Chawner +44 (0) 20 3207 7847 Alexander Heinz +49 (0) 40 350 60 359CONSUMER Toby Flaux +44 (0) 20 3465 2745 Gregor Labahn +49 (0) 40 350 60 571Rupert Trotter +44 (0) 20 3207 7815 Karl Hancock +44 (0) 20 3207 7803 Chris McKeand +49 (0) 40 350 60 798

Sean Heath +44 (0) 20 3465 2742 Fin Schaffer +49 (0) 40 350 60 596INSURANCE David Hogg +44 (0) 20 3465 2628 Lars Schwartau +49 (0) 40 350 60 450Trevor Moss +44 (0) 20 3207 7893 Zubin Hubner +44 (0) 20 3207 7885 Marvin Schweden +49 (0) 40 350 60 576

Ben Hutton +44 (0) 20 3207 7804 Tim Storm +49 (0) 40 350 60 415HEALTHCARE James Matthews +44 (0) 20 3207 7807 Philipp Wiechmann +49 (0) 40 350 60 346Frazer Hall +44 (0) 20 3207 7875 David Mortlock +44 (0) 20 3207 7850

Peter Nichols +44 (0) 20 3207 7810 LONDONINDUSTRIALS Richard Payman +44 (0) 20 3207 7825 Mike Berry +44 (0) 20 3465 2755Chris Armstrong +44 (0) 20 3207 7809 George Smibert +44 (0) 20 3207 7911 Stewart Cook +44 (0) 20 3465 2752Kaj Alftan +44 (0) 20 3207 7879 Anita Surana +44 (0) 20 3207 7855 Simon Messman +44 (0) 20 3465 2754

Paul Walker +44 (0) 20 3465 2632 Stephen O'Donohoe +44 (0) 20 3465 2753MEDIAJulia Thannheiser +44 (0) 20 3465 2676 PARIS PARIS

Miel Bakker (London) +44 (0) 20 3207 7808 Sylvain Granjoux +33 (0) 1 5844 9509TECHNOLOGY Dalila Farigoule +33 (0) 1 5844 9510Jean Beaubois +44 (0) 20 3207 7835 Clémence La Clavière-Peyraud +33 (0) 1 5844 9521 SOVEREIGN WEALTH FUNDS

Olivier Thibert +33 (0) 1 5844 9512 Max von Doetinchem +44 (0) 20 3207 7826TELECOMMUNICATIONSJulia Thannheiser +44 (0) 20 3465 2676 ZURICH CORPORATE ACCESS

Stephan Hofer +41 (0) 44 283 2029 Patricia Nehring +44 (0) 20 3207 7811UTILITIES Carsten Kinder +41 (0) 44 283 2024Benita Barretto +44 (0) 20 3207 7829 Gianni Lavigna +41 (0) 44 283 2038 EVENTS

Benjamin Stillfried +41 (0) 44 283 2033 Natalie Meech +44 (0) 20 3207 7831Sales Charlotte Kilby +44 (0) 20 3207 7832FRANKFURT BENELUX Charlotte Reeves +44 (0) 20 3465 2671Michael Brauburger +49 (0) 69 91 30 90 741 Miel Bakker (London) +44 (0) 20 3207 7808 Sarah Weyman +44 (0) 20 3207 7801Nina Buechs +49 (0) 69 91 30 90 735 Susette Mantzel (Hamburg) +49 (0) 40 350 60 694 Hannah Whitehead +44 (0) 20 3207 7922André Grosskurth +49 (0) 69 91 30 90 734 Alexander Wace (London) +44 (0) 20 3465 2670Boris Koegel +49 (0) 69 91 30 90 740 CRMJoerg Wenzel +49 (0) 69 91 30 90 743 SCANDINAVIA Greg Swallow +44 (0) 20 3207 7833

Ronald Bernette (London) +44 (0) 20 3207 7828 Laura Cooper +44 (0) 20 3207 7806Marco Weiss (Hamburg) +49 (0) 40 350 60 719

US Sales E-mail: [email protected]

BERENBERG CAPITAL MARKETS LLCMember FINRA & SIPCAndrew Holder +1 (617) 292 8222 Julie Doherty +1 (617) 292 8228 Jonathan Paterson +1 (646) 445 7212Colin Andrade +1 (617) 292 8230 Kelleigh Faldi +1 (617) 292 8288 Jonathan Saxon +1 (646) 445 7202Cathal Carroll +1 (646) 445 7206 Emily Mouret +1 (646) 445 7204Burr Clark +1 (617) 292 8282 Kieran O'Sullivan +1 (617) 292 8292

Page 141: Semiconductor Equipment - Berenberg · PDF fileSemiconductor Equipment Technology Hardware 4 Shrinkage brings growth, but not for everyone We believe that the semiconductor equipment