Schedule Oral

14
16 AVS12 th International Conference on Atomic Layer Deposition June 17 th to 20 th , 2012, Westin Bellevue, Dresden, Germany Schedule of Contents Oral Presentations Monday, June 18 th Oral Session I Conference Hall 2/3 Topics: (Session Chair: S. George) p. 55-57 09:00 09:25 Atomic-scale simulation of the transmetallation mechanism for copper ALD T. S. D. Elliott, G. Dey and Y. Maimaiti Tyndall National Institute, University College Cork, Lee Maltings, Cork, Ireland 09:25 09:50 In-situ FTIR characterization of growth inhibition in Atomic Layer Deposition using reversible surface functionalization A.Yanguas-Gil, J. A. Liberia and J. W. Elam Energy Systems Division, Argonne National Laboratory, 9700 S Cass Ave, Argonne IL 60439 (USA) 09:5010:15 Oxidative Molecular Layer Deposition of PEDOT a Conductive Polymer S.Atanasov, B. Gong, G. N. Parsons Department of Chemical and Biomolecular Eng, North Carolina State Univ. Raleigh NC, USA Oral Session II Conference Hall 2/3 Topics: (Session Chair: G. Parsons) p. 58-60 10:45 11:10 TiO 2 -on-insulating-layer and insulating-layer-on-TiO 2 photo anodes for next- generation dye-sensitized solar cells A. K. Chandiran, Md. K. Nazeeruddin, M. Grätzel Laboratory of Photonics and Interfaces, Swiss Federal Institute of Technology (EPFL), Station 6, 1015 Lausanne, Switzerland 11:10 11:35 Ferroelectric Phase Transitions in Poly-Crystalline Al:HfO 2 -Thin Films S. Mueller, A.Singh, T. Mikolajick, J. Müller, S Riedel, J. Sundqvist NaMLab gGmbH & TU Dresden, Noethnitzer Straße 64, 01187 Dresden, Germany 11:35 12:00 Low Temperature Growth of High Purity, Low Resistivity Copper Films by Atomic Layer Deposition T. Knisley, T. C. Ariyasena, C. H. Winter, T. Sajavaara, M. J. Saly Department of Chemistry, Wayne State University, Detroit, Michigan 48202, USA Oral Session IIIConference Hall 2 Topics: High k on III/V Semiconductor (Session Chair: H. Jeon) p. 61-65 13:30 14:00 Investigating the interface between high mobility III-V semiconductors and ALD oxides for future transistor applications (Invited) P. Hurley, V Djara, É. O’Connor, J. Lin, S. Monaghan, I. Povey, M. Pemble, M. A. Negara, D. O’Connell and K. Cherkaoui. Tyndall National Institute, University College Cork, Cork

Transcript of Schedule Oral

Page 1: Schedule Oral

16

AVS12th

International Conference on Atomic Layer Deposition

June 17th to 20th, 2012, Westin Bellevue, Dresden, Germany

Schedule of Contents

Oral Presentations

Monday, June 18th

Oral Session I – Conference Hall 2/3 Topics: (Session Chair: S. George) p. 55-57

09:00 – 09:25 Atomic-scale simulation of the transmetallation mechanism for copper ALD T. S. D. Elliott, G. Dey and Y. Maimaiti

Tyndall National Institute, University College Cork, Lee Maltings, Cork, Ireland

09:25 – 09:50 In-situ FTIR characterization of growth inhibition in Atomic Layer Deposition using

reversible surface functionalization A.Yanguas-Gil, J. A. Liberia and J. W. Elam

Energy Systems Division, Argonne National Laboratory, 9700 S Cass Ave, Argonne IL 60439 (USA)

09:50– 10:15 Oxidative Molecular Layer Deposition of PEDOT a Conductive Polymer S.Atanasov, B. Gong, G. N. Parsons

Department of Chemical and Biomolecular Eng, North Carolina State Univ. Raleigh NC, USA

Oral Session II – Conference Hall 2/3 Topics: (Session Chair: G. Parsons) p. 58-60

10:45 – 11:10 TiO2-on-insulating-layer and insulating-layer-on-TiO2 photo anodes for next-

generation dye-sensitized solar cells A. K. Chandiran, Md. K. Nazeeruddin, M. Grätzel

Laboratory of Photonics and Interfaces, Swiss Federal Institute of Technology (EPFL), Station 6, 1015

Lausanne, Switzerland

11:10 – 11:35 Ferroelectric Phase Transitions in Poly-Crystalline Al:HfO2-Thin Films S. Mueller, A.Singh, T. Mikolajick, J. Müller, S Riedel, J. Sundqvist

NaMLab gGmbH & TU Dresden, Noethnitzer Straße 64, 01187 Dresden, Germany

11:35 – 12:00 Low Temperature Growth of High Purity, Low Resistivity Copper Films by

Atomic Layer Deposition T. Knisley, T. C. Ariyasena, C. H. Winter, T. Sajavaara, M. J. Saly

Department of Chemistry, Wayne State University, Detroit, Michigan 48202,

USA

Oral Session III– Conference Hall 2 Topics: High k on III/V Semiconductor (Session Chair: H. Jeon) p. 61-65

13:30 – 14:00 Investigating the interface between high mobility III-V semiconductors and

ALD oxides for future transistor applications (Invited) P. Hurley, V Djara, É. O’Connor, J. Lin, S. Monaghan, I. Povey,

M. Pemble, M. A. Negara, D. O’Connell and K. Cherkaoui.

Tyndall National Institute, University College Cork, Cork

Page 2: Schedule Oral

17

14:00 – 14:15 Surface chemistry during incubation period of ALD of aluminium and hafnium

oxides on oxidised III-V substrate from simulation S. Klejna, S. D. Elliott

Tyndall National Institute, University College Cork, Cork

14:15 – 14:30 Effect of H2 plasma pre-treatment on the reduction of native oxides at the PEALD

Al2O3/GaSb interface E. Cleveland, L. B. Ruppalt, J. B. Boos, B. R. Bennett, and S.M. Prokes

Electronic Science and Technology Division, U. S. Naval Research Laboratory, Washington D.C.

14:30 – 14:45 TMA-based atomic layer deposition of high-permittivity Al:HfO2 and

Al:ZrO2 on In0.53 Ga0.47 As substrates E.Cianci,, A. Molle, S. Baldovino, A. Lamperti, C. Wiemer, S. Spiga, M. Fanciulli, C. Merckling, G.

Brammertz, M. Caymax

Laboratorio MDM, IMM-CNR, Via C. Olivetti 2, Agrate Brianza, Italy

14:45 – 15:00 Interface Electronic State Characterization of Remote PEALD High-k Dielectrics on

GaN B. S. Eller, J. Yang, and R. J. Nemanich

Department of Physics, Arizona State University, Tempe, Az 85287, USA

Oral Session IV – Conference Hall 3 Topics: Characterization I (Session Chair: C. Detavernier) p. 66-70

13:30 – 14:00 Scanning Probe Microscopy of Single Molecules on Ultrathin Insulation Films

(Invited) Jascha Repp

Institute of Experimental and Applied Physics, University of Regensburg, 93053

Regensburg, Germany

14:00 – 14:15 Measuring Adhesion of ALD Aluminum Oxide Thin Films on Silicon Substrate by

using Embedded Nanospheres Jussi Lyytinen, Maria Berdova, Xuwen W. Liu, Juha Larismaa, Jari Koskinen and Sami Franssila Department of Materials Science and Engineering, Aalto University, Espoo, Finland

14:15 – 14:30 Surface Characterization and Process Control for ALD using Inline XPS Technique Min Dai, Srinivasan Rangarajan, Joseph F Shepard, Rishikesh Krishnan, Bing Sun, Arun Srivatsa,

Michael P Chudzik / Mark Klare, Michael Kwan, and Tom Larson

IBM, 2070 Route 52, Hopewell Junction, NY 12533

14:30 – 14:45 Probing ultrathin film continuity and interface abruptness with x-ray photoelectron

spectroscopy and low-energy ion scattering

Wenyu Zhang, Rambert K. Nahm, James R. Engstrom, Paul F. Ma

School of Chemical and Biomolecular Engineering Cornell University, Ithaca, NY 14853, USA

14:45 – 15:00 Densification of Low-Temperature ALD Aluminum Oxide Thin Films by in-situ Flash

Annealing

T. Henke, C. Hossbach, M. Knaut, M. Geidel, M. Albert, J. W. Bartha / A. Singh

Institute of Semiconductors and Microsystems, TU Dresden, 01062 Dresden, Germany

Page 3: Schedule Oral

18

Oral Session V – Conference Hall 2 Topics: High k Metal Gate/Metal (Session Chair: H. Kim) p.71-74

15:30 – 15:45 Atomic layer deposition of ruthenium (Ru) thin films using ethylbenzene-

cyclohexadienyl Ru(0) as a seed layer for copper metallizations Seungmin Yeo, Sang-Hyeok Choi, Soo-Hyun Kim, Taehoon Cheon, Byoung-Yong Lim,

Sunjung Kim, Tae-Eun Hong

School of Materials Science and Engineering, Yeungnam University, 214-1, Dae-dong, Gyeongsan-

City, 712-749, Korea

15:45 – 16:00 Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl

titanium and H2 plasma and applications to a diffusion barrier and contact material Sang-Kyung Choi, Soo-Hyun Kim ,Taehoon Cheon

School of Materials Science and Engineering, Yeungnam University, Daedong, Gyeongsan city,

Gyeongsangbuk-do 712-749, Korea

16:00 – 16:15 Development of a TaCN Batch Furnace ALD Process M. Drescher, J. Sundqvist, A. Naumann, P. Polakowski, J. Calvo, M. Czernohorsky, E. Erben, K.

Hempel, J. Metzger, B. Jongbloed, H. Sprey, G. Probst, S. Beulens, S. Haukka

Fraunhofer CNT, Dresden, Germany / Globalfoundries, Dresden, Germany

16:15 – 16:30 Low temperature PEALD SiN for gate first HKMG sidewall protection layer D.H. Triyoso, V. Jaschke, J. Shu, S. Mutas, K. Hempel, J. Schaeffer, S. Ohsiek, and M. Lenski

GLOBALFOUNDRIES, Wilschdorfer Landstraße 101, 01109 Dresden, Germany

Topics: Short Poster Presentation p.75-79

16:35 – 16:40 Low thermal budget surface passivation of crystalline silicon by ALD Al2O3 E. Cianci, G. Seguini, C. Wiemer, M. Perego / D. S. Saynova, J.A.M. van Roosmalen

Laboratorio MDM, IMM-CNR, Via C. Olivetti 2, Agrate Brianza, Italy

16:40 – 16:45 Enhancement of Growth Rate in Rapid Atomic Layer Deposition by Oxygen

Plasma Joonrae Kim, Sanghyun Park, HyeongJoon Kim / Hajin Lim / Seok-Jun Won

Department of Materials Science and Engineering, and Inter-University Semiconductor

Research Center, Seoul National University, Seoul, 151-744, Korea

16:45 – 16:50 Low temperature HfO2 and Al2O3 single layer and multilayer structures A. Singh, U. Schröder, T. Mikolajick, C. Hoßbach, C. Hodson, Qi Fang

Namlab gGmbh, Nöthnitzerstr 64, Dresden, 01187 Germany / IHM Mierdel-Bau,TU

Dresden, Nöthnitzerstr 64, Dresden, 01187 Germany

Page 4: Schedule Oral

19

Oral Session VI – Conference Hall 3 Topics: Functional Materials I (Session Chair: K. Nielsch) p.80-83

15:30 – 15:45 Elaboration of functional carbon based heterostructures by controlled surface

functionalization and metal oxide ALD.

C. Marichy, N. Pinna, K.H. Lee, M.G. Willinger, J.-P. Tessonnier, S. Cavaliere, G. Neri

World Class University (WCU) program of Chemical Convergence for Energy and Environment (C2E2),

School of Chemical and Biological Engineering, College of Engineering, Seoul National University

(SNU), Seoul, 151-744, Korea

15:45 – 16:00 Patterning of ALD Coatings on Textiles William J. Sweet III, Christina K. Devine, Christopher J. Oldham, Gregory N. Parsons, Jesse S. Jur

Department of Chemical and Biomolecular Engineering / Department of Textile Engineering, Chemistry

and Science North Carolina State University, Raleigh, North Carolina, 27695, USA

16:00 – 16:15 Synthesis of noble metal core/shell nanoparticles by ALD M.J. Weber, A.J.M. Mackus,

W.M.M. Kessels, M.A. Verheijen / C. van der Marel

Department of Applied Physics, Eindhoven University of Technology, The Netherlands /

16:15 – 16:30 Atomic layer deposition of W:Al2O3 nanocomposites with tunable resistivity

Anil U. Mane and Jeffrey W. Elam

Argonne National Laboratory, Argonne, Illinois 60439, USA

Topics: Short Poster Presentation p. 84-89

16:30 – 16:35 High-k Gate Dielectrics for III-N MIS-HEMTs T.J. Anderson, D.J. Meyer, M.A. Mastro, J.K. Hite, K.D. Hobart, F.J. Kub, C.R. Eddy, Jr.

/ N. Nepal,

U.S. Naval Research Laboratory,Electronics Science & Technology Division, Washington, DC 20375 /

American Society for Engineering Education, Washington DC, 20036

16:35 – 16:40 Atomic layer deposition of high-k dielectrics for flash memories

Nikolaos Nikolaou, Panagiotis Dimitrakis, Pascal Normand, Vassilios Ioannou-Sougleridis, Konstantinos Giannakopoulos, Konstantina Mergia, Jaakko Niinistö, Mikko Ritala, Markku Leskelä,

Kaupo Kukli

Department of Chemistry, University of Helsinki, FI-00014 Helsinki, Finland

16:40 – 16:45 Adhesion Performance and Tribological Properties of Atomic Layer Deposited Aluminum Oxide Films

Helena Ronkainen, Lauri Kilpi, Antti Vaajoki, Simo Varjus, Oili M. E. Ylivaara and Riikka L. Puurunen

VTT Technical Research Centre of Finland, Metallimiehenkuja 8, FI-02044 Espoo, Finland

16:45 – 16:50 Characterization of ALD TaN for gate last high-k metal gate integration D.H. Triyoso, K. Hempel, E. Erben, K. Dittmar, M. Weisheit, R. Binder, J. Metzger, and A. Wei

GLOBALFOUNDRIES, Wilschdorfer Landstraße 101, 01109 Dresden, Germany

16:50 – 16:55 Monitoring Pentakis(dimethylamido) Tantalum Delivery for TaN ALD J.E. Maslar, W.A. Kimes, B.A. Sperling, J. Hoang / P.F. Ma, J. Anthis, J.R. Bakke, R. Kanjolia

National Institute of Standards and Technology, Gaithersburg, MD, USA

16:55 – 17:00 ALD-deposited TiO2 Thin Film Transistor Feyza Bozkurt, Furkan Çimen, Ali Kemal Okyay, Mohammad Ghaffari

Department of Electrical and Electronics Engineering, Bilkent University, Ankara 06800, Turkey

Page 5: Schedule Oral

20

Tuesday, June 19th

Oral Session VII – Conference Hall 2 Topics: Solar/Transparent Conducting Oxides I (Session Chair: E. Kessels) p.93-97

08:30 – 09:00 Nanoscale Architectures Fabricated by Atomic Layer Deposition and Conformal

Coating of Nanotemplates for Solar Energy Conversion (Invited) Hyunjung Shin

School of Advanced Materials Engineering, Kookmin University, Seoul 136-702, Korea

09:00 – 09:15 ALD of transition metal doped ZnO films for transparent electrode Do-Joong Lee, Ki-Ju Kim, Ki-Bum Kim / Jimmy Xu / Jang-Yeon Kwon / Soo-Hyun Kim

WCU Hybrid Materials Program, Department of Materials Science and Engineering, Seoul National

University, Seoul 151-742, Korea.

09:15 – 09:30 ALD-enabled tunneling and transparent conductive oxide layers for novel silicon

nanowire solar cells Minna Toivola, Satu Ek, Tero Pilvi, Juhana Kostamo, Sanna Arpiainen

Picosun Oy, Tietotie 3, FI-02150 Espoo, Finland

09:30 – 09:45 ALD for 3D Nanostructured Building Blocks: Urchin-Inspired Architectures for Solar

Cells Ivo Utke, Jamil Elias, Johann Michler, Laetitia Philippe, Mikhael Bechelany

EMPA – Swiss Federal Laboratories of Materials Science & Technology, Laboratory for Mechanics of

Materials and Nanostructures, Thun, Switzerland

09:45 – 10:00 High deposition rate (~ nm/s) of TCOs for solar and electronic devices by Spatial

ALD A. Illiberi, P. Poodt, F. Roozeboom

Netherlands Organization for Applied Scientific Research (TNO), PO Box 6235,5600 HE Eindhoven,

The Netherlands

Oral Session VIII– Conference Hall 3 Topics: Characterization II (Session Chair: J. Kim) p.98-102

08:30 – 09:00 Surface Chemistry of Atomic Layer Deposition Processes (Invited) Francisco Zaera

Department of Chemistry, University of California, Riverside, California 92521, USA

09:00 – 09:15 Interface oxide evolution on InP(100) during atomic layer deposition of Al2O3 studied

by in-situ infrared spectroscopy W. Cabrera, B. Brennan, H. Dong, R.M. Wallace, Y. J. Chabal, I. M.Povey

Department of Material Science and Engineering, The University of Texas at Dallas Richardson, TX,

U.S.A.

09:15 – 09:30 In-situ analysis of Al2O3 ALD growth on PET and PEN substrates for flexible organic

electronics

Marion Geidel, Christoph Hossbach, Martin Knaut, Matthias Albert and Johann W. Bartha

TU Dresden, Institute of Semiconductors

09:30 – 09:45 In situ reaction mechanism studies on ALD of mixed silicon aluminium oxides from

trimethylaluminium, hexakis ethylaminodisilane and Water Yoann Tomczak, Kjell Knapas, Marianna Kemell, Mikko Heikkilä, Markku Leskelä, Mikko Ritala, Suvi

Haukka, Marcel Ceccato

Laboratory of Inorganic Chemistry, University of Helsinki, P.O.Box 55 FIN-00014 University of

Helsinki, Finland

Page 6: Schedule Oral

21

09:45 – 10:00 Surface chemistry and film morphology of tin oxide thin films grown by chemical

vapor deposition Gilbère J. A. Mannie, Hans (J. W.) Niemantsverdriet, Peter C. Thüne, Joop van Deelen

Materials innovation institute (M2i), P.O. Box 5008, 2600 GA Delft, The Netherlands

Oral Session IX – Conference Hall 2 Topics: High k Metal Gate/Dielectric (Session Chair: A. Delabie) p.103-107

10:30 – 11:00 ALD Process Applications of Advanced Logic Technology (Invited) Nae-In Lee, H. S. Jung, H. S. Son, J. H. Park, S. J. Won, D. S. Shin, W. H. Kim, K. H. Kim, Y. B. Kim,

Willie Choi, W. J. Jang, H. J. Shin, M. Y. Liu, J. H. Lee

TD Team, System LSI Business, Samsung Electronics, San #24 Nongseo-Dong, Giheung-Gu, Yongin-

City, Gyeonggi-Do 446-711 Korea

11:00 – 11:15 ALD high-k surface pre-treatment and sequence tuning for reliability improvement in

28nm CMOS devices E. Erben, M. Trentzsch, R. Carter, D.H. Triyoso, K. Dittmar, S. Ohsiek, C. Grass, R. Agaiby, Y-Y. Lin /

A. Naumann, J. Sundqvist

GLOBALFOUNDRIES, Wilschdorfer Landstraße 101, 01109 Dresden, Germany

11:15 – 11:30 Critical Challenges in the Atomic Layer Deposition of Highly Electropositive Metals

in Semiconductor Fabrication Scott. B. Clendenning , Patricio E. Romero / Michael McSwiney / Harsono S. Simka, Atashi B.

Mukhopadhyay, and Sadasivan Shankar

Components Research, Intel Corporation, Hillsboro, Oregon, USA 97124

11:30 – 11:45 ALD of Dy2O3 and Er2O3 Thin Films: A Study on the ALD Characteristics, Structural

and Eectrical Properties

Ke Xu, Harish Parala, Anjana Devi / Ramdurai Ranjith, Apurba Laha, Eberhard Bugiel, Hans-Jörg

Osten Inorganic Materials

Chemistry, Ruhr-University Bochum, 44780 Bochum, Germany

11:45 – 12:00 HfO2 / SiO2 Composite-layer One-Time Programmable Memory Cell Prepared by

Atomic Layer Deposition Xi Lin, Wei Wang, Xiao-Yong Liu, Peng-Fei Wang, Qing-Qing Sun, and David Wei Zhang

State Key Laboratory of ASIC and System, Dept. of Microelectronics, Fudan University, Shanghai,

200433 China

Oral Session X – Conference Hall 3 Topics: Functional Materials II (Session Chair: N. Pinna) p. 108-112

10:30 – 11:00 Nanoparticles by ALD: From Nucleation Mechanisms to Applications in

Quantum Dot Solar Cells (Invited) Stacey F. Bent, Han-Bo-Ram Lee, Marja Mullings, Bruce Clemens, Thomas P. Brennan, Pendar

Ardalan, Jonathan R. Bakke, I-Kang Ding, Michael D. McGehee Departments of Chemical Engineering and Materials Science and Engineering, Stanford University,

Stanford, CA 94305 USA

11:00 – 11:15 Atomic Layer Deposition of Cobalt Ferrites films for Magneto-Plasmonic Core-Shell

Nanowires J.M. Montero-Morenoa, M. Waleczek, D. Görlitza, K. Nielsch, G. Armelles, A. Cebollada, A. García-

Martín

Institut für Angewandte Physik, Universität Hamburg Jungiusstr. 11, 20355 Hamburg, Germany

Page 7: Schedule Oral

22

11:15 – 11:30 Thermoelectric Characterizations of ALD grown Sb2Te3 Films Sebastian Zastrow, Johannes Gooth*, Tim Böhnert, Kornelius Nielsch, Stefan Heimann, Stephan

Schulz,

University of Hamburg, Institute of Applied Physics, Germany

11:30 – 11:45 Atomic Layer Deposited TiO2 Nanotubes and Thin Films for Biosensor Applications Mingun Lee, Antonio Lucero, Jie Huang, Moon.J Kim and Jiyoung Kim

Department of Material Science and Engineering, The University of Texas at Dallas, Richardson, TX,

USA

11:45 – 12:00 Porous TiO2 nanotubes with controlled pore size by molecular layer deposition Yong Qin, Mato Knez

Institute of Coal Chemistry, Chinese Academy of Sciences, 030001,Taiyuan, P.R.China

Oral Session XI – Conference Hall 2 Topics: Solar/ Transparent Conducting Oxides II (Session Chair: J. Elam) p.113-117

13:30 – 14:00 ALD for solar cells (Invited)

Jan Benick

Fraunhofer ISE Freiburg

14:00 – 14:15 Selective ALD of SiO2 on Dye-Sensitized Solar Cells

Roy G. Gordon, Xinwei Wang / Ho-Jin Son, Chaiya Prasittichai, Joseph T. Hupp

Department of Chemistry and Chemical Biology, Harvard University, Cambridge, MA

14:15 – 14:30 Atomic layer deposition of transparent conducting oxide substrates for CdTe based

photovoltaics Paul R. Chalker, Paul A. Marshall, Simon Romani / Stuart J C Irvine, Daniel A. Lamb, Andrew J.

Clayton / Paul A. Williams

Centre for Materials & Structures, University of Liverpool, Liverpool, L69 3GH, UK

14:30 – 14:45 ALD developments in the Zn(O,S) buffer layer for CIGS solar cells Steven Christensen, Jonathan Mann / Stephen Glynn, Jian Li, Rommel Noufi, Kannan Ramanathan,

Arrelaine Dameron

National Center for Photovoltaics National Renewable Energy Laboratory, Golden, Colorado 80401,

USA

14:45 – 15:00 Growth, characterization and performance of amorphous Zn1-x Snx Oy thin films by

atomic layer deposition for CuIn1-x Gax Se2 solar cells Tobias Törndahl, Johan Lindahl, Adam Hultqvist, Jörn Timo Wätjen and Marika Edoff

Ångström Solar Center, Solid State Electronics, Uppsala University, Box 534, SE-75121 Uppsala,

Sweden

Oral Session XII– Conference Hall 3 Topics: Nucleation & Growth control (Session Chair: M. Ritala) p.118-123

13:30 – 13:45 Controlling the growth morphology of ALD copper oxide on CNTs

by thermal oxidation prior to the ALD

Marcel Melzer , Anja Foerster , Thomas Waechtler, Christian Wagner , Holger Fiedler, Jörg Schuster ,

Sascha Hermann, Stefan E. Schulz, Thomas Gessner

Fraunhofer Institute for Electronic Nano Systems (ENAS), D-09126 Chemnitz, Germany

13:45 – 14:00 An Approach to Radical Enhanced ALD Without Plasma: Impact of Atomic

Hydrogen on Thermal ALD Processes H. Van Bui, A. A. I. Aarnink, A. Y. Kovalgin* and R. A. M. Wolters

MESA+ Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede, the

Netherlands

Page 8: Schedule Oral

23

14:00 – 14:15 Reactions Mechanisms in Al2O3 Atomic Layer Deposition studied by Density

Functional Theory A. Delabie, G. Pourtois, S. Sioncke, S. Van Elshocht, K. Pierloot

imec, Kapeldreef 75, B-3001 Leuven, Belgium / University of Leuven, Department of Chemistry,

Celestijnenlaan 200F, B-3001 Leuven,Belgium

14:15 – 14:30 On the kinetics of spatial atomic layer deposition reactions Paul Poodt, Andrea Illiberi, Raymond Knaapen, Mireille Smets, Fred Roozeboom, Almie

van Asten

TNO, PO Box 6235, 5600HE Eindhoven, The Netherlands

14:30 – 14:45 On the role of ions during plasma-assisted ALD H. B. Profijt, M. C. M. van de Sanden, and W. M. M. Kessels

Eindhoven University of Technology, Eindhoven, The Netherlands

14:45 – 15:00 On the mechanism of zinc oxide ALD using diethylzinc and ozone Wayne L. Gladfelter, Ellis Warner, Stephen A. Campbell and Christopher J. Cramer

Departments of Chemistry and Electrical and Computer Engineering, University of

Minnesota, Minneapolis, USA

Oral Session XIII – Conference Hall 2 Topics: Emerging Applications (Session Chair: A. Londergan) p.124-128

15:30 – 16:00 Enabling High Performance Detectors and Optics for Astronomy and Planetary

Exploration with ALD (Invited) Frank Greer, Erika Hamden, Michael C. Lee, Matthew Beasley, David Schiminovich, Peter Day, Rick

Leduc, Shouleh Nikzad

Jet Propulsion Laboratory/California Institute of Technology, 4800 Oak Grove Drive, Pasadena, CA

91109 U.S.A

16:00 – 16:15 Atomic Layer Deposition of Optical Coatings for Guided Mode Resonance Gratings A. Szeghalmi, H. Yang, E.-B. Kley, M. Knez, A. Tünnermann

Institut für Angewandte Physik, Abbe Center of Photonics, Friedrich-Schiller-Universität Jena, Max-

Wien-Platz 1, 07743 Jena

16:15 – 16:30 Efficient SERS substrates by combining PEALD silver with electrospun fibers Eero Santala, Maarit Kariniemi, Timo Hatanpää, Jaakko Niinistö, Markku Leskelä, Mikko Ritala, Orest

J. Glembocki, Sharka M. Prokes

Department of Chemistry, University of Helsinki

16:30 – 16:45 Deposition of a self-assembled monolayer using a molecular layer deposition (MLD)

technique for pore sealing application James Connolly, Paul Ma, Jeff Bielefeld

Applied Materials, Santa Clara, CA, USA / Intel Corporation, Portland, OR, USA

Topics: Short Poster Presentation p.129-131

16:45 – 16:50 Metamaterial properties of silver films deposited by ALD E. Cleveland, O.J. Glembocki, S.M. Prokes, R.W Rendell, Edward Foos

Naval Research Lab, Washington DC 20375

16:50 – 16:55 Atomic Layer Deposition as a Tool for Accelerated Materials Development Monika M. Biener, J. Biener, T.F. Baumann, A. V. Hamza

Nanoscale Synthesis and Characterization Laboratory, Lawrence Livermore National Laboratory

(LLNL), Livermore, CA 94550, USA

Page 9: Schedule Oral

24

16:55 – 17:00 Modifying nanostructured biological surfaces: tailoring the superhydrophobic and

photocatalytic properties of lotus leaf/TiO2 composites Imre Miklós Szilágy, Emma Härkönen; Elina Färm; Timo Hatanpää; Timur Nikitin, Leonid Khriachtchev,

Markku Räsänen, Mikko Ritala, Markku Leskelä, Georg Teucher

Technical Analytical Chemistry Research Group of the Hungarian Academy of Sciences, Department of

Inorganic and Analytical Chemistry, Budapest University of Technology and Economics, Budapest, Szt.

Gellért tér. 4., H-1111, Hungary

Oral Session XIV– Conference Hall 2

Topics: MLD (Session Chair: O. Nilsen) p.133-135

15:30 – 16:00 Synergic Combinations of Organic and Inorganic Nanolayers Using Molecular

Layer Deposition with Atomic Layer Deposition (Invited) Myung Mo Sung

Department of Chemistry, Hanyang University

16:00 – 16:15 Water Vapor Transmission Rates and Critical Tensile Strains for Alloy Films Grown

Using Al2O3 ALD & Alucone MLD Shih-Hui Jen, Byoung H. Lee, Steven M. George, Robert S. McLean and Peter F. Carcia

Department of Chemistry and Biochemistry

16:15 – 16:30 Highly fluorescent monolayers of Alq3 prepared by molecular layer deposition A. Räupke, D. Theirich, T. Riedl, F. Albrecht, H.-H. Johannes, W. Kowalsky

Institute of Electronic Devices, University of Wuppertal, Germany

Topics: Short Poster Presentation ..p.136-141

16:30 – 16:35 Modeling ALD surface reaction and process dynamics using absolute reaction rate

theory Raymond A. Adomaitis and Crutch D. Travis

Department of Chemical and Biomolecular Engineering Institute for Systems Research,

University of Maryland, College Park, MD 20742 USA

16:35 – 16:40 The Initial Growth of Tantalum Nitride ALD: A Comparative Quantum Chemical and

In Situ Experimental Study

Christoph Hossbach, Daniela Seiffert, Steffen Strehle, Marcel Junige, Matthias Albert,

Johann W. Bartha, Markus Drees TU

Dresden, Institute of Semiconductors and Microsystems, 01187 Dresden, Germany

16:40 – 16:45 Atomic Layer Epitaxy of InN Films N. Nepal,, N. Mahadik, J. K. Hite, M. A. Mastro, C. R. Eddy, JR, M. Currie, S. Gamage, I. Senevirathna

Electronics Science & Technology Division, U.S. Naval Research Laboratory, Washington, DC 20375,

USA

16:45 – 16:50 New insights on the ALD of multinary oxide and sulfide thin films

Pascal Genevée, Frederique Donsanti, Gilles Renou, Daniel Lincot

Institut de Recherche et Développement sur l’Energie Photovoltaïque (IRDEP) UMR 7174

EDF – CNRS – Chimie ParisTech, 6, Quai Watier, 78401, Chatou, France

16:50 – 16:55 Atomic Layer Deposition Study of Cobalt Silicide Films by In-situ Infrared

Spectroscopy Karla Bernal Ramos, Jinhee Kwon, Yves J. Chabal / Mark J. Saly, Ravindra K. Kanjolia / Mathew D.

Halls

Department of Materials Science & Engineering, University of Texas at Dallas, Richardson, TX 75080,

USA / SAFC Hitech, Haverhill, Massachusetts 01832, USA

Page 10: Schedule Oral

25

16:55 – 17:00 Plasma-enhanced atomic layer deposition of gallium oxide and indium gallium

oxide (IGO) thin films Inci Donmez, Cagla Ozgit-Akgun, Necmi Biyikli

UNAM - Institute of Materials Science and Nanotechnology, Ihsan Dogramaci Bilkent University,

Ankara 06800, Turkey

Wednesday, June 20th

Oral Session XV – Conference Hall 2

Topics: Memory (Session Chair: C.S. Hwang) p.145-149

08:30 – 09:00 Enabling Resistive RAM for Next Generation Memory through ALD Processes

(Invited) B. Govoreanu, G.S. Kar, L. Goux, Y-Y. Chen,, A. Fantini, I.P. Radu, C. Adelmann, A. Delabie, S.

Clima, R. Degraeve, O. Richard, D.J. Wouters, L. Altimime, M. Jurczak

imec, Kapeldreef 75, B-3001 Leuven, Belgium, also with ESAT/KU Leuven, Kasteelpark Arenberg 10,

B-3001 Leuven, Belgium

09:00 – 09:15 In-Situ Control of Oxygen Vacancy Concentration by ALD for Resistive Switching

Devices Woo Lee , Sang-Joon Park, Byung Youn You, Jeong-Pyo Lee, Jong Shik Jang, Hyun Rhu, Chang Soo

Kim, Yong-Jai Cho, Kyung Joong Kim, Byungsung, Hyunung Yu, Sang-Wook Han, Sunggi Baik

Korea Research Institute of Standards and Science (KRISS), Daejeon 305-340, Korea

09:15 – 09:30 Deposition and Electrical Characterization of ALD GexSbyTez for Future Applications

of Phase Change Memory Devices L.Yang, U.Weber, P.K.Baumann / Z.Karim, S.Ramanathan, B.Lu / W. Czubatyj, S. Hudgens, T. Lowery

Aixtron SE, Kaiserstrasse 98, 52134 Herzogenrath, Germany / Ovonyx Inc, 1000 John R Road, Ste

105, Troy, MI 48083, USA

09:30 – 09:45 Scalability of ALD strontium titanate films for 3X DRAM MIM capacitors Mihaela Popovici, Johan Swerts, Kazuyuki Tomida, Min-Soo Kim, Annelies Delabie, Johan

Meersschaut, Alexis Franquet, Ben Kaczer, Malgorzata Jurczak, Sven Van Elshocht

imec, Kapeldreef 75, 3001-Leuven, Belgium

09:45 – 10:00 Atomic layer deposition of metal silicates as scalable high-k metalinsulator-metal

capacitors with low leakage, high-breakdown fields and improved voltage linearity. Ian M. Povey and Scott Monaghan

Tyndall National Institute, University College Cork,Lee Maltings, Cork, Ireland

Oral Session XVI– Conference Hall 3 Topics: Reactor/Roll to Roll (Session Chair: G. Sundaram) p.150-154

08:30 – 09:00 Leading Edge Atomic Layer Deposition Applications and Equipment for

Semiconductor Manufacturing (Invited) Paul Ma, Schubert S. Chu, Mei Chang, Vicky Nguyen, Atif Noori, Maitreyee Mahajani, Srinivas

Gandikota, and Joseph Yudovsky

Applied Materials, 974 E. Arques Avenue, Sunnyvale, CA 94085, USA

09:00 – 09:15 Atmospheric-pressure ALD for production of nanostructured particles J. Ruud van Ommen

Delft University of Technology, ChemE, Julianalaan 136, 2628 BL Delft, the Netherlands

09:15 – 09:30 Model-based Optimization of Cross-Flow ALD Rectors

Anders Holmqvist, Stig Stenström

Department of Chemical Engineering, Lund University, Lund, SE-221 00, Sweden

Page 11: Schedule Oral

26

09:30 – 09:45 Deposition of Al2O3 Films by Spatially Separated Atomic Layer Deposition with a

Large Gap Distance Sungin Suh, Sanghyun Park, Hajin Lim, Yu-Jin Choi , Hyeong Joon Kim / Seok-Jun Won,

Department of Materials Science and Engineering, and Inter-University Semiconductor Research

Center, Seoul National University, Seoul, 151-744, Korea / Technology

09:45 – 10:00 Atmospheric Spatial ALD in Roll-to-Roll Processes Raymond Knaapen, Paul Poodt, Ruud Olieslagers, Adriaan Lankhorst, Matijs van den Boer, Dennis

van den Berg, Almie van Asten / Fred Roozeboom

TNO, PO Box 6235, 5600 HE Eindhoven, The Netherlands

Oral Session XVII – Conference Hall 2 Topics: Energy Storage (Session Chair: M. Leskelä) p.155-159

10:30 – 10:45 Reduction of Leakage Current in Supercapacitors by Atomic Layer Deposition of a

Blocking Layer on the Electrodes 1

Jing Wang,Tete Tevi, Paula Algarin, Arash Takshi, Sylvia Thomas / Adrien LaVoie

Department of Electrical Engineering, University of South Florida, Tampa FL 33620, U.S.A.

10:45 – 11:00 Atomic Layer Deposition of LixTiyOz Films 1 Ville Miikkulainen, Ola Nilsen, Helmer Fjellvåg / Mikko Laitinen, Timo Sajavaara

Centre for Materials Science and Nanotechnology (SMN), Department of Chemistry, University of Oslo,

P.O. Box 1126 Blindern, NO-0318 Oslo, Norway

11:00 –11:15 Atomic-layer-deposition synthesis of energy nanomaterials and their applications in

lithium-ion batteries Jian Liu, Xifei Li, Xiangbo Meng, Yuhai Hu, Dongsheng Geng, Ruying Li, Xueliang Sun

Nano+Energy@Western Group, Department of Mechanical & Materials Engineering

University of Western Ontario, London, ON N6A 5B9, Canada

11:15 – 11:30 Atomic Layer Deposition of RuO2 for Energy Storage Applications

Keith Gregorczyk, Xinyi Chen, Gary W. Rubloff

Department of Material Science and Engineering & Institute for Systems Research, University of

Maryland, College Park, MD, 20742, USA

11:30 – 11:45 Electrochemical Supercapacitors Fabricated Using TiO2 ALD on Graphene and

Carbon Nanotubes

Xiang Sun, Gongkai Wang, Hongtao Sun, Jie Lian / Ming Xie, Matthias J. Young, Jonathan J. Travis, Andrew S. Cavanagh, Steven M. George

Dept. of Mechanical, Aerospace & Nuclear Engineering, Rensselaer Polytechnic Institute, New York

12180

11:45 – 12:00 ALD V2O5 as active electrochemical material in energy storage nanostructures Liangbing Hu, Xinyi Chen, Gary W. Rubloff, Keith Gregorczyk

Department of Materials Science & Engineering, University of Maryland, College Park, MD 20742, USA

Oral Session XVIII – Conference Hall 3

Topics: Epitaxy and Doping (Session Chair: C. Winter) p.160-164

10:30 – 11:00 Epitaxial growth of oxide thin films by atomic layer deposition (Invited) Jaan Aarik, Hugo Mändar, Aivar Tarre, Teet Uustare

Institute of Physics, University of Tartu, Riia 142, 51014 Tartu, Estonia

11:00 – 11:15 Growth of epitaxial oxide films on silicon substrates using ALD: Case study of TiO2,

SrTiO3, and LaAlO3 on SrTiO3-buffered Si(001) Martin D. McDaniel, Thong Q. Ngo, John G. Ekerdt, Agham Posadas, Alex A. Demkov

University of Texas at Austin, Department of Chemical Engineering, Austin, TX 78712, USA

Page 12: Schedule Oral

27

11:15 – 11:30 Deposition and X-ray Characterization of Epitaxial Thin Films of LaAlO3 Sønsteby, H, Østreng, E, Nilsen, O, Fjellvåg, H. University of Oslo, Centre for Materials Science and Nanotechnology, Norway

11:30 – 11:45 Structural properties of as deposited and annealed ZrO2 influenced by ALD,

substrate, and doping W. Weinreich, L. Wilde, J. Müller; E. Erben; J. Heitmann; M. Lemberger¸ A. J. Bauer

Fraunhofer Center Nanoeletronic Technologies, Dresden, Germany / Globalfoundries, Dresden,

Germany

11:45 – 12:00 Atomic layer deposition of oxide layers as dopant source for ultra-shallow doping of

silicon Bodo Kalkofen, Max Klingsporn, Edmund P. Burte, Marco Lisker,

IMOS, University of Magdeburg, Universitätsplatz 2, 39106 Magdeburg, Germany

Oral Session XIX– Conference Hall 2 Topics: Precursor (Session Chair: R. Kanjolia) p.165-169 13:30 – 14:00 Metal Chalcogenide Precursors at KRICT (Invited) Chang Gyoun Kim, Taek-Mo Chung, Ki-Seok An, Sun Sook Lee, and Bo Keun Park

Advanced Materials Division, Korea Research Institute of Chemical Technolgy Yuseong P.O.Box 107,

Daejeon 305-600, Republic of Korea

14:00 – 14:15 Atomic Layer Deposition of SrO and SrTiO3 Using Novel Sr Imidazolate Precurs M. S. Kim, S.H. Yang, Sergei Ivanov, John A. T. Norman, Iain Buchanan Air Products and Chemicals, 7201 Hamilton Blvd, Allentown, PA 18195

14:15 – 14:30 Elementary reaction analyses on NH2 radicals in gas phase for Cobalt thin film

deposition by hot wire assisted ALD (HW-ALD) Hideharu SHIMIZU, Takeshi MOMOSE, and Yukihiro SHIMOGAKI

The University of Tokyo

14:30 – 14:45 Novel nitride processes, Li3N and Mo2N by ALD Erik Østreng, Ponniah Vajeeston, Ola Nilsen, Helmer Fjellvåg

University of Oslo, Norway

14:45 – 15:00 Non-Pyrophoric Al Precursor for the ALD of Al2O3 and Al-Doped ZnO

S. E. Potts, P. M. Hermkens, D. García-Alonso, W. M. M. Kessels, C. Lachaud

Department of Applied Physics, Eindhoven University of Technology, Eindhoven, The

Netherlands

Oral Session XX – Conference Hall 3 Topics: Nucleation & Growth - Metal (Session Chair: S. Elliott) p.170-175

13:30 – 13:45 Low Temperature ALD of Noble Metals Using Ozone and Molecular Hydrogen as

Reactants Jani Hämäläinen, Esa Puukilainen, Mikko Ritala, Markku Leskelä, Timo Sajavaara

Department of Chemistry, P.O. Box 55, FI-00014 University of Helsinki, Finland

13:45 – 14:00 Comparison of three processes for plasma-enhanced ALD of platinum D. Longrie, K. Devloo-Casier, C. Detavernier, S. Van den Berghe, K. Driesen

Department of Solid State Sciences, Ghent University Ghent, Belgium

14:00 – 14:15 Nucleation of 1-D Pt Nanowires by Atomic Layer Deposition on Highly Oriented

Pyrolitic Graphite Han-Bo-Ram Lee, Jong Suk Yoo, and Stacey F. Bent

Department of Chemical Engineering, Stanford University, Stanford, CA94305, USA

Page 13: Schedule Oral

28

14:15 – 14:30 Dehydrogenation reactions and catalytic combustion during Ru ALD N. Leick, A.J.M. Mackus, W.M.M. Kessels, S. Agarwal,

Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands

14:30 – 14:45 Atomic layer deposition of (GeTe2)1-x(Sb2Te3)x pseudo-binary layers for phase

change memories Cheol Seong Hwang, Taeyong Eom, Taehong Gwon, Si Jeong Yoo / Moo-Sung Kim / Manchao Xiao,

Iain Buchanan

Department of Materials Science & Engineering and Inter-university Semiconductor Research Center,

Seoul National University, Seoul 151-744, Korea / Air Products Korea, 15 Nongseo-dong, Giheung-gu,

Yongin-si, Gyeonggi-do, 446-920, Republic of Korea / Air Products and Chemicals, Inc., 1969 Palomar

Oaks Way, Carlsbad, CA 92011, USA

14:45 – 15:00 Improved Ru ALD Process with Deactivation Step Ronald F. Spohn, John D. Peck

Praxair, Inc., 175 East Park Dr., Tonawanda, NY, USA

Oral Session XXI – Conference Hall 2 Topics: Catalysis (Session Chair: P. Ma) p.176-180

15:30 – 15:45 Fabrication of Pt-ZnO catalysts by UV-assisted atomic layer deposition

for electro-oxidation of methanol Chung-Yi Su, Yang-Chih Hsueh, Chi-Chung Kei, Chun-Ting Lin, Tsong-Pyng Perng

Department of Materials Science and Engineering, National Tsing Hua University, Hsinchu, Taiwan

15:45 – 16:00 Synthesis of Nanostructured Catalysts by Atomic Layer Deposition Junling Lu, Yu Lei, Joseph A. Libera, Jeffrey W. Elam

Argonne National Laboratory, Argonne, Illinois 60439, USA

16:00 – 16:15 Atomic layer deposition of platinum on tungsten oxide as a novel PEM cathode

catalyst Katherine E. Hurst, Anne C. Dillon, Steven T. Christensen, Arrelaine A. Dameron, Shyam S. Kocha,

K.C. Neyerlin, Jason Zack, John Turner, Steven M. George, Virginia R. Anderson National

Renewable Energy Laboratory Golden, CO 80401

16:15 – 16:30 Systematic catalytic current enhancement for the oxidation of water at

nanostructured iron (III) oxide electrodes Julien Bachmann, Julia Gemmer, Yvonne Hinrichsen, Annik Abel

Physics Department, Chemistry Department, and Interdisciplinary Nanoscience Center Hamburg,

University of Hamburg, Sedanstrasse 19, D–20146 Hamburg, Germany

16:30 – 16:45 Nanostructured Materials for PhotoelectrochemicalWater Splitting by ALD

Qing Peng, Jeffrey T. Glass, Paul Hoertz, Berç Kalanyan, Gregory N. Parsons, Jie Liu

Electrical and Computer Engineering and 4Chemistry Departments, Duke University, Durham, NC, 27708 / Research Triangle Institute, NC, 27709

Oral Session XXII– Conference Hall 3 Nucleation & Growth – Compounds (Session Chair: S. Ramanathan) p.181-186

15:30 – 15:45 Nucleation and Growth of Atomic Layer Deposition of PtOx Using (MeCp)PtMe3 and

O2 Plasma I.J.M. Erkens, A.J.M. Mackus, H.C.M. Knoops, H.H. Brongersma, M.A. Verheijen, F.

Roozeboom and W.M.M. Kessels

Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands

Page 14: Schedule Oral

29

15:45 – 16:00 Atomic layer deposition of Bi2Te3

Tiina Sarnet, Timo Hatanpää, Mikko Ritala, Markku Leskelä

Laboratory of Inorganic Chemistry, Department of Chemistry, University of Helsinki, Finland

16:00 – 16:15 Atomic layer deposition of bismuth ferrite Manjunath Puttaswamy,, Mikko Ritala, Markku Leskelä, Kaupo Kukli, Marko Vehkamäki,, Kemell, Timo

Hatanpää, Mikko Heikkilä/ Mukesh Chandra, Marianna, Aile Tamm / Dimri, Raivo Stern / Marcel

Ceccato

University of Helsinki, Department of Chemistry, P.O.Box 55, FI-00014, Helsinki, Finland

16:15 – 16:30 Atomic layer deposition of a-Fe2O3 using FeCl3 and H2O Jeffrey A. Klug, Nicholas G. Becker, Shannon C. Riha, Alex B. F. Martinson, Jeffrey W. Elam,

Michael J. Pellin, and Thomas Proslier

Argonne National Laboratory, Argonne, Illinois 60439, USA

16:30 – 16:45 Optimization of the annealing conditions for thin VO2 ALD films Geert Rampelberg, Davy Deduytsche, Bob De Schutter, Christophe Detavernier, Marc Schaekers,

Koen Martens, Jorge Kittl

Department of Solid State Sciences, Ghent University, Krijgslaan 281/S1, B-9000 Ghent, Belgium

16:45 – 17:00 Deuterium uptake during heavy water ALD half reaction of transparent

conductive oxide nanolaminates Al2O3/ZnO Ivo Utke, James Whitby, Deborah Alberts, Jeanne Baudot, Johann Michler, Max Döbeli

EMPA – Swiss Federal Laboratories of Materials Science & Technology, Laboratory for Mechanics of

Materials and Nanostructures, Thun, Switzerland