Samsung Electronics - A Moore Stress Case Study - … Samsung to continue profitable growth in TV,...
Transcript of Samsung Electronics - A Moore Stress Case Study - … Samsung to continue profitable growth in TV,...
KRW Prev. 2011E Prev. 2012E Prev. 2013E Prev. 2014E
Rev. (B) -- 165,002 -- 201,104 -- 236,501 -- 263,327
EV/Rev 1.0x 0.9x 0.7x 0.7x
EPS
Mar -- 17,793 -- 32,315 -- 44,242 -- 58,383
Jun -- 22,972 -- 33,496 -- 49,120 -- 61,222
Sep -- 21,968 -- 42,623 -- 57,526 -- 71,340
Dec -- 26,222 -- 46,730 -- 65,211 -- 76,003
FY Dec -- 88,990 -- 155,181 -- 216,099 -- 266,948
FY P/E 16.8x 9.6x 6.9x 5.6x
Price Performance
MAR-12 JUL-12 NOV-12 MAR-13
1,600k
1,400k
1,200k
1,000k
COMPANY NOTE
Initiating Coverage
USA | Technology | Semiconductors March 25, 2013
Samsung Electronics (005930 KS)The Next Big Thing, A Moore Stress CaseStudy - Initiate with Buy
EQU
ITY R
ESEARC
H G
LOB
AL
BUYPrice target KRW2,100,000
Price KRW1,495,000
Financial SummaryNet Debt (MM): (22,767)BN
Market Data52 Week Range: 1,584,000 - 1,091,000Total Entprs. Value (MM): 171,583BNMarket Cap. (MM): 194,350BNShares Out. (MM): 130.0Float (MM): 106.6Avg. Daily Vol.: 266,804
Sundeep Bajikar *Equity Analyst
(415) 229-1552 [email protected] Lipacis *
Equity Analyst(415) 229-1438 [email protected]
Peter Misek, CFA, CPA *Equity Analyst
(212) 336-7361 [email protected] Simpson §
Equity Analyst44 (0) 207 029 8695 [email protected]
Yoshihiro Azuma ||Equity Analyst
+81 3 5251 6186 [email protected] Wakasugi ||
Equity Analyst+81 3 5251 6158 [email protected]
Ken Hui #Equity Analyst
+852 3743 8061 [email protected]
* Jefferies LLC § Jefferies International Limited
|| Jefferies (Japan) Limited # Jefferies Hong Kong Limited
Key TakeawayWe expect Moore Stress to drive upside surprises in Memory andFoundry, highlighting the strategic strength of Samsung's integrated designmanufacturing model, which we believe will strongly differentiate its hardwarebusiness. Our checks indicate strong momentum in Smartphones, and weexpect Samsung to continue profitable growth in TV, Tablet, PC, Server andBase Station, driving further appreciation of brand value. Initiate with Buy.
Moore Stress = Margin Expansion in Memory. Our proprietary checks and ROICanalysis indicate DRAM contract prices are likely to increase by 30-40% while NAND pricescould increase by 15-75% from current levels. We model Samsung's memory gross marginsto expand by 2,500 bps over the next 12 months driving 300 bps of overall marginexpansion. We also continue to expect memory competitors Micron and SanDisk to benefitfrom higher gross margins due to this dynamic.
Moore Stress = Foundry Growth in ARM-based Processors. The Jefferies Global TechTeam's analysis shows that Samsung's advanced manufacturing nodes tuned for low-powerARM processors are likely to attract competitor TSMC's customers (e.g. Qualcomm, Nvidia),as TSMC struggles to stay on the leading edge. We show the incremental ARM processormarket opportunity is 6x larger than the Apple business that Samsung is at risk of losing.We also show that if Apple took its foundry business to TSMC, Apple would be more likelyto make a second foundry and architecture transition to Intel.
Strong Momentum in Smartphone. According to Gartner, Samsung took 2,000 bpsof market share in Smartphone over the last two years, and we conservatively model nomarket share expansion in CY13 and CY14. We recognize the imminent threat from low-cost Chinese Smartphones, and believe Samsung is well prepared to compete aggressively,through both its lower cost IDM model, and its ability to choose suppliers flexibly.
Valuation/RisksSamsung is trading at a P/E of 7x at the low end of its historical 7-to-11x range, suggestinginvestors are cautious. Our PT of KRW 2,100K (EV/S of 2x off our CY14 rev est) represents a P/Eof 8x off our CY14 EPS of KRW 267K, and 10x off our CY13 EPS of 216K. Samsung is currentlytrading at a discount to the KOSPI, and to its peer group of global tech companies. We expectSamsung's P/E to expand toward the high end of its range driven by higher semiconductormargins. Downside risks: deceleration in Smartphone, memory capacity additions, Forexlosses, mis-execution, geopolitical risks related to N.Korea.
Jefferies does and seeks to do business with companies covered in its research reports. As a result, investors should be aware that Jefferies may have a conflictof interest that could affect the objectivity of this report. Investors should consider this report as only a single factor in making their investment decision.Please see analyst certifications, important disclosure information, and information regarding the status of non-US analysts on pages 66 to 70 of this report.
Long Term Financial Model Drivers
LT Earnings CAGR 5-20%
Organic Revenue Growth 5-20%
Acquisition Contribution 0-5%
Operating Margin Expansion 0-5%
Other Considerations
According to Interbrand, Samsung held
the 9th most valuable global brand in
2012, with a brand value of $33B, and
Samsung’s brand value increased by 40%
in 2012.
Samsung’s shares and GDR trade in Korea
and London respectively.
1 Year Forward P/E
Source: Capital IQ, Jefferies estimates
Samsung Electronics Co. Ltd., together with its subsidiaries, designs, manufactures,
distributes, and sells finished electronic products and device solutions worldwide. It offers
consumer products, including mobile phones, tablets, and televisions; home appliances,
such as refrigerators, air conditioners, and washing machines; PC/peripherals/printers, and
memory and storage products. The company is also the world’s largest manufacturer of
integrated circuits for storing digital information, including dynamic random access
memory (DRAM), static random access memory (SRAM), NAND flash memory, and Solid
State Drives (SSDs). Samsung Electronics Co. Ltd. was founded in 1938 and is
headquartered in Seoul, South Korea.
Daily/weekly market DRAM/NAND prices.
Announcement of new Foundry customers
or products.
Industry data points related to mobile
device shipments.
Catalysts
Target Investment Thesis
Moore Stress leads to gross margin
expansion in DRAM and NAND. DRAM
ASPs increase by 30% and NAND ASPs
decrease by 5% in CY13.
Moore Stress leads to expansion of
Foundry business
Revenues grow by 18% in 2013, and 11%
in CY14
2014 EPS: KRW 267k; P/E(NTM): 8x; Target
Price: KRW 2,100k
Upside Scenario
DRAM ASPs increase by 40-50% in CY13,
NAND prices remain unchanged.
Handset ASPs remain firm, and Display
Business grows at a higher rate.
2014 EPS: KRW 297k; P/E(NTM): 8x; Target
Price: KRW 2,300k
Downside Scenario
DRAM and NAND ASPs decrease by 5-10%
in CY13.
Share loss in handsets, combined with
weakness in Display Business.
Appreciation of the Korean Won vs. major
global currencies impacts gross margins
and earnings.
2014 EPS: KRW 186k; P/E(NTM): 7x;
Target Price: KRW 1,250k
Long Term Analysis
Scenarios
Group P/Es
Source: Capital IQ, Jefferies estimates
Revenue Growth vs P/E
Source: Capital IQ, Jefferies estimates
Recommendation / Price Target
Ticker Rec. PT
005930.KS Buy KRW 2,100k
SNDK Buy $59
INTC Hold $24
AAPL Hold $420
QCOM NC
TSEC:2330 NC
000660.KS NC
LG Electronics NC
Company Description
THE LO
NG
VIE
W
Peer Group:
Samsung Electronics (005930.KS)
BUY: KRW 2,100K Price Target
005930 KS
Initiating Coverage
March 25, 2013
page 2 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Table of Contents THE NEXT BIG THING, A MOORE STRESS CASE STUDY – INITIATE WITH BUY ................................ 4
Executive Summary ...................................................................................................................... 4
[I] MOORE STRESS = MARGIN EXPANSION IN DRAM AND NAND ................................................. 7
Deceleration in Cost Reductions ................................................................................................... 9 Slower Technology Node Transitions .......................................................................................... 10 Supply Moderation ..................................................................................................................... 11 Recent Checks in Asia ................................................................................................................. 11 Industry Consolidation in DRAM ................................................................................................. 12 Price-Inelasticity ......................................................................................................................... 12 When Would New Memory Capacity be Added? ....................................................................... 16
[II] MOORE STRESS = FOUNDRY BUSINESS EXPANSION ............................................................. 18
Apple Business is Nice to Have ................................................................................................... 18 Samsung’s Leading Edge Logic Foundry Capacity Better than TSMC’s........................................ 20 Samsung’s Expertise in Manufacturing ARM Processors is a Great Set Up for Taking Share ...... 21 TSMC at Risk of Falling Off the Leading Edge .............................................................................. 23 Semiconductor “Drop-Out Zone” ............................................................................................... 25 Apple Foundry Options: Scenario Analysis ................................................................................. 27
[III] STRONG MOMENTUM IN MOBILE ...................................................................................... 29
Smartphone and Tablet Revenues, ASP and Market Share ........................................................ 29 Galaxy S4 Launch – Focus on Software ....................................................................................... 31 Expertise in ARM processors – Design & Manufacturing ............................................................ 33 Samsung Design and Manufacturing Capabilities vs. Competition ............................................. 35
[IV] BRAND VALUE APPRECIATION ............................................................................................ 36
[V] VALUATION ........................................................................................................................ 38
[VI] SAMSUNG ELECTRONICS FINANCIAL MODEL ...................................................................... 48
APPENDIX I: COMPANY INFORMATION .................................................................................... 51
APPENDIX II: BROADER IMPLICATIONS OF MOORE STRESS ....................................................... 56
More Positive on MU ($10.04, BUY) and SNDK ($55.19, BUY) .................................................... 58 More Positive on ARM LN (878.21p, BUY) – Lee Simpson .......................................................... 58 More Positive on INTC ($21.33, HOLD) Longer Term – Mark Lipacis .......................................... 58 More Cautious on AAPL ($461.91, HOLD) – Peter Misek ............................................................ 58 More Cautious on ALTR ($34.72, HOLD) and XLNX ($37.97, HOLD) – Mark Lipacis .................... 59
APPENDIX III: DECELERATION IN DRAM AND NAND COST REDUCTIONS .................................... 60
APPENDIX IV: SAMSUNG ATIV SMART PC (WIN8) – PROPRIETARY TEST RESULTS ...................... 63
005930 KS
Initiating Coverage
March 25, 2013
page 3 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
The Next Big Thing, A Moore Stress
Case Study – Initiate With Buy
Executive Summary We are initiating research coverage of Samsung Electronics with a Buy rating and a KRW
2,100K PT. We think that the technology industry is seeing the most important transitions
ever. For the first time in 40 years, semiconductor transistor costs are no longer modeled
to decline – we call this dynamic “Moore Stress.” We think that declining transistor costs
have underpinned growth in technology for 40 years, and dictated a set of specific
investing patterns that are poised to change drastically. In the Moore Stress paradigm,
we think benefits accrue to those who have the critical mass to maintain a leading edge
transistor manufacturing capability – we view Samsung as one of only two who do.
We think profit and share improvements will manifest at Samsung along three
dimensions:
1) Memory – the combination of Moore Stress, industry consolidation and
declining memory price elasticity of demand lead us to forecast Samsung’s
CY13 semiconductor gross margins to increase by 1,400 bps driven by a 2,500
bps increase in memory gross margins.
2) Foundry – Our Moore Stress framework published in Sep-12 predicted that
leading edge fabless chip companies would have to move to Samsung or Intel,
and Altera recently made that announcement. We expect Samsung to gain
share as a foundry supplier as other leading edge fabless companies shift from
TSMC.
3) Smartphone – Samsung is the only handset OEM that is also a manufacturer
of chips that go into the handset. We think that this inherently gives Samsung a
cost advantage that will manifest in both share gains and increased profitability.
Chart 1: Value Drivers
Source: Company Reports, Jefferies
Relative to Street estimates (Chart 2), we model higher gross margins in
CY13 (by 300 bps) and CY14 (by 500 bps). Our EPS estimates for CY13 and
CY14 are 10% and 24% above the Street estimates respectively. Our PT of KRW
2,100K represents a P/E of 8x applied to our CY14 EPS of KRW 267K, and a P/E of 10x
applied to our CY13 EPS of KRW 216K.
% of Revenues % YoY Gross Margins
CY11 CY12 CY13 CY14 CY12 CY13 CY13
Consumer Electronics 29% 24% 22% 21% 2% 6% +200 bps
IT & Mobile Comms 41% 54% 54% 54% 61% 14% +100 bps
Semiconductor 22% 17% 21% 23% -6% 38% +1,400 bps
Display Panel 18% 16% 14% 14% 13% -1% -
Inter-segment -10% -12% -13% -11% 47% 15% -
Segment
005930 KS
Initiating Coverage
March 25, 2013
page 4 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 2: JEF vs. Street Estimates
Source: Capital IQ, Jefferies ** Audited consolidated financial statements for 4Q12 were not available as of the date of this report
We think there are two reasons why Samsung is likely to be viewed as a more
attractive investment compared to peers in hardware (e.g. Apple, Nokia) that
depend on semiconductor components manufactured at the leading edge:
1. Samsung is able to successfully design and manufacture components (e.g.
DRAM/NAND, OLED panels) for own-branded systems, or third party customers,
and systems using organic or third party components (e.g. Galaxy branded
Smartphones that use either Samsung’s internally designed or Qualcomm-
provided application processors).
2. We expect Samsung’s Integrated Design Manufacturing (IDM) model to
translate into more differentiated products manufactured using Samsung’s own
low-power leading edge process nodes which are cost- and feature-optimized
for its own products. We expect this to manifest itself in the form of gross
margin expansion in Smartphone (we model +100 bps in CY13) and Consumer
Electronics (+200 bps), and increased brand recognition for Samsung,
particularly in the US.
While we focus on Memory and Foundry drivers in this report, we believe similar
frameworks can be applied to Samsung’s Display Panel and Digital TV businesses.
Where we could be wrong. Smartphone growth could decelerate more than
expected, or could come at lower than expected margins due to increased competition
from low-cost handsets in emerging markets. Unexpected new capacity growth in
memory could limit pricing power and margin expansion. Foundry competitor TSMC
could solve its capital and technology challenges faster than we expect by partnering with
cash-rich customers. Appreciation of the Korean Won could generate higher than
expected headwinds. Samsung could mis-execute in its technology development.
Geopolitical tensions related to N. Korea could affect valuation.
JEF Street JEF Street JEF Street
Revenues (KRW, Tr) 201 201 237 234 263 259
Gross Margins (%) 37% 37% 40% 37% 42% 37%
EBIT (KRW, Tr) 29 29 42 37 51 41
Interest Inc/Exp (KRW, Tr) 0 -1 0 0 0 -1
Tax Rate (%) 20% 20% 20% 20% 20% 20%
EPS (KRW) 154,148 154,288 216,099 196,065 266,948 215,958
CapEx (KRW, Tr) 24 23 25 21 26 24
D & A (KRW, Tr) 16 15 18 17 20 18
CY14ECY12 ** CY13E
005930 KS
Initiating Coverage
March 25, 2013
page 5 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
The chart below shows Samsung’s combined DRAM and NAND gross margins. We
believe a combination of deceleration in technology scaling (“Moore’s Law”) cost
reductions, industry consolidation, and price in-elasticity of demand, is likely to translate
into continued strength in DRAM and NAND prices.
Chart 3: Memory Gross Margin Expansion
Source: Company Reports, DRAMeXchange, Jefferies Research
Chart 4: Foundry Business Expansion
Source: Company Reports, Jefferies Research
30%
35%
40%
45%
50%
55%
60%
65%
1Q
11
2Q
11
3Q
11
4Q
11
1Q
12
2Q
12
3Q
12
4Q
12
1Q
13
E
2Q
13
E
3Q
13
E
4Q
13
E
Gro
ss M
argi
ns
(%)
Expect memory gross margins to expand by 2,500 bps over the next 12 months
0
2
4
6
8
10
12
14
16
18
20
2011 2012 2013E 2014E
Syst
em
LSI
Re
ven
ues
($
, B)
Apple Non-Apple
As pricing power increases and
industry capacity additions are
limited, we expect memory gross
margins to expand by 2,500 bps for
Samsung over the next 12 months
(2,700 bps over the next 24
months).
We believe there is upside to our
memory gross margin estimates, as
we assume DRAM ASP increase of
30%, and NAND ASP declines of 5-
15%, whereas DRAM ASPs are
actually up 50-100% YTD and NAND
ASPs are up 7-11% YTD after
increasing by 17-30% in 4Q12.
We expect minimal impact if any on
DRAM and NAND prices due to
perturbations in transitory DRAM
capacity in Taiwan, or Micron’s
conversion of DRAM capacity to
NAND.
We expect Samsung to become the
de facto foundry for low power
ARM-based processors manufactured
at leading-edge nodes. We believe
Samsung already provides some
level of foundry services for
Qualcomm, Xilinx, and others.
We model Samsung to lose ~50% of
its Apple AP business in CY14.
However, we show the incremental
market opportunity in ARM-based
processors is 6x larger than the
Apple business.
We expect Samsung to take leading-
edge foundry share from TSMC.
005930 KS
Initiating Coverage
March 25, 2013
page 6 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
[I] Moore Stress = Margin Expansion in
DRAM and NAND We believe that DRAM and NAND technology scaling cost reductions are decelerating,
due in part to delays in next-gen EUV Lithography technology. When combined with
industry consolidation in DRAM, and increasing price-inelasticity of demand in both
DRAM and NAND, we think industry fundamentals are likely to support a favorable
pricing environment for much longer than investors expect. Additionally, we believe
Samsung is aggressively shifting its product mix toward higher value-added products
such as mobile DRAM and SSD. Together, we believe these factors translate into margin
expansion.
Chart 5: 3 structural factors drive memory margin expansion
Source: Company Reports, DRAMeXchange, Jefferies Research
Chart 6: We Expect DRAM Prices to Continue to Increase
Source: DRAMeXchange, Jefferies Research
Moore Stress
Industry Consolidation
Price-inelastic Demand
+
+
Pricing Power &Margin Expansion
=
0.7
0.9
1.1
1.3
1.5
1.7
10
/31
/20
11
11
/20
/20
11
12
/10
/20
11
12
/30
/20
11
1/1
9/2
01
22
/8/2
01
22
/28
/20
12
3/1
9/2
01
24
/8/2
01
24
/28
/20
12
5/1
8/2
01
26
/7/2
01
26
/27
/20
12
7/1
7/2
01
28
/6/2
01
28
/26
/20
12
9/1
5/2
01
21
0/5
/20
12
10
/25
/20
12
11
/14
/20
12
12
/4/2
01
21
2/2
4/2
01
21
/13
/20
13
2/2
/20
13
2/2
2/2
01
33
/14
/20
13
2G
b D
DR
3 D
RA
M P
rice
($
)
Contract Price Spot Price
Expect DRAM Contract Prices to increase by 30%, after increasing by 54% YTD
DRAM spot prices have spiked up
100% and contract prices increased
by 54% from their 4Q12 troughs, in
spite of seasonal demand weakness
in Q1.
Our checks indicate DRAM contract
prices are likely to increase by ~30%
from current levels, driven by a
combination of PC-DRAM supply
cuts executed in 4Q12, and Haswell
PC builds.
We think industry fundamentals are
likely to support a favorable pricing
environment in DRAM and NAND for
much longer than investors expect.
005930 KS
Initiating Coverage
March 25, 2013
page 7 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 7: Samsung vs. Micron DRAM gross margins
Source: Company Reports, DRAMeXchange, Jefferies Research
Chart 8: Samsung vs. SanDisk and Micron NAND gross margins
Source: Company Reports, DRAMeXchange, Jefferies Research
-10%
0%
10%
20%
30%
40%
50%
60%
70%
80%
1Q
11
2Q
11
3Q
11
4Q
11
1Q
12
2Q
12
3Q
12
4Q
12
1Q
13
E
2Q
13
E
3Q
13
E
4Q
13
E
DR
AM
Gro
ss M
argi
ns
(%)
Samsung DRAM Micron DRAM
15%
20%
25%
30%
35%
40%
45%
1Q
11
2Q
11
3Q
11
4Q
11
1Q
12
2Q
12
3Q
12
4Q
12
1Q
13
E
2Q
13
E
3Q
13
E
4Q
13
E
NA
ND
Gro
ss M
argi
ns
(%)
Samsung NAND SanDisk NAND Micron NAND
We model Samsung’s DRAM gross
margins to expand by 3,100 bps
over the next 12 months (3,400 bps
over the next 24 months).
Due to its industry-leading cost
structure and product mix, we
believe Samsung would continue to
enjoy better gross margins
compared to Micron.
We expect Samsung’s DRAM ASPs
and gross margins to deliver an
upside surprise for 1Q13.
We model Samsung’s NAND gross
margins to expand by 900 bps over
the next 12 months (1,300 bps over
the next 24 months).
We expect NAND cost structures for
Samsung, SanDisk and Micron to be
relatively more equalized than DRAM
cost structures for Samsung and
Micron.
We think there is a good chance that
Samsung and SanDisk’s NAND gross
margins deliver upside surprises for
1Q13.
005930 KS
Initiating Coverage
March 25, 2013
page 8 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Deceleration in Cost Reductions The chart below captures recent statements from Micron, SKHynix and SanDisk,
indicating deceleration in DRAM cost reduction to 25-30% per year (from ~30-35%), and
in NAND cost reduction to 25-30% per year (from 30-55%). We call this phenomenon
“Moore Stress”.
Chart 9: Decelerating Cost Reductions in DRAM and NAND
Source: Micron, SanDisk, SKHynix, DRAMeXchange, Jefferies
We believe there are far-reaching implications of Moore Stress for the Semiconductor
industry, and highlight three of them below specifically for DRAM and NAND:
1. Implication # 1: Slower Technology Transitions at the Leading Edge
would allow equalization of cost structure across industry players. In the case of
Micron, we believe this means Micron would have a chance to catch up to
Samsung’s industry leading DRAM cost structure. Additionally, we think the
basis of competition would shift toward value added system-level memory
solutions, an area we believe all industry players are increasing strategic focus
on.
2. Implication # 2: More Rational Capacity Adds. As the rate of cost
reductions declines, we believe the industry’s ability to sustain lower prices
would diminish, leading to more caution in capacity additions, particularly in a
soft macro environment. Additionally, for the same level of CapEx, the portion
available for capacity additions would be lower, due to increasing capital
intensity of node transitions.
3. Implication # 3: Increased Price Stability. A combination of slower
technology transitions, and more rational capacity additions would translate
into lower supply bit growth, which would lend support to pricing.
Additionally, we believe the DRAM market has become increasingly inelastic
over the last 20 years, and the NAND market is progressing in the same
direction.
Where we could be wrong. EUV and 450mm technologies could progress faster than
we expect, and become ready for volume memory production before 2015. Memory
suppliers could achieve breakthrough technology innovations in new memory types and
structures capable of continuing the historical cadence of cost reductions and technology
transitions in DRAM and NAND. Memory suppliers could act irrationally, delaying the
effects of the structural industry changes we have discussed.
Cost Reduction YoY Historical Future D
DRAM
Micron ~30% 25-30% -250 bps
SKHynix ~33% 20-30% -800 bps
NAND
Micron ~40% 25-30% -1250 bps
SanDisk 30-55% 25-35% -1250 bps
DRAM and NAND technology scaling
cost reductions are decelerating.
As a result, we expect future capacity
adds to be more rational, and
volatility in DRAM and NAND prices to
diminish.
Consistent with our view, as
evidenced by market DRAM prices, we
believe the DRAM market is in the
process of getting rerated up. We
expect this dynamic to translate into
investors taking a more positive view
of DRAM makers.
005930 KS
Initiating Coverage
March 25, 2013
page 9 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Slower Technology Node Transitions We expect DRAM supplier roadmaps to roughly align at the 20nm node in the CY13-CY14
timeframe. Our checks indicate the transition from the current state of the art 28nm node
(Samsung) to the 20nm node is likely to take at least two intermediate steps, and at least
two years to accomplish. For the 1X node in the CY15+ timeframe, our checks indicate
that EUV is likely to be required. Our view is that EUV is likely to be delayed, and
production quality EUV is unlikely to be available before 2017. Consequently, we expect
to see a period in the DRAM industry during which all major suppliers would be at
roughly similar process nodes, which translate to similar cost structures. During this
period, we think DRAM suppliers that are able to deliver more value-added system-level
products (e.g. Server DRAM modules, Hybrid Memory Cube), are likely to generate higher
margins.
Chart 10: DRAM Roadmap
Source: DRAMeXchange, Jefferies
On the NAND side, we believe supplier roadmaps are already closely aligned at the 2Xnm
node, and we expect the alignment to continue at the 1X nm node, until the next major
process node transition in CY14+. Given decelerating NAND cost reductions, we expect
1Xnm ramps in CY13 to be slower than similar historical ramps of new process nodes.
Our checks indicate NAND technology scaling is unlikely to be dependent on EUV
availability for the next couple of years.
Chart 11: NAND Roadmap
Source: DRAMeXchange, Jefferies
DRAM Node CY12 CY13+ CY14+ CY15-CY17+
Samsung 35nm/28nm 2Ynm 2Anm 1Xnm
SKHynix 38nm/29nm 2Znm 2Bnm 1Znm
Micron 42nm/30nm 2Xnm 2Cnm 1Ynm
Elpida 30nm 2Xnm 2Cnm 1Ynm
EUV dependent?
NAND Node CY12 CY13+ CY14+ CY15+
Samsung 27nm/21nm 1Xnm
Toshiba/SanDisk 24nm/19nm 1Ynm 1Znm, 3D BiCS 3D ReRAM
SKHynix 20nm 1Xnm
Micron/Intel 20nm 1Xnm
EUV required
Contrary to the Street view which
focuses on cyclical upside in DRAM
prices, we expect to see a period in
the DRAM industry during which all
major suppliers would be at roughly
similar process nodes, which translate
to similar cost structures and
structurally more stable/favorable
pricing for much longer than investors
expect.
Given decelerating NAND cost
reductions, we expect 1Xnm ramps in
CY13 to be slower than similar
historical ramps of new process
nodes.
We believe the NAND market is
relatively more price-elastic than the
DRAM market, and expect a lower
level of price increases in NAND
compared to DRAM.
005930 KS
Initiating Coverage
March 25, 2013
page 10 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Supply Moderation
Chart 12: Memory Industry Supply Outlook
Source: Micron, SanDisk, Samsung, SKHynix, Applied Materials, LAM Research, Jefferies
Recent Checks in Asia Our recent checks in Asia indicate Samsung is likely to ramp 25nm “half-node” DRAM to
as much as 30-40% of total production by the end of the year. We believe 25nm
DRAM would use double patterning, which drives both higher costs (vs. single
patterning) and lower manufacturing yields. Samsung expects EUV to be ready
and available for DRAM production by 2015, but we are concerned there are multiple
challenges beyond just the light source, which increase the risk of delays in EUV
availability. We do not expect EUV to be available for mass production before 2017 in
DRAM and 2018 in logic.
On the NAND side, our checks suggest Apple, the largest single consumer of
NAND, is negotiating longer term contracts with suppliers, and that this is
contributing to more stability in NAND prices in an otherwise seasonally weak Q1
demand environment. At the 16nm node, we believe suppliers would be able to
reduce cost per bit by ~20%, much lower than historical cost reductions in
the 30-35% range. We expect Samsung and SanDisk to sample 16nm NAND in 3Q12.
We expect 3D NAND to be initially introduced in the market later this year, but believe it
would take until 2015 for 3D NAND to ramp in mainstream products. We believe 3D
NAND would not be able to reuse 16nm NAND equipment, and this is likely to
result in slower than usual industry investments in 16nm ramps.
We do not expect Samsung to add new NAND capacity this year. We expect SKHynix and
SanDisk to finalize their capacity decisions over the next month or so, and expect them to
take a conservative approach to capacity additions if any. Based on the pace of price
increases in DRAM relative to NAND, and our checks and ROIC analysis (see
Charts 22-24), we do not expect Micron to execute substantial DRAM wafer
capacity conversions to NAND this year. This applies primarily to new DRAM
capacity that Micron would acquire through Elpida.
Date 2013 Supply Bit
Updated Growth Outlook Industry Company Industry Company
3/21/2013 Micron 30-40% ~40% 20-30%
1/29/2013 SKHynix mid-40% ~industry mid-20% ~industry
1/24/2013 Samsung mid-40% ~industry mid-20% ~industry
1/23/2013 SanDisk (captive) 30-40% < industry
Date 2012 Supply Bit
Updated Growth Outlook Industry Company Industry Company
10/12/2012 Micron 61% 29%
10/18/2012 SanDisk (captive) 60% 80%
10/26/2012 Samsung low-60% ~ industry ~29% ~ industry
7/25/2012 SKHynix > industry ~industry
8/15/2012 Applied Materials 60-65% ~30%
7/25/2012 LAM Research ~65% ~30%
DRAMNAND
NAND DRAMWe expect DRAM supply bit growth
to decelerate to ~20-30% in CY13
from ~30% in CY12.
We expect NAND supply bit growth
to decelerate to ~40% in CY13 from
~60% in CY12, primarily due to a
combination of Moore Stress and
limited wafer capacity growth.
As supply bit growth gets cut, we
expect DRAM and NAND prices to
remain favorable longer than
investors expect.
005930 KS
Initiating Coverage
March 25, 2013
page 11 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Industry Consolidation in DRAM
Chart 13: Industry Consolidation in DRAM
Source: Company Reports, DRAMeXchange, Jefferies
Price-Inelasticity Our analysis (chart below) indicates over the last 20 years the DRAM market
has become increasingly inelastic. We note three instances (1994, 2004, 2010) when
industry DRAM ASPs increased by 3% to 20%, while DRAM bit shipments grew by 41% to
54% at the same time, highlighting negative price elasticity over those periods at the
prevailing market prices. We note that in each of those three instances, DRAM suppliers
generated higher gross margins.
Samsung
SKHynix
Elpida
Micron
Inotera
"Taiwan Inc."
Samsung
SKHynix
Micron
BEFORE 2013+After Micron’s acquisition of Elpida
closes in 1H13, the industry will be
left with three suppliers of DRAM –
Samsung, Micron, and SKHynix.
We believe independent Taiwan-
based suppliers of DRAM (e.g.
ProMos, PowerChip, Nanya) exited
the market in 4Q12, and we do not
expect these suppliers to re-enter the
market, even if DRAM prices continue
to increase in CY13. We think the
exponentially rising cost of
developing and providing leading-
edge nodes (“Moore Stress”)
combined with lack of scale, keeps
Taiwan-based suppliers out of the
market.
005930 KS
Initiating Coverage
March 25, 2013
page 12 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 14: DRAM Market Becoming Increasingly Inelastic
Source: Gartner, Jefferies
Going forward, in an environment where technology cost reductions are
decelerating, and capacity growth is moderating, we think DRAM industry
players will have less incentive to lower prices to stimulate demand.
We also think that due to stronger growth in non-commodity (non-PC) end markets
relative to PCs over the last couple of years, the mix of more price insensitive categories
such as Server, Networking/Comms, and Embedded has increased, and supports our view
that the DRAM market is becoming increasingly inelastic.
The NAND market on the other hand appears to be price elastic, although the
elasticity is in a downtrend. In other words, NAND suppliers would continue to have
an incentive to lower prices to stimulate demand and generate revenue growth.
However, we believe that after another period of hard re-learning in 1H12, NAND
suppliers are likely to be more cautious in adding wafer capacity, particularly given a
deceleration in technology scaling cost reductions in NAND.
-40
-20
0
20
40
60
80
-5
-3
-1
1
3
5
7
9
11
13
151
97
5
19
77
19
79
19
81
19
83
19
85
19
87
19
89
19
91
19
93
19
95
19
97
19
99
20
01
20
03
20
05
20
07
20
09
20
11
MU
Gro
ss M
argi
ns
(%)
DR
AM
Pri
ce E
last
icit
y
DRAM market becoming increasingly inelastic
Bits: 41%ASP: +6%
Bits: 54%ASP: +3%
Bits: 44%ASP: +20%
ElasticityTrendline
Elasticity
MU Gross Margins
005930 KS
Initiating Coverage
March 25, 2013
page 13 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 15: NAND Market Still Price Elastic, But Elasticity Decreasing
Source: Gartner, Jefferies
Chart 16: Estimating Apple’s Profits from NAND
Source: Jefferies
Chart 17: Apple Component Cost Analysis
Source: Company data, iSuppli, Jefferies Research Estimates
-
1.0
2.0
3.0
4.0
5.0
6.0
20
01
20
02
20
03
20
04
20
05
20
06
20
07
20
08
20
09
20
10
20
11
20
12
NA
ND
Pri
ce E
last
icit
y
NAND market still price elastic, although elasticity appears to be decreasing
ElasticityTrendline
Elasticity
Price Elastic Market
Price Inelastic Market
CY12 Assumptions
iPhone and iPad units (m) 200
Units upgraded to 32GB (m) 67 a third of devices upgraded
Upgrade price ($) 100
Cost of upgrade to Apple ($) 10 Apple pays market prices
Apple profit from NAND ($, m) 6,000
Apple EBIT 55,111
NAND % of Apple EBIT 11%
iPhone 4 iPhone 4S iPhone 5 iPhone 5S iPhone 6
Apps Processor 14 18 22 24 28
Baseband + Pow er Amps 22 19 30 30 30
Display 33 45 45 42 52
Assembly 8 9 10 11 12
Total 77 90 107 107 122
Delta 14 17 0 15
NAND (16GB) 27 19 10 9 8
Delta -8 -9 -1 -1
Net Impact ($) 6 8 -1 14
Net Impact (bp) 93 128 -22 224
The NAND market is still price elastic,
but the elasticity appears to be in
decline.
SanDisk’s view is that SSD and retail
categories tend to be more price
elastic compared to mobile-
embedded.
We think the Apple portion of NAND
demand (~50% of NAND market) is
inelastic.
In this framework we assume only a
third of all iOS devices are upgraded
to 32GB NAND (from 16GB).
We further assume that Apple does
not get preferential pricing for NAND.
Clearly, if Apple received preferential
pricing, for both upgrades and the
base 16GB NAND content in iOS
devices, NAND would represent more
than 11% of Apple’s profits.
Jefferies AAPL analyst Peter Misek
believes Apple has been able to add
functionality by using NAND price
declines to subsidize other costs.
However, as NAND price declines
moderate, and iPhone 6 uses a pricier
AP manufactured at TSMC, Apple is
likely to face a 200+ bps gross margin
headwind in iPhone.
005930 KS
Initiating Coverage
March 25, 2013
page 14 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
DRAM Prices Spiked Up, NAND Prices Also Increasing According to DRAMeXchange, DRAM Spot Prices shot up 100% off the bottom, and are
now tracking up ~60% YTD. DRAM Contract Prices are also up 54% YTD, and we expect
them to appreciate by ~30% from current levels. NAND Contract Prices are up 7% YTD
after increasing by 17% in 4Q12. NAND Spot Prices are tracking up 11% YTD after
increasing by 31% in 4Q12.
Chart 18: DRAM Contract Prices (2Gb DDR3)
Source: DRAMeXchange, Jefferies
Chart 19: NAND Contract Prices (64Gb MLC)
Source: DRAMeXchange, Jefferies
Chart 20: DRAM Spot Prices (2Gb DDR3)
Source: DRAMeXchange, Jefferies
Chart 21: NAND Spot Prices (64Gb MLC)
Source: DRAMeXchange, Jefferies
0.8
0.9
1
1.1
1.2
1.3
1.4
10
/31
/20
11
11
/20
/20
11
12
/10
/20
11
12
/30
/20
11
1/1
9/2
01
22
/8/2
01
22
/28
/20
12
3/1
9/2
01
24
/8/2
01
24
/28
/20
12
5/1
8/2
01
26
/7/2
01
26
/27
/20
12
7/1
7/2
01
28
/6/2
01
28
/26
/20
12
9/1
5/2
01
21
0/5
/20
12
10
/25
/20
12
11
/14
/20
12
12
/4/2
01
21
2/2
4/2
01
21
/13
/20
13
2/2
/20
13
2/2
2/2
01
33
/14
/20
13
2G
b D
DR
3 D
RA
M C
on
trac
t P
rice
($
) DRAM Contract Prices up 54% YTD.
3.5
4
4.5
5
5.5
6
12
/31
/20
11
1/3
0/2
01
2
2/2
9/2
01
2
3/3
0/2
01
2
4/2
9/2
01
2
5/2
9/2
01
2
6/2
8/2
01
2
7/2
8/2
01
2
8/2
7/2
01
2
9/2
6/2
01
2
10
/26
/20
12
11
/25
/20
12
12
/25
/20
12
1/2
4/2
01
3
2/2
3/2
01
3
3/2
5/2
01
3
64
Gb
MLC
NA
ND
Co
ntr
act
Pri
ce (
$) NAND Contract Prices increased
by 17% in 4Q12, and are tracking up 7% YTD.
0.70
0.90
1.10
1.30
1.50
1.70
12
/1/2
01
1
12
/31
/20
11
1/3
0/2
01
2
2/2
9/2
01
2
3/3
0/2
01
2
4/2
9/2
01
2
5/2
9/2
01
2
6/2
8/2
01
2
7/2
8/2
01
2
8/2
7/2
01
2
9/2
6/2
01
2
10
/26
/20
12
11
/25
/20
12
12
/25
/20
12
1/2
4/2
01
3
2/2
3/2
01
3
3/2
5/2
01
3
2G
b D
DR
3 D
RA
M S
po
t P
rice
($
)
DRAM spot prices spiked up 100% off the bottom
3
3.5
4
4.5
5
5.5
6
6.5
7
12
/30
/20
11
1/2
9/2
01
2
2/2
8/2
01
2
3/2
9/2
01
2
4/2
8/2
01
2
5/2
8/2
01
2
6/2
7/2
01
2
7/2
7/2
01
2
8/2
6/2
01
2
9/2
5/2
01
2
10
/25
/20
12
11
/24
/20
12
12
/24
/20
12
1/2
3/2
01
3
2/2
2/2
01
3
3/2
4/2
01
3
64
Gb
MLC
NA
ND
Sp
ot
Pri
ce (
$) NAND Spot Prices
increased by ~31% in 4Q12, and are tracking up 11% YTD.
005930 KS
Initiating Coverage
March 25, 2013
page 15 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
When Would New Memory Capacity be Added?
Chart 22: NAND ROIC Framework
Source: Company Reports, DRAMeXchange, Jefferies
Chart 23: NAND ROIC Framework for Micron / Rexchip
Source: Company Reports, DRAMeXchange, Jefferies
Year 0 Year 1 Year 2 Year 3 Year 4 Year 5
Free Cash flow ($, m) -500 149 149 149 149 149
NPV 0
IRR 15%
Economics of Incremental Capacity
Size of increment (WSPM) 10,000 20nm NAND
Cost of increment ($, m) 500 source: DRAMeXchange, InSpectrum
Wafer processing cost per wafer ($) 1,400 source: DRAMeXchange
Gross die per wafer (64Gb die) 475 source: DRAMeXchange
Yield rate 80% source: DRAMeXchange
Good die per wafer (64Gb die) 380
NAND output (GB) per wafer 3,040
NAND output per year (GB, m) 365
Wafer Processing Cost per GB 0.46
Back-end processing cost per GB 0.05 source: DRAMeXchange
Manufacturing Cost per GB 0.51
OpEx per GB 0.23 source: SanDisk CY10-CY12 results
Total Cost per GB 0.74
EBIT per GB needed ($) 0.41
ASP per GB needed 1.15
Market ASP per GB 0.67 source: DRAMeXchange
NAND ASP needs to appreciate by: 73%
Year 0 Year 1 Year 2 Year 3 Year 4 Year 5
Free Cash flow ($, m) -45 14 14 14 14 14
NPV 0
IRR 15%
Economics of Incremental Capacity
Size of increment (WSPM) 10,000 20nm NAND
Cost of increment ($, m) 45 source: DRAMeXchange, InSpectrum
Wafer processing cost per wafer ($) 1,400 source: DRAMeXchange
Gross die per wafer (64Gb die) 475 source: DRAMeXchange
Yield rate 80% source: DRAMeXchange
Good die per wafer (64Gb die) 380
NAND output (GB) per wafer 3,040
NAND output per year (GB, m) 365
Wafer Processing Cost per GB 0.46
Back-end processing cost per GB 0.05 source: DRAMeXchange
Manufacturing Cost per GB 0.51
OpEx per GB 0.23 source: SanDisk CY10-CY12 results
Total Cost per GB 0.74
EBIT per GB needed ($) 0.04
ASP per GB needed 0.78
Market ASP per GB 0.67 source: DRAMeXchange
NAND ASP needs to appreciate by: 17%
Our proprietary analysis indicates
NAND prices would need to increase
by 73% from current levels to support
a 15% IRR for incremental wafer
capacity investments by NAND
manufacturers.
Micron has indicated it would partially
or completely convert all of Rexchip’s
DRAM capacity to NAND, upon
closing its Elpida acquisition. Our
analysis indicates NAND prices would
need to increase by 25% from current
levels to support a 15% IRR for such a
conversion by Micron (see the next
chart).
The price levels we are referring to
here would need to be sustained for a
period of several years, for the ROIC to
be realized. We are not referring to
one-time or temporary price increases
in this framework.
Our analysis indicates NAND prices
would need to increase by 17% from
current levels to support a 15% IRR for
Micron’s conversion of Rexchip’s
DRAM capacity to NAND.
We believe Micron’s cost for
converting existing DRAM capacity to
NAND would be roughly 5-10% of the
cost of adding equivalent new NAND
capacity.
After Micron’s Rexchip conversion to
NAND is completed, NAND prices
would need to increase further to a
level that is 73% higher than current
prices, before the industry can add
additional NAND capacity at a 15%
IRR.
005930 KS
Initiating Coverage
March 25, 2013
page 16 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 24: DRAM ROIC Framework
Source: Company Reports, DRAMeXchange, Jefferies
At its C1Q13 earnings call on 3/21/13, Micron made it clear it would pursue engineering
efforts to prepare for conversion of certain DRAM capacity to NAND, but that it had not
actually made a decision about a specific timing or magnitude of such conversion. We
note any conversion from DRAM to NAND would take up to 6 months to become
effective, and during that period Micron would be unable to benefit from DRAM prices
rising faster than NAND.
Year 0 Year 1 Year 2 Year 3 Year 4 Year 5
Free Cash flow ($, m) -500 150 150 150 150 150
NPV 0
IRR 15%
Economics of Incremental Capacity
Size of increment (WSPM) 10,000 2Xnm DRAM
Cost of increment ($, m) 500 source: DRAMeXchange, InSpectrum
Wafer processing cost per wafer ($) 1,250 source: DRAMeXchange
Gross die per wafer (4Gb die) 1,300 source: DRAMeXchange
Yield rate 85% source: DRAMeXchange
Good die per wafer (4Gb die) 1,105
DRAM output (GB) per wafer 553
DRAM output per year (GB, m) 66
Wafer Processing Cost per GB 2.26
Back-end processing cost per GB 0.80 source: DRAMeXchange
Manufacturing Cost per GB 3.06 commodity DRAM
OpEx per GB 1.91 source: Samsung, DRAMeXchange
Total Cost per GB 4.97
EBIT per GB needed ($) 2.26
ASP per GB needed 7.23
Market ASP per GB 5.12 source: DRAMeXchange
DRAM ASP needs to appreciate by: 41%
Our proprietary analysis indicates
DRAM prices would need to increase
by 41% from current levels to
support a 15% IRR for DRAM makers
to add incremental wafer capacity.
DRAM prices have been rising faster
than NAND prices. If this continues
to be the case, Micron and other
DRAM makers would be more likely
to keep their DRAM capacity
unchanged and delay any planned
conversions to NAND.
The price levels we are referring to
here would need to be sustained for
a period of several years, for the
ROIC to be realized. We are not
referring to one-time or temporary
price increases in this framework.
005930 KS
Initiating Coverage
March 25, 2013
page 17 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
[II] Moore Stress = Foundry Business
Expansion
Apple Business is Nice to Have Our conversations with investors indicate most expect Apple to partially or completely
transition its AP business from Samsung to TSMC. We model Samsung to lose ~50% of its
Apple AP business in CY14. However, we show the incremental market opportunity in
ARM-based processors is 6x larger than the Apple business.
Chart 25: Apple Business is Nice to Have
Source: Company Reports, Jefferies Research
Chart 26: Smartphone Application Processor Opportunity
Source: Gartner, Company Reports, Jefferies Research
(units, m)Apple
Business
Samsung
Business
Incremental
Non-Apple
Opportunity
As of
Total 202 132 1277
Smartphone AP 136 115 479 CY12
Tablet AP 66 17 35 CY12
Baseband Processor 0 0 730 CY12
Server Processor 0 0 33 CY16E
(millions of units) 2012
Smartphone application processor (AP) shipments 730
Apple 136
Samsung 230
Rest of the market 364
Samsung Foundry AP shipments 251
Apple 136
Samsung 115
Rest of the market 479
We show the incremental foundry
market opportunity in ARM-based
processors is 6x larger than the size
of Samsung’s Apple AP business.
We believe Samsung currently
manufactures 100% of Apple’s APs,
but only 40-50% of APs used in
Samsung phones and tablets.
We show the incremental foundry
opportunity for Samsung AP, and
non-Apple AP is almost 2x the size of
Samsung’s current AP foundry
business.
005930 KS
Initiating Coverage
March 25, 2013
page 18 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
For the purposes of this analysis we assume that baseband processors (BP) either use ARM
cores within their design, or would otherwise benefit from Samsung’s manufacturing
process nodes optimized for low power ARM processors.
Chart 27: Smartphone Baseband Processor Opportunity
Source: Gartner, Company Reports, Jefferies Research
Chart 28: Tablet Application Processor Opportunity
Source: Gartner, Company Reports, Jefferies Research
In the next chart, we provide a framework to size the foundry opportunity for ARM-based
server processors. We believe there are two important industry trends that are likely to
allow 64bit ARM-based server processors to penetrate a market which has long been
dominated by x86, and more specifically Intel – 1) rapid growth in Cloud Data Center,
and 2) emergence of open standards for Software Defined Networking (SDN).
(millions of units) 2012
Smartphone baseband processor (BP) shipments 730
Apple 136
Samsung 230
Rest of the market 364
Samsung Foundry BP shipments 0
Apple 0
Samsung 0
Rest of the market 730
(millions of units) 2012
Tablet application processor (AP) shipments 118
Apple 66
Samsung 17
Windows on x86
Rest of the market 35
Samsung Foundry AP shipments 83
Apple 66
Samsung 17
Rest of the market 35
We believe Samsung does not
currently manufacture a significant
portion of baseband processors (BP)
within its foundry.
Consequently we show the foundry
market opportunity in BP is large.
We believe Samsung currently
manufactures 100% of the APs used
in Apple iPads, and all of the APs
used in Samsung Tablets.
We show the incremental foundry
market opportunity in Tablet APs is
~50% of Samsung’s current Tablet
AP foundry business, but we believe
this estimate is conservative given
we expect Samsung to take share in
Tablets in CY13 and CY14.
005930 KS
Initiating Coverage
March 25, 2013
page 19 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Using Cavium’s Octeon II (32 MIPS cores) and Octeon III (48 MIPS cores) processors as an
example, we note that future ARM-based server processors (such as Cavium’s Thunder)
are likely to integrate equivalent or a higher number of ARM cores. In estimating
Samsung’s foundry opportunity in Chart 29 below, we assume each ARM-based server
processor integrates 10 ARM cores (“conversion factor” of 10x), and Samsung is able to
achieve 50% market share.
In addition to potentially serving as the de facto foundry for ARM-based
server processors, our checks indicate Samsung is also likely to design and
manufacture its own ARM-based server processors, and build Servers using its
organically produced processors.
Chart 29: Server Processor Opportunity
Source: Gartner, Company Reports, Jefferies Research
Samsung’s Leading Edge Logic Foundry Capacity Better than TSMC’s
Chart 30: Existing Advanced Node (45nm, 32/28nm) Foundry Capacity
Source: Gartner 3Q12 data, Company Reports, Jefferies Research
(millions of units) 2012 2016E
Server processor shipments 18 22
x86 based 18 15
ARM based 0 7
Samsung Foundry Server processor shipments
x86 based 0 0
ARM based 0 3
conversion factor 10
Effective ARM processor cores 33
(WSPM) 40/45nm 28/32nm Total
Samsung 40,000 160,000 200,000
TSMC 255,000 95,000 350,000
UMC 81,000 20,000 101,000
Global Foundries 55,000 50,000 105,000
Total 431,000 325,000 756,000
Samsung % of Total 9% 49% 26%
We believe ARM-based server
processor is likely to become a
growth category starting in the next
12 to 18 months, driven by rapid
expansion of Cloud-based workloads
and a resulting shift in underlying
processor architecture requirements.
We estimate the ARM-based server
processor opportunity in CY16
would be 33m units of equivalent
ARM-based AP cores, and believe our
estimate is conservative.
We show that Samsung already
represents ~50% of leading edge
(28/32nm) foundry capacity.
005930 KS
Initiating Coverage
March 25, 2013
page 20 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Samsung’s Expertise in Manufacturing ARM Processors is a Great Set Up for Taking Share
Chart 31: Foundry Technology Roadmap
Source: Company Reports, Jefferies Research
Chart 32: Process Nodes Converging on Low Power – TSMC
Source: Company Reports, Jefferies Research
process node (nm) 2012 2013 2014 Target Applications
Samsung 32/28 28/20 28/20/14
Gate first,
HKMG
Gate first,
HKMG
Gate first,
FinFET
TSMC 40/28 28/20 28/20/16
Gate last,
HKMG
Gate last,
HKMG
Gate last,
FinFET
Intel 22 22/14 14
Gate last,
FinFET
Gate last,
FinFET
Gate last,
FinFET
Global Foundries 32/28 28/20 28/20/14
Gate first,
HKMG
Gate first,
HKMG
Gate first,
FinFET
ARM-MPU
(mobile and server)
x86 MPU, ARM-MPU,
GPU, FPGA, Baseband,
etc.
x86 MPU and chipset
x86 MPU and chipset,
GPU, etc.
55/40nm 28nm 20nm 16/14nm
HKMG HKMG FinFET
CLN65/55GP CLN28HP
CLN40G
CLN28HPM CLN20SOC CLN16FF
CLN65/55LP CLN28HPL
CLN45/40LP
CLN40LPG CLN28LP
High
Performance
Low Power
TSMC
We believe the most important
attribute that differentiates
Samsung’s manufacturing
capabilities compared to TSMC,
Global Foundries and Intel, is that its
process nodes are optimized for low
power ARM-based processors.
Consequently we believe that
Samsung is likely to become the de
facto foundry for ARM-based
processors going forward.
As a result of Moore Stress, we
believe TSMC is likely to focus its
process development efforts at each
future advanced node geometry on
a single node that emphasizes low
power consumption versus high
performance.
We expect this dynamic to translate
into sub-optimal process parameters
for companies like Altera, Xilinx and
Nvidia, that require high
performance.
005930 KS
Initiating Coverage
March 25, 2013
page 21 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 33: Process Nodes Converging on Low Power – Global Foundries
Source: Company Reports, Jefferies Research
Chart 34: Process Nodes Converging on Low Power – Advantage Samsung
Source: Company Reports, Jefferies Research
Chart 35: 14nm FinFET Progress
At the Common Platform Technology conference earlier this year, Samsung
reported it had taped out test chips on 14nm, and that its design infrastructure
was ready. Samsung noted it was working with Synopsys, ARM, Cadence, and
Mentor Graphics to ensure the availability of EDA tools for existing and new
foundry customers. Samsung noted it was accepting Multi-Project Wafer (MPW)
orders from new customers for its 14nm node, and was planning validation and
delivery to be completed in 2013.
Samsung is confident it would be able to provide sufficient foundry capacity for
its existing and new customers through a combination of: 1) S1 Fab in Korea, 2)
S2 Fab in Austin (used to be a memory fab. Samsung converted phase 1 to logic
in 2011, and started converting phase 2 to logic in 2012. Samsung expects to
start producing wafers this year), 3) NRD Fab in Korea (R&D fab with fast
turnaround times), and 4) a new fab in Korea focused on 20nm and 14nm
products targeted for late-2014 to early-2015, depending on market demand.
Source: Company Reports, Common Platform Technology Conference, Jefferies Research
32/28nm 20nm 14nm
HKMG HKMG FinFET
High Performance 28HPP
28SLP 20LPM 14XM
Low Power
28LPS
Global Foundries
32/28nm 20nm 14nm
HKMG HKMG FinFET
LPP
Low Power
LPH
Samsung
As a result of Moore Stress, we
believe Global Foundries is likely to
focus its process development efforts
at each future advanced node
geometry on a single node that
emphasizes low power consumption
versus high performance.
We expect this dynamic to translate
into sub-optimal process parameters
for companies like Altera, Xilinx and
Nvidia, which require high
performance.
We believe Samsung has focused on
optimizing its process technology for
low power ARM-based processors,
and we expect Samsung’s focus on
low power to continue.
At the Common Platform
Technology conference earlier this
year, Samsung reported it was
accepting MPW orders from new
foundry customers for its 14nm
FinFET node, and was confident it
would be able to provide sufficient
foundry capacity for existing and
new customers.
005930 KS
Initiating Coverage
March 25, 2013
page 22 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
TSMC at Risk of Falling Off the Leading Edge Costs Growing Exponentially. In the chart below, we used data from Intel, Global
Foundries and TSMC to estimate the cost of building a leading edge semiconductor
manufacturing fab over time. The data shows that this cost has been growing
exponentially.
Chart 36: Cost of Building a Leading Edge Semiconductor Factory
Source: Reports and press releases from Intel, TSMC and Global Foundries
Fewer Companies Driving CapEx Spend. The higher costs have resulted in fewer
companies able to afford to build a leading edge factory. According to data we collected
from Gartner, only 3 semiconductor companies account for 50% of global semiconductor
CapEx, down from 10 at the beginning of the decade.
Chart 37: Number of Semiconductor Companies Accounting for 50% of
CapEx
Source: Gartner, CapIQ, Jefferies Research
$1.3 $1.5 $1.7$2.3
$3.0$3.8
$4.4
$7.4
$10.0
y = 0.8729e0.255x
R² = 0.9761
$0
$2
$4
$6
$8
$10
$12
1997 1999 2001 2003 2005 2007 2009 2011 2013E
Co
st o
f to
Bu
ild L
ead
ing
Edge
Se
mic
on
du
cto
r Fa
cto
ry (
$ B
illio
ns)
Exponential Trend Line Fit
0
2
4
6
8
10
12
19
99
20
00
20
01
20
02
20
03
20
04
20
05
20
06
20
07
20
08
20
09
20
10
20
11
20
12
E
# o
f Se
mi C
om
pan
ies
Spe
nd
ing
50
% o
f W
W
Sem
i Cap
ex
TXN enteredhybrid fab strategy, 5/22/07
Renesas announced fablite strategy, 7/30/10
LSI adopts fabless strategy, 9/13/05
AMD goes fabless, 10/07/08
GlobalFoundries acquired Chartered Semi, 9/07/09
Elpida files for bankruptcy protection, 02/27/12
Toshiba's Logic unit goes fablite, 12/24/10
Infineon affirms move to go fablite, 12/01/05
The cost of building a leading edge
semiconductor manufacturing facility
has been increasing exponentially
over time
Fewer companies can afford to build
leading edge factories. Only 3
semiconductor companies (Intel,
Samsung, TSMC) account for 50% of
industry capex, down from 10 at the
beginning of the decade.
005930 KS
Initiating Coverage
March 25, 2013
page 23 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Semiconductor Manufacturing Shake-Out. The higher costs have caused
Integrated Semiconductor Device Makers (IDMs) to fall off the leading edge. According
to Handel Jones from International Business Strategies, only 5 companies are supporting
leading edge manufacturing capabilities today, down from nearly 20 a decade ago.
Chart 38: Semiconductor Manufacturers on Leading Edge Manufacturing
Process Over Time
Source: Handel Jones, IBS Consulting. http://www.ibs-inc.net/
The number of semiconductor
companies with factories at the leading
edge has declined to 5, from nearly 20
at the beginning of the decade
005930 KS
Initiating Coverage
March 25, 2013
page 24 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Semiconductor “Drop-Out Zone” Revenues = 0.8x-to-2.0x Cost of Leading Edge Factory. For each semiconductor
manufacturer that dropped off the leading edge (as shown in Chart 38), we divided
revenues during the last year they were on the leading edge by our estimated cost to
build a leading edge factory during that year.
The chart below shows that semiconductor manufacturers typically drop off the leading
edge when their revenues equal 0.8x-to-2.0x the cost to build a leading edge
semiconductor manufacturing facility.
Chart 39: Semis Typically Stop Building Leading Edge Factories when
Revenues are 0.8x-to-2.0x cost of Leading Edge Fab
Source: IBS, Gartner, CapIQ, Jefferies Research
In Chart 40, we show a temporal representation of the data in Chart 39. We plotted
semiconductor manufacturer revenues over time, and how they compared to the cost of
building a leading edge semiconductor manufacturing facility (blue line with diamond
markers). Our estimated future costs for building a leading edge factory (represented by
the dashed, blue line with diamond markers), was calculated by fitting an exponential
curve to the data in Chart 36.
We also put on the graph what we label as the “Drop-Out Zone,” highlighted by the two
upwardly sloping red lines. We define the “Drop-Out Zone” as 0.8x-to-2.0x the cost to
build a leading edge factory, and is typically the revenue range at which semiconductor
manufacturers can no longer support building a leading edge factory (see Chart 40).
Company
Last Year on
Leading Edge
Semi Revs/Cost of Leading Edge
Fab. (Ratio of When They Stopped
Building Leading Edge Fabs)
SONY 2003 1.4
Infineon* 2003 3.2
Freescale 2005 1.8
Renesas 2005 1.8
NEC 2005 1.9
AMD 2007 1.6
TI-Baseband 2006 1.6
UMC 2007 0.9
Fujitsu 2007 0.7
Panasonic 2007 1.1
Toshiba* 2007 3.2
IBM 2009 0.5
Average 1.7
Average ex-memory 1.3
Range of "Drop-out Zone" 0.8 - 1.9
005930 KS
Initiating Coverage
March 25, 2013
page 25 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 40: Semiconductor Manufacturer Revenues vs. Leading Edge “Drop-Out Zone” Represented by 0.8x-to-2.0x the
Cost to Build a Leading Edge Manufacturing Capability
Source: Gartner, CapIQ, Jefferies Research . *Matsushita's name was changed to Panasonic in 2003. *Renesas combined Mitsubishi and Hitachi in 2003 and merged with NEC in 2009. *Globalfoundries combined revenue of Chartered Semiconductor in 2009. TXN revenues assumes DSP, ASICs, and RISC CPUs. Samsung Semiconductor includes internal and external semiconductor revenues.
Chart 40 leads us to the following observations:
1) Samsung Semiconductor and Intel are the only two companies well above the
“Drop-Out Zone.” We expect these two companies to have the critical mass of
revenues required to support the building of leading edge factories for at least
the next several manufacturing process nodes.
2) TSMC is close to the upper end of the “Drop-Out Zone.” We think it is
imperative for TSMC to win a big customer like Apple for it to retain scale
required to justify building leading edge factories.
3) Global Foundries is operating below the “Drop-Out Zone,” and in investment /
customer acquisition mode. We expect it to be aggressive with spending and
pricing.
4) STM is in the middle of the “Drop-Out Zone;” we don’t expect it to maintain a
critical mass of revenues to support leading edge factory capabilities for much
longer.
$1
$10
$1002
00
1
20
02
20
03
20
04
20
05
20
06
20
07
20
08
20
09
20
10
20
11
20
12
20
13
E
20
14
E
20
15
E
20
16
E
20
17
E
Rev
en
ue
s o
r C
ost
fo
r Le
adin
g Ed
ge F
ab (
$ b
illio
ns)
INTC
"Drop-Out Zone" -Revenue Level at which Semis have stopped building leading edge Fabs
AMD
STM
Renesas
NECFSL
SONY Fujitsu
UMC
NXPI
Upper End of "Drop-Out Zone." Revs ~2.0x cost of leading edge Fab
Lower End of "Drop-Out Zone." Revs ~0.8x cost of leading edge Fab
Cost to build leading edge Fab. 2013-2017 forecasted based on exponential fit of historical Fab costs
"X" denotes last year companies were on leading edge manufacturing
IBM
Samsung Semiconductor
GF+ CHRT
TXN
005930 KS
Initiating Coverage
March 25, 2013
page 26 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Apple Foundry Options: Scenario Analysis
Chart 41: Apple Foundry Scenarios
Source: Jefferies Research
Chart 42: Apple Foundry Scenario 1 Timeline
Source: Jefferies Research
Scenario 1: 50% TSMC in CY14 Scenario 2: 100% TSMC in CY14 Scenario 3: 0% TSMC in CY14
Probability: 50% Probability: 20% Probability: 30%
Operational Risk
for Apple
2 different foundries translates to two
process flows for manufacturing, and
increases operational risk for Apple,
particularly on the TSMC side
high likelihood that TSMC is unable to
deliver good enough manufacturing
for Apple
status quo maintains operational risk
profile for Apple and Samsung
Apple's Cost of
Manufacturing,
Gross Margins
high likelihood that Samsung raises
prices to Apple. This would raise
Samsung's own gross margins and
lower Apple's gross margins
TSMC's model has been 50% gross
margins, while we believe Samsung
was accepting ~30% gross margins
from Apple -- net, we think Apple pays
higher prices for manufacturing at
TSMC
high likelihood that Samsung raises
prices to Apple. This would raise
Samsung's own gross margins and
lower Apple's gross margins
Samsung's
capacity under-
utilization risk
Samsung can backfill its capacity
vacated by Apple relatively easily
it could take some time for Samsung
to backfill all the capacity vacated by
Apple
low
Overall Risk to
Applemedium to high risk for Apple high risk for Apple low risk for Apple
Overall Risk to
Samsunglow risk for Samsung low to medium risk for Samsung low risk for Samsung
Ultimate
Outcome
Apple is pushed to make another
foundry transition from TSMC to Intel
Apple is pushed to make another
foundry transition from TSMC to IntelApple pays higher prices to Samsung
Scenario 1 2013 2014 2015 2016 2017
Samsung 100% 50-75% 0-25% ~0% ~0%
32nm 32nm 32nm 32nm 32nm
TSMC 0% 25-50% 50-100% 75-100% 25-75%
28nm 20nm 20nm/16nm 20nm/16nm 20nm/16nm
Intel 0% 0% 0-25% 0-50% 25-75%
22nm 14nm 14nm 14nm/10nm 10nm
The Jefferies Global Tech team
believes that Scenario 1 carries the
highest probability, though Scenario 3
would actually represent the lowest
risk for both Samsung and Apple.
005930 KS
Initiating Coverage
March 25, 2013
page 27 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 43: Apple Foundry Scenario 2 Timeline
Source: Jefferies Research
Regardless of which Scenario Apple and Samsung decide to pursue, we think
it is likely to translate into foundry gross margin expansion for Samsung.
Our conversations with Samsung suggest Apple is likely to make a gradual
foundry transition to TSMC in CY14, potentially more meaningfully in 2H14.
We believe Samsung currently provides a full turnkey manufacturing solution for Apple,
from design to fab, assembly and testing. Upon moving its business to TSMC, we would
expect Apple’s cost of manufacturing to increase, and for this dynamic to provide
Samsung a cost advantage relative to Apple in the mobile market.
Our conversations also indicate Samsung has lined up multiple foundry
customers who would be able to backfill the capacity vacated by Apple in
2H14. Furthermore, we believe Samsung is likely to increase the use of its internal APs
within its Smartphone in 2H14 to the extent that more than 50% of Samsung
Smartphones could have a Samsung AP starting in that timeframe, from ~40-50% in CY12
and CY13.
We believe Samsung has an internal LTE solution, and its recent acquisition of CSR
provides connectivity solutions. Over time we would expect Samsung to also
develop an internal 3G baseband solution, and ultimately manufacture
Samsung baseband processors on its advanced process nodes. Until then, we
expect Samsung to continue using Qualcomm’s baseband solutions, and for an
increasing portion of all of Qualcomm’s chips to be manufactured at Samsung’s foundry.
We note Qualcomm is one of competitor TSMC’s largest customers, and the expanding
Samsung-Qualcomm relationship would translate into direct foundry share loss for TSMC.
Scenario 2 2013 2014 2015 2016 2017
Samsung 100% 0% 0% 0% 0%
32nm 32nm 32nm 32nm 32nm
TSMC 0% 100% 75-100% 50-75% 0-50%
28nm 20nm 20nm/16nm 20nm/16nm 20nm/16nm
Intel 0% 0% 0-25% 25-50% 50-100%
22nm 14nm 14nm 14nm/10nm 10nm
We assign the lowest probability to
Scenario 2 primarily due to
technological and execution
challenges involved in this approach.
005930 KS
Initiating Coverage
March 25, 2013
page 28 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
[III] Strong Momentum in Mobile The IT and Mobile Communications business has experienced explosive growth over the
last two years driven by Smartphone, and our checks indicate there is strong momentum
for continued growth. Investors are concerned about increased competition from low-
cost handsets promoted by companies like Huawei and ZTE, and we believe this is
reflected in the lower valuation multiple Samsung is trading at. We believe due to its
integrated design manufacturing strategy and expertise, Samsung is the best positioned
among handset/smartphone makers to address the evolving needs of the market and
expect Samsung to continue to profitably dominate the market with a broad range of
products.
Smartphone and Tablet Revenues, ASP and Market Share
Chart 44: IT and Mobile Communications Revenue Mix
Source: Company Reports, Jefferies Research
Chart 45: Handset Revenues and ASP
Source: Company Reports, Gartner, Jefferies Research
CY11 CY12 CY13E CY14E CY12 CY13E CY14E
Mobile 32% 46% 47% 47% 74% 14% 16%
IT & Imaging 8% 8% 7% 7% 11% 8% 7%
Total 41% 54% 54% 54% 61% 14% 15%
% of Total Revenues % YoY
0
20
40
60
80
100
120
100
120
140
160
180
200
220
240
CY2
01
0
CY2
01
1
CY2
01
2
CY2
01
3E
CY2
01
4E
Rev
en
ue
s ($
, B)
ASP
($
)
Revenues ASP
We estimate Samsung took ~2,000
bps of share in Smartphone in the
last two years. We conservatively
model no market share expansion
for Samsung in CY13 and CY14.
We note Samsung’s ASPs increased
by 50-100% from CY2010 to
CY2012, driven by increasing mix of
Smartphone shipments, and within
that a higher portion of premium
Smartphones. We conservatively
model Samsung’s handset ASPs to
remain stable in CY13 and CY14.
We expect the IT and Mobile Comms
business to grow by 14% in CY13
and 16% in CY14, and believe our
expectations are conservative, and in
line with the Street’s
005930 KS
Initiating Coverage
March 25, 2013
page 29 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 46: Smartphone vs. Feature Phone Mix
Source: Company Reports, Gartner, Jefferies Research
Chart 47: Tablet Revenues and ASP
Source: Company Reports, Gartner, Jefferies Research
0%
10%
20%
30%
40%
50%
60%
70%
80%
90%
100%
CY2
00
7
CY2
00
8
CY2
00
9
CY2
01
0
CY2
01
1
CY2
01
2
CY2
01
3E
CY2
01
4E
Smartphone Feature Phone
0
2
4
6
8
10
12
14
16
18
100
150
200
250
300
350
400
450
500
CY2
01
1
CY2
01
2
CY2
01
3E
CY2
01
4E
Rev
en
ue
s ($
, B)
ASP
($
)
Revenues ASP
The chart shows that Samsung’s
Smartphone mix increased
dramatically from CY2010 to CY2012
driving higher ASPs, Revenues, and
Profits.
We estimate Smartphones represent
50-70% of Samsung’s overall
operating profits.
We estimate each point of
Smartphone unit market share
represents roughly 1-2% of EPS for
Samsung.
We model Samsung’s Tablet
revenues to roughly double in CY13,
and grow by ~30% in CY14.
We expect Tablet ASPs to decline at
~5% per year in CY13 and CY14.
005930 KS
Initiating Coverage
March 25, 2013
page 30 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 48: Tablet Units and Market Share
Source: Company Reports, Gartner, Jefferies Research
Galaxy S4 Launch – Focus on Software
Chart 49: Galaxy S4 Launch Summary
Mr. JK Shin, Head of IT and Mobile Communications, launched the Galaxy S4 at
Radio City Music Hall in New York
Key hardware features highlighted included: large 5” display, slimmer/lighter
than the Galaxy S3, higher resolution cameras, 8 sensors including temperature,
humidity and infrared support, support for LTE including TD-LTE, and a larger
and removable battery
Key usage models and software highlighted included: using front and rear
cameras simultaneously, recording sound with pictures, smart scroll (gesture
support), air view (touch-less navigation), S Translator, group play, S Health,
Knox (enterprise and personal usage), and HomeSync
Samsung’s launch event featured a cast of Broadway artists that demonstrated
virtually every new feature added to the S4 through real-life usage scenarios,
including: drama shot and eraser, air view, S Translator with support for 9
languages (both text to speech and speech to text), adaptive display, story
album, HomeSync, S Voice Drive, phone switch program, operation with gloves
on, Samsung Hub, Knox, group play, and ChatON.
We noticed several third party application developers at the launch event, and
believe a lot of the new features and software were developed in collaboration
with such independent developers.
Source: Company Reports, The Wall Street Journal, Jefferies Research
0
5
10
15
20
25
30
35
40
45
50
0%
5%
10%
15%
20%
25%
CY2
01
1
CY2
01
2
CY2
01
3E
CY2
01
4E
Un
its
(m)
Mar
ket
Shar
e o
f U
nit
s (%
)
Units Market Share
After attending Samsung’s
“Unpacked” launch event, it is clear
to us the company has dramatically
increased its focus on Software.
According to an interview with Mr.
JK Shin published by the Wall Street
Journal, in the process of developing
the Galaxy S4, Samsung filed for
~120 new patents related to user
interface and software.
We estimate Samsung’s Tablet unit
share increased from 6% in CY11 to
14% in CY12.
We are modeling Samsung’s Tablet
share to increase further to 19% in
CY13 and 21% in CY14.
We believe a combination of
industry-leading processor and
display hardware, and software
solutions (such as Smart School) is
likely to drive higher penetration of
Samsung Tablets.
See Appendix IV for a summary of
our proprietary test results after
comparing a Samsung Win8 Tablet
with an iPad and Ultrabooks.
005930 KS
Initiating Coverage
March 25, 2013
page 31 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 50: Galaxy S4 vs. Competition
Source: Company Reports, Jefferies Research
Chart 51: Takeaways from Samsung’s MWC 2013 Booth Tour
We saw a demo of Samsung’s Smart School solution using a 65” interactive
whiteboard and several Galaxy Note 10.1 tablets. Using the new technology,
teachers have the ability to lead interactive lessons and real-time group activities.
Students can participate directly with the teacher and view course material, all
through the tablets. Teachers maintain control over the material that students
are able to view or work on using their individual tablets. Samsung’s booth
representatives told us the Smart School solution was being rolled out in as
many as 70 countries.
We saw a demo of Samsung’s KNOX, a solution that integrates standalone
functions of a personal phone and a work phone into one device. Samsung
KNOX addresses platform security with a comprehensive strategy: Hardware
baked in Secure Boot, ARM TrustZone based Integrity Measurement Architecture
and Kernel with built in Security Enhanced Android Mandatory Access Controls
to secure the system
Source: Company Reports, Jefferies Research
Samsung Galaxy S4 Apple iPhone 5 HTC One BlackBerry Z10
Operating
systemAndroid 4.2.2 iOS 6 Android 4.1 BB10
Dimensions5.38 x 2.71 x 0.31
inch; 4.6 ounces
4.87 x 2.31 x 0.30
inch; 3.95 ounces
5.41 x 2.69 x
0.37 inch; 5.04
ounces
5.12 x 2.58 x
0.35 inch; 4.78
ounces
Display
5-inch full HD Super
AMOLED;
1,920x1,080 pixels,
441ppi
4-inch IPS LCD;
1,136x640 pixels,
326ppi
4.7-inch LCD;
1,920x1,080
pixels, 468ppi
4.2-inch LCD;
1,280x768 pixels,
355ppi
4G LTE Yes Yes Yes Yes
NFC Yes No Yes Yes
Rear camera
and recording
13-megapixel, 1080p
HD video
8-megapixel,
1080p HD video
4-megapixel
(Ultrapixel),
1080p HD video
8-megapixel,
1080p HD video
Front-facing
camera2-megapixel 1.2-megapixel 2.1-megapixel 2-megapixel
Processor
1.9GHz, quad-core
Snapdragon Fusion
Pro; or proprietary
1.6GHz octa-core
Exynos 5 Octa
Proprietary A6
1.7GHz, quad-
core Snapdragon
600
1.5GHz, dual-
core Snapdragon
S4 Plus
Capacity 16GB, 32GB, 64GB16GB, 32GB,
64GB32GB, 64GB 16GB
Expandable
memoryUp to 64GB No No Up to 32GB
Battery 2,600mAhNot disclosed by
Apple2,300mAh 1,800mAh
On the hardware side, we think the
Galaxy S4’s standout features vs.
competition include: its largest size
5” display, highest resolution rear
camera, fastest application
processors, and expandability of
NAND storage to 64GB.
Beyond industry-leading hardware, it
was clear to us after attending
Samsung’s “Unpacked” launch
event, that the company is putting a
lot of emphasis on industry-leading
software capabilities and features.
Our checks indicate the Galaxy Note
II with its larger display is outselling
the Galaxy S3 in Korea, and we think
this bodes well for the Galaxy S4
with its large display.
We were impressed by Samsung’s
Smart School and KNOX enterprise
security software solutions demo’d
at MWC 2013
005930 KS
Initiating Coverage
March 25, 2013
page 32 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 52: Tizen Summary
Tizen is an open source, standards-based software platform supported by
mobile operators, device manufacturers, and silicon suppliers for multiple
device categories such as smartphones, tablets, in-vehicle infotainment devices,
and smart TV. Tizen is based on HTML5.
The Tizen project resides within the Linux Foundation and is governed by a
Technical Steering Group.
Samsung and Intel are both active contributors to Tizen. We believe Samsung is
merging its internal software effort called Bada, and Intel is merging its prior
effort called MeeGo, with the development of Tizen.
Source: Company Reports, The Wall Street Journal, http://www.tizen.org, Jefferies Research
Expertise in ARM processors – Design & Manufacturing On the hardware side, we believe Samsung’s ability to design and manufacture its own
smartphone application processors (AP) in-house gives it a strong competitive advantage
over other smartphone players like Apple, who can design their own chips but have to
depend on a third party foundry to manufacture the chips. As leading-edge
manufacturing challenges intensify over the next few years due to Moore Stress, we
expect Samsung’s integrated design manufacturing model to be viewed more favorably
by investors.
Chart 53: Exynos 5 Octa – an Industry First
Source: Company Reports, AnandTech, IEEE, Jefferies Research
Our checks indicate Samsung plans
to introduce its first Smartphone
using Tizen in 3Q12 in select
geographies only.
We believe Samsung has developed
a strong high-end software platform,
which it can easily waterfall for its
low-cost Smartphones with minimal
R&D.
Samsung introduced its Exynos 5
Octa SoC at CES earlier this year. The
chip is one of the first in the industry
to implement ARM’s big.LITTLE
architecture using 4 ARM Cortex A15
cores combined with 4 ARM Cortex
A7 cores, and will be manufactured
on Samsung’s own 28nm low
power process
005930 KS
Initiating Coverage
March 25, 2013
page 33 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 54: Exynos 5 dual vs. Apple A6
Source: Company Reports, Jefferies Research ** Lower is better http://cpuboss.com/cpus/Samsung-Exynos-5-Dual-vs-Apple-A6-(APL0598)-specs http://www.androidauthority.com/exynos-5-dual-benchmarks-125134/
Chart 55: Mix of Samsung vs. Third Party AP in Samsung Smartphone
Source: Company Reports, Jefferies Research
Samsung Apple
Exynos 5 Dual A6
SunSpider** 668.5 ms 908 ms
V8 3,871 1,533
Octane 3,465 1,672
BrowserMark 139,561 191,158
GLBenchmark 2.1 74.1 fps 91 fps
GeekBench 2,433 1,570
CPU ARM A15 Custom ARM v7
CPU clock speed 1.7 GHz 1.3 GHz
CPU cores 2 2
GPU ARM Mali-7604 PowerVR SGX543MP3
Release date Oct-12 Sep-12
Process node 32nm 32nm
System Chromebook iPhone 5
0%
10%
20%
30%
40%
50%
60%
70%
80%
90%
100%
CY2
01
1
CY2
01
2
CY2
01
3E
CY2
01
4E
Third Party AP Samsung AP
We expect Samsung to increasingly
use its internally designed
Application Processors (AP) for its
Smartphones.
We believe all of Samsung’s in-house
APs are manufactured at Samsung,
and would help offset potential
business lost from Apple AP in
CY2014.
Jefferies analyst Ken Hui believes
Samsung has started using
Smartphone processors from
Spreadtrum (SPRD US, BUY, $19.40)
in 4Q12 to address the low-cost
Smartphone market.
Industry benchmark tests suggest
Samsung’s Exynos 5 dual-core
processor compares favorably with
Apple’s A6.
005930 KS
Initiating Coverage
March 25, 2013
page 34 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Samsung Design and Manufacturing Capabilities vs. Competition We believe Samsung enjoys an intrinsic cost advantage over its competitors because
Samsung designs and manufactures the vast majority of components and ingredient
technologies used within its mobile and other devices. With Samsung’s increased focus
on software through a combination of in-house development, partnership with third-
party application developers, and partnership with Intel (for Tizen), we believe Samsung
is well positioned to address the growing threat from low-cost phones in emerging
markets.
The table below showcases Samsung’s strong design and manufacturing advantage over
a range of competitors in different markets.
Chart 56: Samsung Design and Manufacturing Capabilities vs. Competition
Source: Jefferies Research D = Design, M= Manufacturing
Beyond Smartphone and Tablet, we believe Samsung also produces high
quality PC hardware. We present our first-hand test results of Samsung’s ATIV
Smart PC 500T Windows 8 Convertible Tablet/PC (uses Intel’s Clover Trail
x86 MPU) in Appendix IV. Our proprietary testing shows Samsung’s Win8 Convertible
PC performs better than the iPad 3 across a range of content creation and content
consumption applications. We believe Windows 8 represents another strategic
opportunity for Samsung to introduce innovative new products and take market share.
Samsung Apple Intel Qualcomm TSMC SKHynix Micron SanDisk
MPU D & M D D & M D M
GPU D & M D D & M D M
Baseband (expected) D & M D M
Power Mgmt IC (expected)
DRAM D & M D & M D & M
NAND D & M D & M D & M D & M D & M
NOR D & M D & M
LCD/LED/OLED Panels D & M
Image Sensor D & M
OS (expected) D & M
App Store D & M D & M
Smartphone D & M D
Feature phone D & M
Tablet D & M D
PC D & M D
Digital TV D & M
Base Station D & M
SSD D & M D & M D & M D & M
DRAM modules D & M D & M D & M
Server D & M D
Home Appliances D & M
005930 KS
Initiating Coverage
March 25, 2013
page 35 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
[IV] Brand Value Appreciation According to Interbrand’s CY12 global brand value analysis (chart below), Samsung’s
brand value increased by 40% in CY12, and Samsung holds the 9th most valuable global
brand.
Chart 57: Samsung Brand Value Increased by 40% in 2012
Source: Interbrand, Jefferies
Chart 58: Samsung beat Apple in Smartphone, and tied in Tablet
Source: Brand Keys, Jefferies
005930 KS
Initiating Coverage
March 25, 2013
page 36 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Samsung’s brand value has growth steadily over the last decade, with large increases in
the last two years, we think due to Samsung’s dominance in the mobile device market.
We expect Samsung’s brand value to continue to appreciate, helped by increased
penetration of its devices and brand recognition in the US, where Apple is still the
dominant brand. According to Brand Keys, Samsung displaced Apple to become the top
global Smartphone brand in CY12, and is tied with Apple for the second most desired
Tablet brand.
The charts below present data from our proprietary handset survey conducted by Peter
Misek. The survey focuses on Apple, Blackberry, Samsung, HTC, Motorola, Nokia, and
smartphone industry trends. Carriers and retails are among the groups surveyed.
Chart 59: What is your best-selling smartphone?
Source: Jefferies & Company estimates
Chart 60: What top new handsets are customers asking for?
Source: Jefferies & Company estimates
0%
10%
20%
30%
40%
50%
60%
70%
Apple Blackberry HTC Motorola Samsung
Nov-10 Jan-11 May-11 Sep-11 Dec-11 Mar-12 May-12 Sep-12 Dec-12 Feb-13
0%
5%
10%
15%
20%
25%
30%
35%
40%
45%
50%
Apple Blackberry HTC Motorola Nokia Samsung
Nov-10 Jan-11 May-11 Sep-11 Dec-11 Mar-12 May-12 Sep-12 Dec-12 Feb-13
Our proprietary survey data show that
Samsung and Apple continue to take
share in Smartphone.
Survey data show Samsung handsets
are among the most desired by
customers compared to other major
brands.
005930 KS
Initiating Coverage
March 25, 2013
page 37 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
[V] Valuation
Scenario Price Targets Samsung has traded at P/E ratios of 7-to-11x over the last 3 years, and is currently trading
at 7x. Our Base Case PT of KRW 2,100K represents a P/E of 8x applied to our Street-high
CY14 EPS of KRW 267K, and a P/E of 10x off our CY13 EPS of KRW 216K. Our KRW 2,100K
PT represents EV/S of 2x applied to our CY14 revenues of KRW 263Tr. We model DRAM
ASPs to increase by 30% in CY13 and 11% in CY14, and model NAND ASPs to decrease by
5% in CY13 and 14% in CY14. We believe there is upside to our estimates primarily from
Memory and Foundry. Downside risks include higher than expected deceleration in
Smartphone growth, unexpected memory capacity additions, Forex-related losses, mis-
execution, geopolitical factors associated with South Korea.
Our Bull Case Scenario for CY14 assumes DRAM ASPs increase by 40-50% and NAND
prices remain unchanged in CY13, handset ASPs remain firm despite share gains, and the
Display Business grows at a higher rate.
Our Bear Case Scenario assumes DRAM and NAND ASPs decrease by 5-10% in CY13,
combined with share loss in handsets, and weakness in Display Business.
Chart 61: Scenario Price Targets
Source: Capital IQ, Jefferies & Company estimates Based on Samsung’s closing price of KRW 1,455,000 on 3/22/13
We show that Samsung is currently trading at a discount to its global peers Apple, TSMC,
LG Electronics, Intel, Qualcomm, SKHynix, and SanDisk. We expect Samsung’s Forward
P/E multiple to expand from 8x to 9x driven by 1) margin expansion, and 2) global brand
value appreciation.
Base Bull Bear
Revenues KRW, Tr 259 263 272 241
Gross Margins % 37% 42% 43% 37%
EPS KRW 215,958 266,948 297,111 186,043
P/E 8 8 7
Scenario PT KRW 2,100,000 2,300,000 1,250,000
Upside 44% 58% -14%
Cash KRW, Tr 26 as of 4Q12
LT Debt KRW, Tr 6 as of 4Q12
Cash / share KRW 198,164 as of 4Q12
Net Debt / share KRW (154,405) as of 4Q12
BV / share KRW 928,194 as of 4Q12
Dil. Shares 1,000s 130,878 as of 4Q12
Market Cap KRW, Tr 275 for Base Case PT
EV KRW, Tr 255 for Base Case PT
EV/S 2 for Base Case PT
P/BV 2 for Base Case PT
StreetCY14 UnitsJEF ScenariosOur Price Target for Samsung
Electronics common stock is KRW
2,100,000.
005930 KS
Initiating Coverage
March 25, 2013
page 38 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Samsung Trading at a Discount to Peers
Chart 62: Forward P/E vs. CY13 Projected Revenue Growth
Source: Capital IQ, Jefferies & Company estimates
The charts below show both Samsung’s actual revenue growth in CY12 and projected
growth in CY13 compare favorably within its peer group comprised of domestic (South
Korea) and global tech companies.
Chart 63: CY12 USD Revenue Growth
Source: Capital IQ, Jefferies
Chart 64: CY13 Projected USD Revenue Growth
Source: Capital IQ, Jefferies
Samsung
AAPL
INTC
TSMC
SNDK
QCOM
SKHynix
LG Electronics
6
8
10
12
14
16
18
0% 5% 10% 15% 20% 25%
Forw
ard
P/E
CY13 Projected Revenue Growth (%)
R² = 0.6378
-20%
-10%
0%
10%
20%
30%
40%
AA
PL
Sam
sun
g
QC
OM
TSM
C
SKH
ynix
LG E
lect
ron
ics
INTC MU
SND
K
CY
20
12
Rev
en
ue
Gro
wth
(%
)
0%
5%
10%
15%
20%
25%
QC
OM
TSM
C
AA
PL
Sam
sun
g
SND
K
SKH
ynix
MU
LG E
lect
ron
ics
INTCC
Y2
01
3 P
roje
cte
d R
eve
nu
e G
row
th (
%)
The chart shows high correlation
between projected revenue growth
and Forward P/E multiples.
The chart shows Apple and Samsung
trade at a discount to the rest of the
group.
Samsung trades at a substantial
discount to Apple, and its broader
peer group. We believe Samsung’s
trading discount is driven by a
combination of 1) its ownership
structure, 2) its large size relative to
the liquidity pool in South Korea, 3)
lack of multiple listing for its stock,
with primary listing in South Korea.
005930 KS
Initiating Coverage
March 25, 2013
page 39 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 65: Forward P/E
Source: Capital IQ, Jefferies & Company estimates
Chart 66: TTM EV/S
Source: Capital IQ, Jefferies estimates
0
2
4
6
8
10
12
14
16
SKH
ynix
TSM
C
QC
OM
SND
K
LG E
lect
ron
ics
INTC
AA
PL
Sam
sun
g
Forw
ard
P/E
0
1
2
3
4
5
6
TSM
C
QC
OM
SKH
ynix
SND
K
AA
PL
INTC MU
Sam
sun
g
LG E
lect
ron
ics
TTM
EV
/S
The chart shows that Samsung trades
at the lowest P/E multiple when
compared to 7 other companies
within its peer group comprised of
domestic (South Korea) and global
tech companies.
The chart shows that Samsung trades
at the low end of range on a EV/S
basis, when compared to 7 other
companies within its peer group
comprised of domestic (South Korea)
and global tech companies.
005930 KS
Initiating Coverage
March 25, 2013
page 40 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 67: CY13 Gross Margin Expansion
Source: Capital IQ, Jefferies
Chart 68: CY14 Gross Margin Expansion
Source: Capital IQ, Jefferies
Chart 69: CY13 Operating Margin Expansion
Source: Capital IQ, Jefferies
Chart 70: CY14 Operating Margin Expansion
Source: Capital IQ, Jefferies
The charts above show that we are forecasting Samsung’s gross margins and operating
margins to expand in CY13 and CY14, and the magnitude of Samsung’s margin
expansion falls in the middle of the range forecasted for its peer group.
-4%
-2%
0%
2%
4%
6%
8%
10%
12%SK
Hyn
ix
SND
K
MU
Sam
sun
g
QC
OM
LG E
lect
ron
ics
TSM
C
INTC
AA
PL
CY
20
13
Pro
ject
ed
GM
Exp
ansi
on
(%
)
-4%
-2%
0%
2%
4%
6%
8%
10%
12%
14%
16%
18%
SKH
ynix
MU
SND
K
Sam
sun
g
QC
OM
LG E
lect
ron
ics
TSM
C
INTC
AA
PL
CY
20
14
Pro
ject
ed
GM
Exp
ansi
on
(%
)
-6%
-4%
-2%
0%
2%
4%
6%
8%
10%
12%
14%
16%
SKH
ynix
MU
SND
K
QC
OM
Sam
sun
g
LG E
lect
ron
ics
TSM
C
AA
PL
INTC
CY
20
13
Pro
ject
ed
OM
Exp
ansi
on
(%
)
-5%
0%
5%
10%
15%
20%
MU
SKH
ynix
SND
K
QC
OM
Sam
sun
g
LG E
lect
ron
ics
TSM
C
AA
PL
INTC
CY
20
14
Pro
ject
ed
OM
Exp
ansi
on
(%
)
005930 KS
Initiating Coverage
March 25, 2013
page 41 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 71: P/E NTM – Samsung vs. AAPL
Source: Factset, Jefferies estimates
Chart 72: P/E NTM – Samsung vs. TSMC
Source: Factset, Jefferies estimates
0
10
20
30
40
50
60
70
80
90
03
/01
/03
09
/01
/03
03
/01
/04
09
/01
/04
03
/01
/05
09
/01
/05
03
/01
/06
09
/01
/06
03
/01
/07
09
/01
/07
03
/01
/08
09
/01
/08
03
/01
/09
09
/01
/09
03
/01
/10
09
/01
/10
03
/01
/11
09
/01
/11
03
/01
/12
09
/01
/12
Forw
ard
P/E
Samsung AAPL
0
5
10
15
20
25
30
35
40
45
50
03
/01
/03
09
/01
/03
03
/01
/04
09
/01
/04
03
/01
/05
09
/01
/05
03
/01
/06
09
/01
/06
03
/01
/07
09
/01
/07
03
/01
/08
09
/01
/08
03
/01
/09
09
/01
/09
03
/01
/10
09
/01
/10
03
/01
/11
09
/01
/11
03
/01
/12
09
/01
/12
Forw
ard
P/E
Samsung TSMC
The chart shows that on a P/E (NTM)
basis, Samsung has traded at a
discount to AAPL over the last decade.
We expect Samsung’s P/E multiple to
move toward the higher end of its
range of 7x – 11x driven by margin
expansion.
The chart shows that on a P/E (NTM)
basis, Samsung is trading at a
substantial discount to TSMC.
TSMC’s multiple has expanded over
the last year, and we believe this is in
large part driven by higher investor
expectations around Apple
transitioning to TSMC as a major
foundry service provider.
We expect Samsung’s P/E multiple to
move toward the higher end of its
range of 7x – 11x driven by margin
expansion.
005930 KS
Initiating Coverage
March 25, 2013
page 42 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 73: P/E NTM – Samsung vs. GOOG
Source: Factset, Jefferies estimates
Under-owned in the US
Chart 74: US vs. non-US ownership
Source: Factset, Capital IQ, Jefferies estimates
0
10
20
30
40
50
60
70
08
/01
/04
02
/01
/05
08
/01
/05
02
/01
/06
08
/01
/06
02
/01
/07
08
/01
/07
02
/01
/08
08
/01
/08
02
/01
/09
08
/01
/09
02
/01
/10
08
/01
/10
02
/01
/11
08
/01
/11
02
/01
/12
08
/01
/12
02
/01
/13
Forw
ard
P/E
Samsung GOOG
0%
10%
20%
30%
40%
50%
60%
70%
80%
90%
100%
GOOG AAPL Samsung TSMC
Pe
rce
nt
of
Ou
tsta
nd
ing
Shar
es
US non-US
The chart shows Samsung is under-
owned in the US compared to other
large global tech company peers.
We estimate only 11% of Samsung’s
outstanding shares are owned by US
investors, compared to 55% for AAPL
and 72% for GOOG.
We believe the primary reason for the
difference is that Samsung is not listed
on a major US exchange, and is not
easily available as an investment for
US investors.
The chart shows that on a P/E (NTM)
basis, Samsung is trading at a
substantial discount to GOOG.
We expect Samsung’s P/E multiple to
move toward the higher end of its
range of 7x – 11x driven by margin
expansion.
005930 KS
Initiating Coverage
March 25, 2013
page 43 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 75: Institutional ownership
Source: Factset, Capital IQ, Jefferies estimates
Valuation Constrained by Domestic Liquidity Pool Our view is that valuations for Samsung Electronics are constrained by factors specific to
the South Korean equity markets, including the inability of domestic investors to buy
Samsung in size, stock movements for geopolitical reasons including tensions with N.
Korea, trading related to exchange rate fluctuations etc. We believe Samsung’s valuations
are also indirectly constrained by management’s desire not to split up the company or
pursue multiple listing to unlock value.
0%
10%
20%
30%
40%
50%
60%
70%
80%
90%
100%
GOOG AAPL Samsung TSMC
Pe
rce
nt
of
Ou
tsta
nd
ing
Shar
es
Institutional Non-Institutional
We estimate the proportion of
Samsung’s institutional ownership
(~30%) is at the low end of the range
(30% to 85%) when compared to
GOOG, AAPL and TSMC.
005930 KS
Initiating Coverage
March 25, 2013
page 44 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 76: Weighting of Largest Company in Country Index
Source: Jefferies Global Equity Strategy Team
Chart 77: Weighting of Largest Tech Company in Country Index
Source: Jefferies Global Equity Strategy Team
0%
5%
10%
15%
20%
25%
30%
Ko
rea
Ho
ng
Ko
ng
Sin
gap
ore
Fran
ce
Ch
ina
Ger
man
y
UK
Jap
an US
We
igh
t in
Inve
stab
le C
ou
ntr
y In
dex
Sam
sun
g El
ectr
on
ics
AIA
Sin
gTel
San
ofi
Ch
ina
Mo
bile
Siem
ens
HSB
C
Toyo
ta
Exxo
n
0%
5%
10%
15%
20%
25%
30%
Ko
rea
Taiw
an
Ind
ia
Ch
ina
US
Jap
an UK
Fran
ce
Ho
ng
Ko
ng
We
igh
t in
Inve
stab
le C
ou
ntr
y In
dex
Sam
sun
g El
ectr
on
ics
TSM
C
Info
sys
Ten
cen
t
Ap
ple
Can
on
AR
M H
old
ings
CA
P G
emin
i
ASM
Pac
ific
The chart shows that when compared
to the largest companies within
investable indices for a range of
countries, Samsung has the highest
weighting within the South Korean
country index.
We believe Samsung’s large (25-30%)
weighting within the South Korean
country index makes it difficult for
portfolio managers to be overweight
Samsung. We believe investors in
South Korea are constrained to hold
not more than 5-10% of their funds in
any single security.
The chart shows that when compared
to the largest tech companies within
investable indices for a range of
countries, Samsung has the highest
weighting within the South Korean
country index.
We believe Samsung’s large (25-30%)
weighting within the South Korean
country index makes it difficult for
portfolio managers to be overweight
Samsung. We believe investors in
South Korea are constrained to hold
not more than 5-10% of their funds in
any single security.
005930 KS
Initiating Coverage
March 25, 2013
page 45 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 78: Samsung vs. KOSPI Forward P/E
Source: Factset, Capital IQ, Jefferies estimates
Chart 79: Insider ownership
Source: Factset, Capital IQ, Jefferies estimates
5
10
15
20
25
30
01
/01
/04
07
/01
/04
01
/01
/05
07
/01
/05
01
/01
/06
07
/01
/06
01
/01
/07
07
/01
/07
01
/01
/08
07
/01
/08
01
/01
/09
07
/01
/09
01
/01
/10
07
/01
/10
01
/01
/11
07
/01
/11
01
/01
/12
07
/01
/12
01
/01
/13
Forw
ard
P/E
Samsung KOSPI
0%
10%
20%
30%
40%
50%
60%
70%
80%
90%
100%
GOOG AAPL Samsung TSMC
Pe
rce
nt
of
Ou
tsta
nd
ing
Shar
es
Insider Non-Insider
Almost 30% of Samsung’s
outstanding shares are held by
insiders, compared to 0-10% for its
global peer group.
We note this is one of the reasons why
Samsung shares are not as liquid
compared to those of its global peers.
The chart shows that Samsung is
trading at a ~20% discount on a
Forward P/E basis relative to the KOSPI
index, after tracking the index P/E
more closely or trading at a premium
previously.
We think one explanation for
Samsung’s trading discount relative
to the KOSPI is that it has outgrown
other South Korean companies over
the last couple of years, making it a
larger portion of the country’s
investable index.
005930 KS
Initiating Coverage
March 25, 2013
page 46 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 80: Trading Volumes for Samsung vs. Peer Group
Source: Factset, Capital IQ, Jefferies estimates
We believe speculation about potential multiple listing for Samsung Electronics shares
across major international exchanges, including US exchanges, has been around for
several years, but the stock is still not easily available for US investors to invest in. Our
recent checks indicate an elevated level of expectations among industry players about
Samsung potentially listing its shares on a US exchange to, among other things, help it
attract global talent more easily.
We think it is possible that Samsung Electronics opts for multiple listing at some point in
the future, but our investment thesis does not make any specific assumptions around
such multiple listing for Samsung Electronics shares. Furthermore, while we believe
multiple listing could help close the valuation gap between Samsung and its global tech
peers, we note even after potential multiple listing, it could take a substantial amount of
time for such valuation convergence to occur.
0
20
40
60
80
100
120
140
160
Mar-08 Mar-09 Mar-10 Mar-11 Mar-12
We
ekl
y Tr
adin
g V
olu
me
(M
illio
ns
of
Shar
es)
Samsung
Peer Group Avg.AAPLQCOMSNDKSKHynixLG ElectronicsTSMC
The chart shows that over the last 5
years on average, Samsung’s weekly
trading volume was only 2% of its
peer group average.
005930 KS
Initiating Coverage
March 25, 2013
page 47 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
[VI] Samsung Electronics Financial
Model
Chart 81: Samsung Electronics Income Statement
Source: Company Reports, Jefferies Research Estimates
Income StatementCurrency: KRW 1Q12 2Q12 3Q12 4Q12 1Q13E 2Q13E 3Q13E 4Q13E CY2012 CY2013E CY2014E
Revenue 45,270,517 47,596,979 52,177,270 56,058,800 53,255,860 55,918,653 61,510,518 65,816,255 201,103,566 236,501,286 263,327,044
QoQ -4% 5% 10% 7% -5% 5% 10% 7%
YoY 22% 21% 26% 19% 18% 17% 18% 17% 22% 18% 11%
Cost Of Goods Sold 30,138,374 29,971,206 31,989,604 34,552,700 33,018,633 34,110,378 36,906,311 38,831,590 126,651,884 142866912.7 153951498.2
Gross Profit 15,132,143 17,625,773 20,187,666 21,506,100 20,237,227 21,808,275 24,604,207 26,984,664 74,451,682 93,634,373 109,375,545
Gross Margin 33% 37% 39% 38% 38% 39% 40% 41% 37% 40% 42%
R & D Exp. 2,728,381 2,872,922 2,975,618 2,955,900 2,662,793 2,795,933 3,075,526 3,290,813 11,532,821 11,825,064 13,166,352
% of sales 6% 6% 6% 5% 5% 5% 5% 5%
Selling General & Admin Exp. 6,767,133 8,359,387 9,218,701 9,713,000 9,053,496 9,506,171 10,456,788 11,188,763 34,058,221 40,205,219 44,765,597
% of sales 15% 18% 18% 17% 17% 17% 17% 17%
Operating Income 5,636,629 6,393,464 7,993,347 8,837,200 8,520,938 9,506,171 11,071,893 12,505,088 28,860,640 41,604,090 51,443,596
% of sales 12% 13% 15% 16% 16% 17% 18% 19% 14% 18% 20%
Non-operating income/loss 213,818 330,649 131,348 (510,300) 0 0 0 0 165,515 0 0
% of sales 0% 1% 0% -1% 0% 0% 0% 0%
Share of profit/loss of associates/JVs 367,334 268,771 132,552 218,000 986,657 0 0
Finance Income (Interest and Invest. Income) 2,035,414 2,318,645 1,524,423 324,191 258,040 305,097 346,541 5,878,482 1,233,868 2,134,389
Finance Expense (Interest Expense) 1,902,930 2,581,474 1,479,283 12,600 338,955 338,955 338,955 338,955 5,976,287 1,355,820 2,355,820
Profit before Taxes 6,350,265 6,730,055 8,302,387 8,532,300 8,506,173 9,425,256 11,038,035 12,512,674 29,915,007 41,482,138 51,222,165
Taxes 1,301,804 1,536,635 1,737,460 1,493,800 1,701,235 1,885,051 2,207,607 2,502,535 6,069,699 8,296,428 10,244,433
Tax rate (%) 20% 23% 21% 18% 20% 20% 20% 20% 20% 20% 20%
Net Income 5,048,461 5,193,420 6,564,927 7,038,500 6,804,939 7,540,205 8,830,428 10,010,139 23,845,308 33,185,710 40,977,732
Profit attributable to
Owners of the parent 4,860,894 5,049,042 6,424,872 6,888,342 6,668,840 7,389,401 8,653,819 9,809,936 23,223,150 32,521,996 40,158,177
96% 97% 98% 98% 98% 98% 98% 98%
Non-controlling interests 187,567 144,378 140,055 150,158 136,099 150,804 176,609 200,203 622,158 663,714 819,555
EPS attributable to owners of parent
Basic EPS 32,341 33,524 42,653
Diluted EPS 32,315 33,496 42,623 45,698 44,242 49,120 57,526 65,211 154,148 216,099 266,948
005930 KS
Initiating Coverage
March 25, 2013
page 48 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 82: Samsung Electronics Balance Sheet
Source: Company Reports, Jefferies Research Estimates
Balance Sheet (Currency: KRW) 1Q12 2Q12 3Q12 4Q12E 1Q13E 2Q13E 3Q13E 4Q13E
Cash and cash equivalents 15,923,545 15,521,957 18,823,533 25,935,248 20,643,203 24,407,723 27,723,246 32,947,747
Short-term financial instruments 9,013,539 7,828,604 10,659,209 10,659,209 10,659,209 10,659,209 10,659,209 10,659,209
Available-for-sale financial assets 453,108 453,649 853,843 853,843 853,843 853,843 853,843 853,843
Trade and other receivables 24,289,667 26,952,754 26,769,984 23,861,300 28,029,400 29,430,870 32,805,610 35,102,002
Advances 1,517,443 1,582,265 1,577,035 1,694,353 1,609,635 1,690,117 1,859,128 1,989,267
Prepaid expenses 2,727,825 2,368,952 2,557,017 3,363,528 3,195,352 3,355,119 3,690,631 3,948,975
Inventories 16,356,523 17,443,032 19,473,845 17,747,400 18,867,790 19,491,645 21,089,321 22,189,480
Other current assets 1,011,103 1,309,035 1,362,980 3,154,119 1,065,117 1,118,373 1,230,210 1,316,325
Total current assets 71,292,753 73,460,248 82,077,446 87,269,000 84,923,549 91,006,899 99,911,198 109,006,849
Available-for-sale financial assets 4,159,420 4,081,481 5,141,467 5,045,292 4,793,027 5,032,679 5,535,947 5,923,463
Associates and joint ventures 8,947,331 8,996,920 9,157,660 10,651,172 10,651,172 11,183,731 12,302,104 13,163,251
Property, plant and equipment 65,998,358 68,839,598 68,995,769 68,484,700 70,233,763 72,170,789 74,606,698 76,711,922
Intangible assets 3,329,107 3,672,924 3,697,687 3,729,700 3,505,918 3,295,563 3,097,829 2,911,959
Deposits 820,324 876,769 826,422 1,121,176 1,065,117 1,118,373 1,230,210 1,316,325
Long-term prepaid expenses 3,427,881 3,300,131 3,557,929 4,484,704 4,260,469 4,473,492 4,920,841 5,265,300
Deferred income tax assets 1,635,091 2,373,457 2,595,014 2,802,940 2,662,793 2,795,933 3,075,526 3,290,813
Other non-current assets 546,356 698,481 677,752 (2,517,084) 532,559 559,187 615,105 658,163
Total Assets 160,156,621 166,300,009 176,727,146 181,071,600 182,628,366 191,636,644 205,295,459 218,248,045
Trade and other payables 19,757,865 18,173,203 17,810,202 19,744,400 18,867,790 19,491,645 21,089,321 22,189,480
Short-term borrowings 8,975,990 7,683,630 8,712,628 8,712,628 8,712,628 8,712,628 8,712,628 8,712,628
Advance received 1,552,128 1,527,731 1,524,621 1,681,764 1,597,676 1,677,560 1,845,316 1,974,488
Withholdings 1,536,284 1,240,729 990,146 1,681,764 1,597,676 1,677,560 1,845,316 1,974,488
Accrued expenses 5,782,210 6,610,015 7,733,029 7,848,232 7,455,820 7,828,611 8,611,473 9,214,276
Income tax payables 2,384,300 2,327,392 3,356,302 2,242,352 2,130,234 2,236,746 2,460,421 2,632,650
Current portion of long-term borrowings and debentures28,792 902,736 905,162
Provisions 4,860,643 5,681,884 6,368,444 6,727,056 6,390,703 6,710,238 7,381,262 7,897,951
Other current liabilities 305,898 288,803 297,290 560,588 532,559 559,187 615,105 658,163
Total current liabilities 45,184,110 44,436,123 47,697,824 49,198,784 47,285,087 48,894,174 52,560,840 55,254,122
Debentures 1,279,308 1,920,582 1,878,646 1,878,646 1,878,646 1,878,646 1,878,646 1,878,646
Long-term borrowings 3,584,666 3,964,723 3,848,382 3,848,382 3,848,382 3,848,382 3,848,382 3,848,382
Long-term other payables 933,536 908,910 1,289,461 1,681,764 1,597,676 1,677,560 1,845,316 1,974,488
Long-term accrued expenses
Retirement benefit obligation 554,349 775,394 958,851
Deferred income tax liabilities 2,369,912 3,227,045 3,517,972 2,802,940 2,662,793 2,795,933 3,075,526 3,290,813
Provisions 408,092 462,938 503,021 560,588 532,559 559,187 615,105 658,163
Other non-current liabilities 335,955 340,185 494,155 (379,704) 532,559 559,187 615,105 658,163
Total Liabilities 54,649,928 56,035,900 60,188,312 59,591,400 58,337,701 60,213,068 64,438,920 67,562,776
Preferred stock 119,467 119,467 119,467 119,467 119,467 119,467 119,467 119,467
Common stock 778,047 778,047 778,047 778,047 778,047 778,047 778,047 778,047
Share premium 4,403,893 4,403,893 4,403,893 4,403,893 4,403,893 4,403,893 4,403,893 4,403,893
Retained earnings 101,651,224 106,705,209 113,054,774 119,619,701 126,658,201 133,463,140 141,003,344 149,833,772
Other reserve (3,855,544) (4,217,141) (6,051,948)
Non-controlling interests 2,409,606 2,474,634 4,234,601 4,234,601 4,234,601 4,234,601 4,234,601 4,234,601
Total Equity 105,506,693 110,264,109 116,538,834 121,480,200 124,290,666 131,423,577 140,856,539 150,685,269
Total Liabilities and Equity 160,156,621 166,300,009 176,727,146 181,071,600 182,628,366 191,636,644 205,295,459 218,248,045
005930 KS
Initiating Coverage
March 25, 2013
page 49 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 83: Samsung Electronics Cash Flow
Source: Company Reports, Jefferies Research Estimates
Cash Flow Stmt (Currency: KRW) 1Q12 2Q12 3Q12 4Q12 1Q13E 2Q13E 3Q13E 4Q13E 2012 2013 2014
Profit 5,048,461 5,193,420 6,564,927 7,038,500 6,804,939 7,540,205 8,830,428 10,010,139 23,845,308 33,185,710 40,977,732
Adjustments 5,231,051 5,893,466 5,854,691 4,361,607 4,332,864 4,424,381 4,527,981 4,662,272 21,340,815 17,947,498 19,608,441
Depreciation 3,489,792 3,741,998 3,868,287 4,139,746 4,109,082 4,214,026 4,330,247 4,476,402 15,239,823 17,129,757 18,969,991
Amortization 185,578 199,746 186,638 221,861 223,782 210,355 197,734 185,870 793,823 817,741 638,450
Changes in Operating Assets (1,887,077) (3,790,294) (173,509) 7,780,934 (9,254,850) (496,718) (1,474,761) (833,409) 1,930,054 (12,059,739) (1,318,544)
Interest Received 206,078 194,084 209,414 235,294 324,191 258,040 305,097 346,541 844,870 1,233,868 2,134,389
Interest Paid (168,536) (165,615) (147,768) (147,768) (147,768) (147,768) (147,768) (147,768) (629,687) (591,072) (591,072)
Dividend Received 513,015 115,587 18,767 280,294 266,279 279,593 307,553 329,081 927,663 1,182,506 1,316,635
Income Tax Paid (516,048) (1,508,828) (786,780) (853,230) (1,275,926) (1,413,788) (1,655,705) (1,876,901) (3,664,886) (6,222,321) (7,683,325)
Cash From Operations 8,426,944 5,931,820 11,539,742 18,695,632 1,049,728 10,443,944 10,692,824 12,489,954 44,594,138 34,676,450 54,444,257
Net decrease (increase) in short-term financial instruments2,524,678 1,193,929 (2,755,236) 963,371 - -
Net decrease in short-term available-for-sale securities207,823 (4,405) (395,520) (192,102) - -
Proceeds from disposal of long-term available-for-sale securities26,720 40,916 37,281 104,917 - -
Acquisition of long-term available-for-sale securities(21,315) (34,975) (764,814) (821,104) - -
Proceeds from disposal of associates and joint ventures 32,750 6,988 39,738 - -
Acquisition of associates and joint ventures (94,463) (154,104) (28,445) (277,012) - -
Purchases of Property, Plant and Equipment (7,689,035) (6,179,474) (4,943,572) (5,605,880) (5,858,145) (6,151,052) (6,766,157) (6,581,625) (24,417,961) (25,356,979) (26,332,704)
Disposal of Property, Plant and Equipment 109,090 167,378 52,827 52,436 53,775 55,258 57,123 58,735 381,731 224,891 247,712
Purchases of intangible assets (124,922) (196,826) (199,831) (214,697) (203,962) (214,160) (235,576) (252,066) (736,276) (905,764) (1,008,502)
Net Cash Used in Investing Activities (5,300,185) (5,297,059) (8,983,375) (5,768,141) (6,008,332) (6,309,954) (6,944,610) (6,774,957) (25,348,760) (26,037,852) (27,093,495)
Payment of dividends (290,081) (850,226) (87,483) (344,417) (333,442) (369,470) (432,691) (490,497) (1,572,207) (1,626,100) (2,007,909)
Disposal of treasury stock 39,610 4,675 12,815 57,100 - -
Net procceds/repayment from short-term borrowings(712,570) (1,130,564) 1,003,836 (839,298) - -
Net repayment of long-term borrowings (29,564) (411,168) (77,292) (518,024) - -
Proceeds from long-term borrowings 1,815,705 - 1,815,705 - -
Others (996,351) (209,675) (8,870) (5,471,359) (6,686,255) - -
Net Cash Used in Financing Activities (1,988,956) (781,253) 843,006 (5,815,776) (333,442) (369,470) (432,691) (490,497) (7,742,979) (1,626,100) (2,007,909)
Effect of exchange rate changes 93,981 (255,096) (97,797) (258,912) - -
Net increase in cash and cash and cash equivalents from changes in consolidated subsidiaries
Net Increase/Decrease in Cash and Cash Equivalents1,231,784 (401,588) 3,301,576 7,111,715 (5,292,045) 3,764,520 3,315,523 5,224,501 11,243,487 7,012,499 25,342,853
005930 KS
Initiating Coverage
March 25, 2013
page 50 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Appendix I: Company Information
Summary of Business Segments The chart below shows Samsung’s major business segments, and our growth projections
for each over the next two years. We expect the Semiconductor business to outgrow
other segments over the next two years. We also expect the Semiconductor business to
drive ~300 bps of overall gross margin expansion for the company in CY13.
Chart 84: Samsung Electronics Segment Model
Source: Company reports, Jefferies Estimates
In the charts below we provide snapshots of the company’s key focus areas in its
Consumer Electronics and Display Panel businesses.
Chart 85: Consumer Electronics Business Summary
Two sub-segments: Visual Display and Digital Appliances
Visual Display: Flat-panel TVs, LCD TVs, Smart TVs, 3D TVs, Large Format Display
(LFD), and Video Wall Display
Digital Appliances: Air Conditioners, Refrigerators, Washing Machines, and other
appliances
We model the Consumer Electronics business to grow by 6% in CY13 and 7% in
CY14, following 2% growth in CY12
Source: Company Reports, Capital IQ, Jefferies Research Estimates
% of Revenues % YoY
CY11 CY12 CY13 CY14 CY12 CY13 CY14
Consumer Electronics 29% 24% 22% 21% 2% 6% 7%
IT & Mobile Comms 41% 54% 54% 54% 61% 14% 15%
Mobile 32% 46% 47% 47% 74% 14% 16%
Semiconductor 22% 17% 21% 23% -6% 38% 24%
Memory 14% 10% 13% 15% -8% 49% 28%
System LSI 9% 7% 7% 8% -2% 21% 18%
Display Panel 18% 16% 14% 14% 13% -1% 11%
Inter-segment -10% -12% -13% -11% 47% 15% 2%
Segment
005930 KS
Initiating Coverage
March 25, 2013
page 51 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 86: Display Panel Business Summary
The Display Panel business represented ~16% of total revenues in CY12, and has
grown at a CAGR of 17% over the last 5 years, and we are modeling this
business to grow at a CAGR of 5% over the next 2 years.
We believe the barriers to entry are lower in TFT-LCD panels, and the market is
currently going through a period of over-capacity.
We believe Samsung’s CapEx focus is on growing the OLED portion of the
business in which we believe Samsung currently dominates, particularly in
smartphone applications of OLED. We estimate Samsung generated roughly a
third of its ~KRW 33Tr in CY12 Display Panel revenues from OLED, and we
believe OLED panels are already more profitable compared to TFT-LCD for
Samsung. We would not be surprised if Samsung introduced industry-leading
OLED “unbreakable displays” in Smartphone in CY13, to be followed by flexible
displays in 2014-2015.
Source: Company Reports, Capital IQ, Jefferies Research Estimates
Chart 87: Samsung vs. Corning Display Panel Revenues
Source: Company Reports, Capital IQ, Jefferies Research Estimates
300
400
500
600
700
800
900
4.5
5
5.5
6
6.5
7
7.5
8
8.5
9
1Q
09
2Q
09
3Q
09
4Q
09
1Q
10
2Q
10
3Q
10
4Q
10
1Q
11
2Q
11
3Q
11
4Q
11
1Q
12
2Q
12
3Q
12
4Q
12
Co
rnin
g D
isp
lay
Pan
el R
eve
nu
es
($, m
)
Sam
sun
g D
isp
lay
Pan
el R
eve
nu
es
(KR
W, T
r)
Samsung Display Panel Corning Display Panel
The chart shows Samsung’s Display
Panel revenues roughly track
Corning’s Display Panel revenues.
Samsung’s Display Panel revenues
appear to exhibit lower volatility
compared to Corning’s business.
We believe Samsung currently
dominates in OLED panels for
Smartphone.
Going forward, we expect Samsung
to take share in large-size panels,
and continue growth in Smartphone
panels.
005930 KS
Initiating Coverage
March 25, 2013
page 52 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Outlook on the Korean Won (KRW) The Jefferies FX Strategy team has a broadly constructive view on the KRW, relative to the
USD and JPY. Our view is that the Koreans have greater appetite to allow KRW
appreciation as the authorities shift from their previous weak KRW policy, in particular as
private consumption becomes a more important component of Korean growth. We do
not see a hard landing in China (though growth may slow) and expect Korean export
growth to pick-up as the US economy recovers.
However, we believe the KRW must also be viewed in the context of the sharp fall in the
value of the JPY, its major competitor, so that further JPY weakness would stop KRW
appreciation against the USD. As we look for USDJPY to head to 100, this means that we
see broad stability and expect marginal KRW appreciation over time against the USD.
At its 4Q12 earnings conference call, Samsung noted there could be a negative impact of
KRW 3 Tr on CY13 operating profits due to FX rates. We believe Samsung is actively
involved in trying to lower FX risks in advance through lowering net FX exposure,
adjusting foreign deposits and AR negotiation periods in line with currency changes.
005930 KS
Initiating Coverage
March 25, 2013
page 53 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 88: Samsung’s Global Supply Chain
Semi EquipmentTop-6:Applied Materials (AMAT) 27% (3%
of Samsung’s COGS) (semi equipment and LCD equipment)
ASM Lithography (ASML.NA) 23% (2% of Samsung’s COGS) (lithography)
Lam Research (LRCX) 24% (ion implant; acquiring Novellus)
Novellus (NVLS) 22% (deposition; Lam acquiring)
Tokyo Electron (8035.JP) 15% (2% of Samsung’s COGS) (semi equipment and LCD equipment)
KLA-Tencor (KLAC) >10% (metrology)
Other:Mattson Technology (MTSN) 42%
(dry strip, RTP)Nanometrics (NANO) 30%
(metrology)FSI (FSII) 27% (wafer cleaning
systems)Photronics (PLAB) 20%
(photomasks)Ultratech (UTEK) 18% (lithography)PDF Solutions (PDFS) 15% (process
control software)Rudolph Technologies (RTEC) 14%
(metrology)FormFactor (FORM) 11% (DRAM
testing)Cymer (CYMI) 10% (lithography
lasers)Cabot Microelectronics (CCMP)
10% (CMP consumables)Kulicke & Soffa (KLIC) #9 customer
(wire bonders)Amkor (AMKR) top-25 (OSAT)Sumco (3436.JP) (wafers)Shin-Etsu Chemical (4063.JP)
(wafers; photomask substrates)
Customers
Samsung Electronics (05930.KS)
Handset ComponentsInterDigital (IDCC) 34% (wireless
patents)Audience (ADNC) 20% (audio
semiconductors)Anadigics (ANAD) 19%
(Semiconductors: RF)Wolfson (WLF.LN) 16% (mixed-
signal ICs)InvenSense (INVN) 13%
(gyroscopes)Semtech (SMTC) 13% (analog ICs)Silicon Laboratories (SLAB) 13%
(analog ICs)Qualcomm (QCOM) >10% (comm
ICs) Skyworks (SWKS) >10% (analog ICs)Broadcom (BRCM) 10% (comm ICs)Cypress (CY) 10% (touchscreen
controllers)Dialog Semi (DLG.GR) 4% (mixed-
signal semiconductors)Hirose Electric (6806.JP) 3%
(connectors)AAC Technologies (2018.HK) 2%
(acoustic products)Aeroflex (ARX) major customer
(testing)
Silicon Image (SIMG) 23%Semiconductors: HDMI
Maxim (MXIM) 12%Semiconductors: High-Performance
Analog
SuppliersInphi (IPHI) 27%
Semiconductors: Analog (for Memory)
Top-5 13% of revs
Best Buy (BBY) top-5 supplier(top-5 39% of BBY’s COGS)
Retailer
Rambus (RMBS) >10%Semiconductors: Intellectual Property
Silicon Motion (SIMO) 15%NAND Controllers
Foundry CustomersAltera (ALTR)Apple (AAPL)Cavium (CAVM) (second-tier)CSR (CSR.LN)IXYS (IXYS) (primary)NVIDIA (NVDA) (28nm)Qualcomm (QCOM)Texas Instruments (TXN)TranSwitch (TXCC)Xilinx (XLNX)
Sprint (S) (Samsung one of three main LTE Project Vision vendors)
Carrier
Brightpoint (CELL) distributor for 2% of Samsung’s handsets (19% of CELL’s
distribution handsets handled)Handset Distributor
STEC (STEC) (some NAND, all DRAM)SSD PCIe Server Cards
OCZ Technology (OCZ)SSDs
Display Equipment/ Components
Universal Display (PANL) 51% (OLED patents)
Applied Materials (AMAT) 12% (1% of Samsung’s COGS) (semi equipment and LCD equipment)
Corning (GLW) 19% of total net income (65% of SCP JV revs) (glass)
Tokyo Electron (8035.JP) 15% (2% of Samsung’s COGS) (semi equipment and LCD equipment)
AU Optronics (2409.TT) 13% (LCD panels)
Nitto Denko (6988.JP) 8% (LCD polarizing films)
Asahi Glass (5201.JP) tied for #4 glass customer
J Touch (3584.TT) largest customer (touchscreens)
Sumitomo Chemical (4005.JP) polarizing films, color filters
HDD Components(sold to Western Digital)
TDK (6762.JP) 4% (HDD heads)Showa Denko (4004.JP) major HDD
media customerFuji Electric (6504.JP) major HDD
media customer
Sandisk (SNDK) 10%NAND
Apple (AAPL) (NAND, DRAM, foundry for Apple app processors)
Handsets, Tablets, PCs
Source: company filings, Jefferies estimates
Source: Jefferies Global Supply Chain Databook published by Peter Misek
005930 KS
Initiating Coverage
March 25, 2013
page 54 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Executive Bios
Mr. Oh-Hyun Kwon
Vice Chairman & CEO
Education 1975 B.S. in Electrical Engineering, Seoul National University
1977 M.S. in Electrical Engineering, Korea Advanced
Institute of Science and technology
1985 Ph.D. in Electrical Engineering, Stanford University
Career 2012. 6 ~ present Vice Chairman & CEO, Chairman of the Board of
Directors
2011 Vice Chairman & Head, Device Solutions, Samsung
Electronics
2008 President & Head, Semiconductor Business, Samsung
Electronics
2004 President & Head, System LSI Division, Samsung
Electronics
2000 Head, LSI Technology, Samsung Electronics
1998 Head, ASIC Business, Samsung Electronics
Mr. Gee-Sung Choi
Vice Chairman
Education 1977 BA in International Trade from the Seoul National
University
Career June 2012 ~ present Vice Chairman, Corporate Strategy Office
2010 Vice Chairman & CEO, Chairman of the Board of
Directors
2009 President of the Digital Media & Communications
Business
2007 President & CEO of Telecommunications Business of
Samsung Electronics
2004 President & CEO of the Digital Media Business Head of
the
2002 Digital Media Business' Visual Display Division
1998 Senior Vice President of the Semiconductor Sales
Division
Mr. Ju-Hwa Yoon
President, CFO
Education 1979 SungKyunKwan University BA degree in Statistics
Career 2010~present President, Chief Financial Officer
2009 President of the Corporate Auditing Team
2007 Head of Management Support Team of the Corporate
Executive Staff and the Global ERP T/F
1998 Head of the Corporate Executive Staffs Management
Support Team
005930 KS
Initiating Coverage
March 25, 2013
page 55 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Appendix II: Broader Implications of
Moore Stress Moore’s Law Benefits. In addition to doubling the number of transistors per chip every
18-24 months, Moore’s Law has also delivered a consistent reduction in cost per
transistor to the tune of 25-30% annually. We think companies and stocks have come to
expect these phenomena.
Chart 89: Cost per Transistor over Time for Intel MPUs
Source: Wikipedia.org, anandtech.com, Intel, CNET.com, processortimeline.info, thocp.net
Is Cost Per Transistor Going Up? However, commentary from NVidia and Broadcom,
as well as an interesting analysis by Handel Jones from IBS lead us to believe that the cost
per transistor improvements historically seen at manufacturing process node transitions,
are changing for fabless players. At best, we think that the cost per transistor
improvements are decelerating from node-to-node. At worst, they start increasing. At a
minimum, cost per transistor improvements appear to be taking longer to realize.
Chart 90 shows of NVidia’s calculation of relative cost per transistor for different
manufacturing process node (extremetech.com). Chart 91 is Handel Jones’ calculation
for cost per transistor for each manufacturing process node, which we found at
embedded.com.
NVidia’s chart shows that the cost per transistor curve for the 28nm process node takes 6
quarters before crossing over the 40nm process node, but the 20nm process node takes a
full 11 quarters before crossing over the 28nm node. What is worse, it appears that the
cost improvement is nearly negligible once the cost cross over happens. Assuming that
NVidia has to spend 10s of millions of dollars if not $100m to transition between nodes,
the economics for migrating products to the new node do not appear compelling.
y = 1E+285e-0.334x
R² = 0.97340.0000001
0.0000010
0.0000100
0.0001000
0.0010000
0.0100000
0.1000000
1.0000000
19
70
19
77
19
84
19
91
19
98
20
05
20
12
Esti
mat
ed
Co
st p
er
Tran
sist
or
for
Inte
l MP
Us
005930 KS
Initiating Coverage
March 25, 2013
page 56 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 90: Nvidia’s Calculation of Cost per Transistor
Crossover Curves
Source: NVIDIA . http://www.extremetech.com/computing/123529-nvidia-deeply-unhappy-with-tsmc-claims-22nm-essentially-worthless
Chart 91: IBS Calculation of Cost per Transistor by Node
Source: IBS. http://embedded.com/discussion/other/4238315/Feature-dimension-reduction-slowdown
The chart below illustrates a shift in NVidia’s practice of introducing flagship desktop
GPUs at increasing die size. We think this reflects a shift in the cost per transistor
improvements the industry has seen over the past 40 years.
Chart 92: Nvidia Die Size for Flagship Desktop GPU
Source: Wikipedia.org, techpowerup.com
111
111 128
142
207
287
225
484(GT80)
324(GT92)
576(GT200)
470(GT200)
529(Fermi1GTX480)
520(Fermi2GTX580)
294(Kepler
GTX680)
0
100
200
300
400
500
600
700
19
99
20
00
20
01
20
02
20
03
20
04
20
05
20
06
20
07
20
08
20
09
20
10
20
11
20
12
NV
DA
Fla
gsh
ip G
PU
Die
Siz
e (
mm
^2)
Linear Fit
...but a 44% reduction in die size for most recent flagship product "Kepler" supports the thesis that there is a change in the Cost per Transitor curve at the leading edge
005930 KS
Initiating Coverage
March 25, 2013
page 57 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Consistent with Nvidia’s analysis, Broadcom’s CEO, Scott McGregor, was quoted in
Electronics Weekly (http://www.electronicsweekly.com/blogs/david-manners-
semiconductor-blog/2012/05/the-end-of-the-learning-curve.html) as saying that not
only is 28nm more expensive than 40nm now, but that based on Broadcom’s
calculations, it will never be cheaper than 40nm across the entire lifetime of the node.
More Positive on MU ($10.04, BUY) and SNDK ($55.19, BUY) Our analysis shows that memory pure plays MU and SNDK are likely to generate higher
gross margins due to higher DRAM and NAND prices, which we believe would persist
longer than investors expect. Specifically, our call is that DRAM contract prices are likely to
increase by 30% from current levels ($1.28), and NAND prices are also likely to either
remain stable or increase from current levels.
More Positive on ARM LN (878.21p, BUY) – Lee Simpson We recently upgraded ARM to Buy (from Hold) and are more positive on ARM due to 1)
our view that Samsung is well positioned to become the de facto foundry for low-power
ARM-based processors, providing a solid manufacturing roadmap for a range of ARM
licensees, and 2) our view that ARM is likely to take share in Server Processor starting in
the next ~12-to-24 months, driven by major market discontinuities, including a rapid shift
toward Cloud-based workloads, and the emergence of industry standards for software-
defined networking (SDN).
We believe ARM remains well-positioned given: (i) rising royalty rates (cortex-A driven),
(ii) record licensing (j/est backlog of $450m+), (iii) opex control, and (iv) upcoming tax
benefits. We expect earnings growth to continue largely unperturbed through 2013-14
but see much of that reflected in recent trading (up 30% since Nov'12).
More Positive on INTC ($21.33, HOLD) Longer Term – Mark Lipacis Near term, we expect Intel’s Client (PC) MPU ASPs to compress due to an ongoing shift in
the consumer mindset toward Tablet form factors priced in the ~$500 range. We also see
a similar risk of low-end disruption in Intel’s Server MPU business, owing to 1) an ongoing
industry shift toward Cloud-based workloads that require more sophisticated networking
capabilities than computational intensity, and 2) the emergence of industry standards for
software-defined networking (SDN) that threaten to disrupt proprietary solutions.
Longer term, unless Apple and Samsung resolve their disputes and agree to continue
their manufacturing partnership, we believe Apple is likely to be pushed into making a
second foundry transition to Intel, after making a troublesome first foundry transition to
TSMC.
More Cautious on AAPL ($461.91, HOLD) – Peter Misek Cut CQ1 estimates. We cut our iPhone estimate from 37.5M to 35M on 3/12 due to
builds being only ~25M. We estimate Apple is holding ~2M of excess iPhone inventory on
its balance sheet and that Hon Hai also has a few million of WIP inventory. We cut our
revenues to $41B (guidance $41-$43B; St $43B) but see a 25% probability of missed
005930 KS
Initiating Coverage
March 25, 2013
page 58 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
guidance due to sales slowing even further in H2:Mar after Samsung's Galaxy S4 launch
event on 3/14.
Moore Stress = Gross Margin Headwinds. We believe Apple has added functionality
by using NAND price declines to subsidize other costs. For the iPhone 4S we estimate the
16GB NAND cost declined by $8 and declined by $9 for the iPhone 5 in contrast to app
processor + baseband/power amps + display + assembly increasing $14/$17 for the
iPhone 4S/5. We think Apple will reap some GM improvements from the little-changed
iPhone 5S, but we expect the iPhone 6 to have a pricier app processor (mainly due to a
foundry transition to TSMC) and display (due to poor 4.8" in-cell yields) combined with
limited NAND price declines (due to industry consolidation, Moore's Stress, and price-
inelasticity), which will lead to a 200bp+ headwind for the iPhone GM.
Increased Competition. Our checks indicate Samsung Galaxy S4’s enormous CY13
build plans of 100m units (we estimate the S3 sold 60m units) is leading some suppliers
to say they would reallocate resources away from Apple. Beyond direct product
competition, our checks also indicate that Apple’s patent feud with Samsung is
emboldening other suppliers to demand more.
More Cautious on ALTR ($34.72, HOLD) and XLNX ($37.97, HOLD) – Mark Lipacis Altera and Intel’s announcement last month that Altera would outsource manufacturing
to Intel, is consistent with our Moore Stress thesis published on 26-Sep-12. We think it
highlights cost structure challenges for leading-edge semiconductor players. We are
concerned that Altera will have to pay a higher price for chips manufactured by Intel vs.
TSMC. We think this will ultimately impact their value proposition and revenue growth
potential. We also think there is increased execution risk for Altera associated with
building IP necessary to transition its front end manufacturing to Intel.
At its recently held analyst day, Xilinx’s CEO conceded that there were diminishing
transistor cost benefits to node shrinks. We think this means that 28nm will become an
“Extended” node, with higher revenues for a longer period of time. Given our view that
Xilinx has materially improved its market position on 28nm vs. 40nm, we expect Xilinx to
take share from Altera for the next several years.
While Xilinx did not intimate anything about a shift in its foundry strategy, our Moore
Stress thesis argues that all leading edge semiconductor makers will ultimately have to
source all of their advanced process node products from either Intel or Samsung. Given
Altera’s recent announcement of an exclusive FPGA fab relationship with Intel, we would
not be surprised if Xilinx ultimately moved its advanced process nodes to Samsung.
Similar to our concerns around foundry pricing for Altera at Intel, we would expect Xilinx
to pay higher prices at Samsung, and potentially use process nodes that are not directly
optimized for manufacturing FPGAs.
005930 KS
Initiating Coverage
March 25, 2013
page 59 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Appendix III: Deceleration in DRAM and
NAND Cost Reductions
Deceleration in DRAM Cost Reductions
Chart 93: DRAM ASP/GB Declined at 35% per year for 37 years
Source: Gartner, Jefferies
Chart 94: SKHynix DRAM Cost Reduction Forecast
Source: SKHynix Keynote at the Flash Summit 2012
R² = 0.9854
1
10
100
1,000
10,000
100,000
1,000,000
10,000,000
100,000,000
Q1
74
Q1
76
Q1
78
Q1
80
Q1
82
Q1
84
Q1
86
Q1
88
Q1
90
Q1
92
Q1
94
Q1
96
Q1
98
Q1
00
Q1
02
Q1
04
Q1
06
Q1
08
Q1
10
DR
AM
ASP
/GB
($
)
DRAM ASP/GB declined at 35% per year for the last 37 years
The chart shows that DRAM ASPs have
declined on average at 35% per year
for the last 37 years.
Our view is that future DRAM
technology scaling cost reductions
would range from ~20-30%, and
reduce the DRAM industry’s ability to
sustain larger ASP declines.
SKHynix believes that DRAM cost
reductions are likely to decelerate to
20-30% per year (from ~33% per year
historically) going forward.
005930 KS
Initiating Coverage
March 25, 2013
page 60 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 95: Micron’s DRAM/NAND Cost Reduction Forecast
Source: Micron’s Fall Analyst Day 2012
Micron believes that DRAM cost
reductions are likely to decelerate to
~25-30% per year (from ~30% per
year historically) going forward.
Micron believes that NAND cost
reductions are likely to decelerate to
~25-30% per year (from ~40% per
year historically) going forward.
005930 KS
Initiating Coverage
March 25, 2013
page 61 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Deceleration in NAND Cost Reductions
Chart 96: NAND ASP/GB Declined at 50% per year for 11 years
Source: Gartner, Jefferies
Chart 97: SanDisk Forecast for NAND Cost Reductions
Source: SanDisk Analyst Day 2012
R² = 0.9785
0
1
10
100
1,000
10,000
1Q
00
4Q
00
3Q
01
2Q
02
1Q
03
4Q
03
3Q
04
2Q
05
1Q
06
4Q
06
3Q
07
2Q
08
1Q
09
4Q
09
3Q
10
2Q
11
NA
ND
ASP
/GB
($
)
NAND ASPs have declinedat 50% per year for the last 11 years
The chart shows that NAND ASPs have
declined on average at 50% per year
for the last 11 years.
Our view is that future NAND
technology scaling cost reductions
would range from ~25-35%, and
reduce the NAND industry’s ability to
sustain larger ASP declines.
SanDisk believes that NAND cost
reductions are likely to decelerate to
25-35% per year (from ~30-55% per
year historically) going forward.
005930 KS
Initiating Coverage
March 25, 2013
page 62 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Appendix IV: Samsung ATIV Smart PC
(Win8) – Proprietary Test Results We summarize our proprietary test results after comparing Samsung’s Atom-based
ATIV 500T Win8 Tablet-PC with three devices:
A first-generation Ultrabook (Dell XPS13) which uses Intel’s Sandy Bridge MPU.
A second-generation Ultrabook (Fujitsu Lifebook U772) which uses Intel’s Ivy
Bridge MPU, and
An iPad 3 with WiFi (no 3G)
Chart 98: System Specifications and Key Attributes
Source: Dell, Fujitsu, Apple, Intel, Jefferies * with optional camera connection kit ** includes Bluetooth keyboard sleeve and camera connection kit
In our tests the Samsung ATIV 500T was able to play locally stored movies
back-to-back for 10+ hours.
Dell XPS13 Fujitsu Lifebook U772 Samsung ATIV 500T iPad3
Price $999 $1,149 $749 *** $677 **
CPU i5-2467M @ 1.6GHz i5-3317U @1.7GHz Z2760 @1.8GHz A5X @ 1 GHz
Turbo to 2.3GHz Turbo to 2.6GHz Atom SoC
Sandy Bridge Ivy Bridge
32nm 22nm 32nm 40nm
DRAM 4 GB 4 GB 2 GB 1 GB
SSD 128 GB 128 GB 64 GB 16 GB
available storage 60 GB 60 GB 50 GB 16 GB
Display size 13" 14" 11.6" 10"
Display resolution 1366x768 1368x768 1368x768 2048x1536
Battery 47 WHr 6-cell 45 WHr 4-cell 30 WHr 2-cell 42.5 WHr
Camera 1.3 MP 1 MP 2 MP/8 MP 5 MP
USB ports 2 3 3 *** 1*
microSD card slot 0 0 1 0
Length 316mm 327mm 295mm 241mm
Width 205mm 225mm 183mm 186mm
Thickness 6-18mm 17.5mm 9.6mm 9.4mm
Weight 2.99 lbs 3.15 lbs TBD (1.65 - 3.0 lbs) 3.0 lbs **
Connectivity WiFi, BT WiFi, BT WiFi, BT WiFi, BT
Trackpad Cypress Synaptics N/A
005930 KS
Initiating Coverage
March 25, 2013
page 63 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Chart 99: Battery Life Scenario Performance
Source: Jefferies
Our tests indicate the Atom-based Samsung ATIV 500T starts up and shuts
down faster than the iPad.
Chart 100: System Startup and Shutdown Performance
Source: Jefferies
We found the Atom-based Samsung ATIV 500T to be twice as fast compared
to the iPad 3 in transcoding performance. Jefferies AAPL analyst Peter Misek
believes that the new iPad (iPad 4) doubles transcoding performance, putting it roughly
on par with the Atom-based Samsung Win8 Tablet-PC.
Chart 101: Transcoding Performance
Source: Jefferies
Dell XPS13 Fujitsu Lifebook U772 Samsung ATIV 500T iPad3
Battery Life (default display settings) - Movie stored on device
Continuous Movie Playback 4 hr 37 min 4 hr 9 min 10+ hr 13+ hr
System Startup Dell XPS13 Fujitsu Lifebook U772 Samsung ATIV 500T iPad3
On Battery (seconds)
Startup to Login Prompt 15 18 12 24
Login + load applications 40 47 25 -
Sleep (Suspend) 1 1 1 1
Resume from Sleep 2 2 1 1
Shutdown 12 10 5 8
Dell XPS13 Fujitsu Lifebook U772 Samsung ATIV 500T iPad3
Workload # 1
input: 304MB, 2 min, .MOV MPEG-2 format, 1920x1280
(video shot using iPad)
output: ~30MB, 1280x720 (1080p)
(for YouTube HD)
On Battery
Transcoding Time 27 sec 21 sec 48 sec 80 sec *
Workload # 2
input: 1.5GB, 10 min, .MOV MPEG-2 format, 1920x1280
(video shot using iPad)
output: ~150MB, 1280x720 (1080p)
(for YouTube HD)
On Battery
Transcoding Time 114 sec 114 sec 240 sec 392 sec *
005930 KS
Initiating Coverage
March 25, 2013
page 64 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
We found the Atom-based Samsung ATIV 500T to be ~7x faster than the iPad
in compressing RAW images to JPEG.
Chart 102: Photo Processing Performance
Source: Jefferies * Third party app called piRAWnha used to process 10 RAW images (maximum supported in batch mode) ** 10 RAW images processed in batch mode
Photo Processing Dell XPS13 Fujitsu Lifebook U772 Samsung ATIV 500T ** iPad3 *
Bibble Lite: Time taken to convert 200 images from RAW to JPEG in batch mode
On Battery (seconds per image) 1.72 4.05 4.6 31
005930 KS
Initiating Coverage
March 25, 2013
page 65 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Company DescriptionSamsung Electronics Co. Ltd., together with its subsidiaries, designs, manufactures, distributes, and sells finished electronic products anddevice solutions worldwide. It offers consumer products, including mobile phones, tablets, and televisions; home appliances, such asrefrigerators, air conditioners, and washing machines; PC/peripherals/printers, and memory and storage products. The company is alsothe world’s largest manufacturer of integrated circuits for storing digital information, including dynamic random access memory (DRAM),static random access memory (SRAM), NAND flash memory, and Solid State Drives (SSDs). Further, the company offers telecommunicationproducts such as mobile WiMAX, WCDMA, CDMA, Femto cell, and enterprise networking systems. It has operations in Korea, the UnitedStates, Europe, Asia, Africa, and China. Samsung Electronics Co. Ltd. was founded in 1938 and is headquartered in Seoul, South Korea.
Analyst CertificationI, Sundeep Bajikar, certify that all of the views expressed in this research report accurately reflect my personal views about the subject security(ies) andsubject company(ies). I also certify that no part of my compensation was, is, or will be, directly or indirectly, related to the specific recommendationsor views expressed in this research report.I, Mark Lipacis, certify that all of the views expressed in this research report accurately reflect my personal views about the subject security(ies) andsubject company(ies). I also certify that no part of my compensation was, is, or will be, directly or indirectly, related to the specific recommendationsor views expressed in this research report.I, Peter Misek, CFA, CPA, certify that all of the views expressed in this research report accurately reflect my personal views about the subjectsecurity(ies) and subject company(ies). I also certify that no part of my compensation was, is, or will be, directly or indirectly, related to the specificrecommendations or views expressed in this research report.I, Lee Simpson, certify that all of the views expressed in this research report accurately reflect my personal views about the subject security(ies) andsubject company(ies). I also certify that no part of my compensation was, is, or will be, directly or indirectly, related to the specific recommendationsor views expressed in this research report.I, Yoshihiro Azuma, certify that all of the views expressed in this research report accurately reflect my personal views about the subject security(ies) andsubject company(ies). I also certify that no part of my compensation was, is, or will be, directly or indirectly, related to the specific recommendationsor views expressed in this research report.I, Masahiro Wakasugi, certify that all of the views expressed in this research report accurately reflect my personal views about the subjectsecurity(ies) and subject company(ies). I also certify that no part of my compensation was, is, or will be, directly or indirectly, related to the specificrecommendations or views expressed in this research report.I, Ken Hui, certify that all of the views expressed in this research report accurately reflect my personal views about the subject security(ies) and subjectcompany(ies). I also certify that no part of my compensation was, is, or will be, directly or indirectly, related to the specific recommendations or viewsexpressed in this research report.Registration of non-US analysts: Lee Simpson is employed by Jefferies International Limited, a non-US affiliate of Jefferies & Company, Inc. andis not registered/qualified as a research analyst with FINRA. This analyst(s) may not be an associated person of Jefferies & Company, Inc., a FINRAmember firm, and therefore may not be subject to the NASD Rule 2711 and Incorporated NYSE Rule 472 restrictions on communications with a subjectcompany, public appearances and trading securities held by a research analyst.
Registration of non-US analysts: Yoshihiro Azuma is employed by Jefferies (Japan) Limited, a non-US affiliate of Jefferies & Company, Inc. andis not registered/qualified as a research analyst with FINRA. This analyst(s) may not be an associated person of Jefferies & Company, Inc., a FINRAmember firm, and therefore may not be subject to the NASD Rule 2711 and Incorporated NYSE Rule 472 restrictions on communications with a subjectcompany, public appearances and trading securities held by a research analyst.
Registration of non-US analysts: Masahiro Wakasugi is employed by Jefferies (Japan) Limited, a non-US affiliate of Jefferies & Company, Inc. andis not registered/qualified as a research analyst with FINRA. This analyst(s) may not be an associated person of Jefferies & Company, Inc., a FINRAmember firm, and therefore may not be subject to the NASD Rule 2711 and Incorporated NYSE Rule 472 restrictions on communications with a subjectcompany, public appearances and trading securities held by a research analyst.
Registration of non-US analysts: Ken Hui is employed by Jefferies Hong Kong Limited, a non-US affiliate of Jefferies & Company, Inc. and is notregistered/qualified as a research analyst with FINRA. This analyst(s) may not be an associated person of Jefferies & Company, Inc., a FINRA memberfirm, and therefore may not be subject to the NASD Rule 2711 and Incorporated NYSE Rule 472 restrictions on communications with a subject company,public appearances and trading securities held by a research analyst.
As is the case with all Jefferies employees, the analyst(s) responsible for the coverage of the financial instruments discussed in this report receivescompensation based in part on the overall performance of the firm, including investment banking income. We seek to update our research asappropriate, but various regulations may prevent us from doing so. Aside from certain industry reports published on a periodic basis, the large majorityof reports are published at irregular intervals as appropriate in the analyst's judgement.
Company Specific DisclosuresTimothy O'Shea owns a long equity position of Google Inc. Common Stock.Rafi Hassan owns shares of Nividia Corporation common stock.
For Important Disclosure information on companies recommended in this report, please visit our website at https://javatar.bluematrix.com/sellside/Disclosures.action or call 212.284.2300.
005930 KS
Initiating Coverage
March 25, 2013
page 66 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
Meanings of Jefferies RatingsBuy - Describes stocks that we expect to provide a total return (price appreciation plus yield) of 15% or more within a 12-month period.Hold - Describes stocks that we expect to provide a total return (price appreciation plus yield) of plus 15% or minus 10% within a 12-month period.Underperform - Describes stocks that we expect to provide a total negative return (price appreciation plus yield) of 10% or more within a 12-monthperiod.The expected total return (price appreciation plus yield) for Buy rated stocks with an average stock price consistently below $10 is 20% or more withina 12-month period as these companies are typically more volatile than the overall stock market. For Hold rated stocks with an average stock priceconsistently below $10, the expected total return (price appreciation plus yield) is plus or minus 20% within a 12-month period. For Underperformrated stocks with an average stock price consistently below $10, the expected total return (price appreciation plus yield) is minus 20% within a 12-month period.NR - The investment rating and price target have been temporarily suspended. Such suspensions are in compliance with applicable regulations and/or Jefferies policies.CS - Coverage Suspended. Jefferies has suspended coverage of this company.NC - Not covered. Jefferies does not cover this company.Restricted - Describes issuers where, in conjunction with Jefferies engagement in certain transactions, company policy or applicable securitiesregulations prohibit certain types of communications, including investment recommendations.Monitor - Describes stocks whose company fundamentals and financials are being monitored, and for which no financial projections or opinions onthe investment merits of the company are provided.
Valuation MethodologyJefferies' methodology for assigning ratings may include the following: market capitalization, maturity, growth/value, volatility and expected totalreturn over the next 12 months. The price targets are based on several methodologies, which may include, but are not restricted to, analyses of marketrisk, growth rate, revenue stream, discounted cash flow (DCF), EBITDA, EPS, cash flow (CF), free cash flow (FCF), EV/EBITDA, P/E, PE/growth, P/CF,P/FCF, premium (discount)/average group EV/EBITDA, premium (discount)/average group P/E, sum of the parts, net asset value, dividend returns,and return on equity (ROE) over the next 12 months.
Conviction List Methodology
1. The aim of the conviction list is to publicise the best individual stock ideas from Jefferies Global Research2. Only stocks with a Buy rating are allowed to be included in the recommended list.3. Stocks are screened for minimum market capitalisation and adequate daily turnover. Furthermore, a valuation, correlation and style screen
is used to ensure a well-diversified portfolio.4. Stocks are sorted to a maximum of 30 stocks with the maximum country exposure at around 50%. Limits are also imposed on a sector basis.5. Once a month, analysts are invited to recommend their best ideas. Analysts’ stock selection can be based on one or more of the following:
non-Consensus investment view, difference in earnings relative to Consensus, valuation methodology, target upside/downside % relativeto the current stock price. These are then assessed against existing holdings to ensure consistency. Stocks that have either reached theirtarget price, been downgraded over the course of the month or where a more suitable candidate has been found are removed.
6. All stocks are inserted at the last closing price and removed at the last closing price. There are no changes to the conviction list duringthe month.
7. Performance is calculated in US dollars on an equally weighted basis and is compared to MSCI World AC US$.8. The conviction list is published once a month whilst global equity markets are closed.9. Transaction fees are not included.
10. All corporate actions are taken into account.
Risk which may impede the achievement of our Price TargetThis report was prepared for general circulation and does not provide investment recommendations specific to individual investors. As such, thefinancial instruments discussed in this report may not be suitable for all investors and investors must make their own investment decisions basedupon their specific investment objectives and financial situation utilizing their own financial advisors as they deem necessary. Past performance ofthe financial instruments recommended in this report should not be taken as an indication or guarantee of future results. The price, value of, andincome from, any of the financial instruments mentioned in this report can rise as well as fall and may be affected by changes in economic, financialand political factors. If a financial instrument is denominated in a currency other than the investor's home currency, a change in exchange rates mayadversely affect the price of, value of, or income derived from the financial instrument described in this report. In addition, investors in securities suchas ADRs, whose values are affected by the currency of the underlying security, effectively assume currency risk.
Other Companies Mentioned in This Report• Altera Corp (ALTR: $34.72, HOLD)• Apple Inc. (AAPL: $461.91, HOLD)• ARM Holdings plc (ARM LN: p916.00, BUY)• Cavium Inc. (CAVM: $37.63, BUY)• Google, Inc. (GOOG: $810.31, BUY)• Intel Corporation (INTC: $21.33, HOLD)
005930 KS
Initiating Coverage
March 25, 2013
page 67 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
• Micron Technology, Inc. (MU: $10.04, BUY)• NVIDIA Corporation (NVDA: $12.48, HOLD)• SanDisk Corporation (SNDK: $55.19, BUY)• Spreadtrum Communications Inc. (SPRD US: $19.40, BUY)• Xilinx Corp (XLNX: $37.97, HOLD)
Distribution of RatingsIB Serv./Past 12 Mos.
Rating Count Percent Count Percent
BUY 753 47.06% 127 16.87%HOLD 714 44.62% 86 12.04%UNDERPERFORM 133 8.31% 2 1.50%
Other Important Disclosures
Jefferies Equity Research refers to research reports produced by analysts employed by one of the following Jefferies Group LLC (“Jefferies”) groupcompanies:
United States: Jefferies LLC which is an SEC registered firm and a member of FINRA.
United Kingdom: Jefferies International Limited, which is authorized and regulated by the Financial Services Authority; registered in England andWales No. 1978621; registered office: Vintners Place, 68 Upper Thames Street, London EC4V 3BJ; telephone +44 (0)20 7029 8000; facsimile +44 (0)207029 8010.
Hong Kong: Jefferies Hong Kong Limited, which is licensed by the Securities and Futures Commission of Hong Kong with CE number ATS546; locatedat Suite 2201, 22nd Floor, Cheung Kong Center, 2 Queen’s Road Central, Hong Kong.
Singapore: Jefferies Singapore Limited, which is licensed by the Monetary Authority of Singapore; located at 80 Raffles Place #15-20, UOB Plaza 2,Singapore 048624, telephone: +65 6551 3950.
Japan: Jefferies (Japan) Limited, Tokyo Branch, which is a securities company registered by the Financial Services Agency of Japan and is a memberof the Japan Securities Dealers Association; located at Hibiya Marine Bldg, 3F, 1-5-1 Yuraku-cho, Chiyoda-ku, Tokyo 100-0006; telephone +813 52516100; facsimile +813 5251 6101.
India: Jefferies India Private Limited, which is licensed by the Securities and Exchange Board of India as a Merchant Banker (INM000011443) and a StockBroker with Bombay Stock Exchange Limited (INB011438539) and National Stock Exchange of India Limited (INB231438533) in the Capital MarketSegment; located at 42/43, 2 North Avenue, Maker Maxity, Bandra-Kurla Complex, Bandra (East) Mumbai 400 051, India; Tel +91 22 4356 6000.
This material has been prepared by Jefferies employing appropriate expertise, and in the belief that it is fair and not misleading. The information setforth herein was obtained from sources believed to be reliable, but has not been independently verified by Jefferies. Therefore, except for any obligation
005930 KS
Initiating Coverage
March 25, 2013
page 68 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
under applicable rules we do not guarantee its accuracy. Additional and supporting information is available upon request. Unless prohibited by theprovisions of Regulation S of the U.S. Securities Act of 1933, this material is distributed in the United States ("US"), by Jefferies LLC, a US-registeredbroker-dealer, which accepts responsibility for its contents in accordance with the provisions of Rule 15a-6, under the US Securities Exchange Act of1934. Transactions by or on behalf of any US person may only be effected through Jefferies LLC. In the United Kingdom and European EconomicArea this report is issued and/or approved for distribution by Jefferies International Limited and is intended for use only by persons who have, or havebeen assessed as having, suitable professional experience and expertise, or by persons to whom it can be otherwise lawfully distributed. JefferiesInternational Limited has adopted a conflicts management policy in connection with the preparation and publication of research, the details of whichare available upon request in writing to the Compliance Officer. Jefferies International Limited may allow its analysts to undertake private consultancywork. Jefferies International Limited’s conflicts management policy sets out the arrangements Jefferies International Limited employs to manage anypotential conflicts of interest that may arise as a result of such consultancy work. For Canadian investors, this material is intended for use only byprofessional or institutional investors. None of the investments or investment services mentioned or described herein is available to other personsor to anyone in Canada who is not a "Designated Institution" as defined by the Securities Act (Ontario). In Singapore, Jefferies Singapore Limited isregulated by the Monetary Authority of Singapore. For investors in the Republic of Singapore, this material is provided by Jefferies Singapore Limitedpursuant to Regulation 32C of the Financial Advisers Regulations. The material contained in this document is intended solely for accredited, expert orinstitutional investors, as defined under the Securities and Futures Act (Cap. 289 of Singapore). If there are any matters arising from, or in connectionwith this material, please contact Jefferies Singapore Limited, located at 80 Raffles Place #15-20, UOB Plaza 2, Singapore 048624, telephone: +656551 3950. In Japan this material is issued and distributed by Jefferies (Japan) Limited to institutional investors only. In Hong Kong, this report isissued and approved by Jefferies Hong Kong Limited and is intended for use only by professional investors as defined in the Hong Kong Securities andFutures Ordinance and its subsidiary legislation. In the Republic of China (Taiwan), this report should not be distributed. The research in relation tothis report is conducted outside the PRC. This report does not constitute an offer to sell or the solicitation of an offer to buy any securities in the PRC.PRC investors shall have the relevant qualifications to invest in such securities and shall be responsible for obtaining all relevant approvals, licenses,verifications and/or registrations from the relevant governmental authorities themselves. In India this report is made available by Jefferies India PrivateLimited. In Australia this information is issued solely by Jefferies International Limited and is directed solely at wholesale clients within the meaning ofthe Corporations Act 2001 of Australia (the "Act") in connection with their consideration of any investment or investment service that is the subject ofthis document. Any offer or issue that is the subject of this document does not require, and this document is not, a disclosure document or productdisclosure statement within the meaning of the Act. Jefferies International Limited is authorised and regulated by the Financial Services Authorityunder the laws of the United Kingdom, which differ from Australian laws. Jefferies International Limited has obtained relief under Australian Securitiesand Investments Commission Class Order 03/1099, which conditionally exempts it from holding an Australian financial services licence under theAct in respect of the provision of certain financial services to wholesale clients. Recipients of this document in any other jurisdictions should informthemselves about and observe any applicable legal requirements in relation to the receipt of this document.
This report is not an offer or solicitation of an offer to buy or sell any security or derivative instrument, or to make any investment. Any opinion orestimate constitutes the preparer's best judgment as of the date of preparation, and is subject to change without notice. Jefferies assumes no obligationto maintain or update this report based on subsequent information and events. Jefferies, its associates or affiliates, and its respective officers, directors,and employees may have long or short positions in, or may buy or sell any of the securities, derivative instruments or other investments mentioned ordescribed herein, either as agent or as principal for their own account. Upon request Jefferies may provide specialized research products or servicesto certain customers focusing on the prospects for individual covered stocks as compared to other covered stocks over varying time horizons orunder differing market conditions. While the views expressed in these situations may not always be directionally consistent with the long-term viewsexpressed in the analyst's published research, the analyst has a reasonable basis and any inconsistencies can be reasonably explained. This materialdoes not constitute a personal recommendation or take into account the particular investment objectives, financial situations, or needs of individualclients. Clients should consider whether any advice or recommendation in this report is suitable for their particular circumstances and, if appropriate,seek professional advice, including tax advice. The price and value of the investments referred to herein and the income from them may fluctuate. Pastperformance is not a guide to future performance, future returns are not guaranteed, and a loss of original capital may occur. Fluctuations in exchangerates could have adverse effects on the value or price of, or income derived from, certain investments. This report has been prepared independently ofany issuer of securities mentioned herein and not in connection with any proposed offering of securities or as agent of any issuer of securities. Noneof Jefferies, any of its affiliates or its research analysts has any authority whatsoever to make any representations or warranty on behalf of the issuer(s).Jefferies policy prohibits research personnel from disclosing a recommendation, investment rating, or investment thesis for review by an issuer priorto the publication of a research report containing such rating, recommendation or investment thesis. Any comments or statements made herein arethose of the author(s) and may differ from the views of Jefferies.
This report may contain information obtained from third parties, including ratings from credit ratings agencies such as Standard & Poor’s. Reproductionand distribution of third party content in any form is prohibited except with the prior written permission of the related third party. Third party contentproviders do not guarantee the accuracy, completeness, timeliness or availability of any information, including ratings, and are not responsible forany errors or omissions (negligent or otherwise), regardless of the cause, or for the results obtained from the use of such content. Third party contentproviders give no express or implied warranties, including, but not limited to, any warranties of merchantability or fitness for a particular purpose oruse. Third party content providers shall not be liable for any direct, indirect, incidental, exemplary, compensatory, punitive, special or consequentialdamages, costs, expenses, legal fees, or losses (including lost income or profits and opportunity costs) in connection with any use of their content,including ratings. Credit ratings are statements of opinions and are not statements of fact or recommendations to purchase, hold or sell securities. Theydo not address the suitability of securities or the suitability of securities for investment purposes, and should not be relied on as investment advice.
Jefferies research reports are disseminated and available primarily electronically, and, in some cases, in printed form. Electronic research issimultaneously available to all clients. This report or any portion hereof may not be reprinted, sold or redistributed without the written consent ofJefferies. Neither Jefferies nor any officer nor employee of Jefferies accepts any liability whatsoever for any direct, indirect or consequential damagesor losses arising from any use of this report or its contents.
005930 KS
Initiating Coverage
March 25, 2013
page 69 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.
For Important Disclosure information, please visit our website at https://javatar.bluematrix.com/sellside/Disclosures.action or call 1.888.JEFFERIES
© 2013 Jefferies Group LLC
005930 KS
Initiating Coverage
March 25, 2013
page 70 of 70 , Equity Analyst, (415) 229-1552, [email protected] Bajikar
Please see important disclosure information on pages 66 - 70 of this report.