Resisting oxygen plasma damage in low-k hydrogen silsesquioxane films by hydrogen plasma treatment

3
Resisting oxygen plasma damage in low-k hydrogen silsesquioxane films by hydrogen plasma treatment Sunil Kumar Singh, A.A. Kumbhar, R.O. Dusane Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology, Bombay, Mumbai-400076, India Received 8 August 2005; accepted 22 November 2005 Available online 12 December 2005 Abstract Low-density materials, such as the commercially available hydrogen silsesquioxane (HSQ) offer a low dielectric constant. Thus, HSQ with a low value of k (2.85) can be spin-coated if the density of SiH bonding is maintained at a high level and the formation of OH bonds and absorption of water in the film is minimized. O 2 plasma exposure on HSQ film increases leakage current. Also the dielectric constant shows a significant increase after O 2 plasma exposure. Another consequence of the O 2 plasma exposure is the significant decrease in the contact angle of the HSQ surface, which is not desirable. In this paper, we demonstrate that the surface passivation by hydrogen followed by oxygen plasma treatment of HSQ film for 30 min each leads to a regain of leakage current density and dielectric constant. These results show that the H 2 plasma treatment is a promising technique to prevent the damage in the commercially available and highly applicable low-k materials and it also increases the visibility of its use at the 0.1-μm technology. The more hydrophilic nature of the HSQ surface after O 2 plasma exposure leads to an increased moisture absorption with a subsequent increase in the dielectric constant. © 2005 Elsevier B.V. All rights reserved. Keywords: Hydrogen silsesquioxane (HSQ); H 2 plasma; Low-k dielectrics; Contact angle; Leakage current 1. Introduction The reduction in dimensions of devices to achieve faster performance has led a very large device density in today's ULSI circuits. The smaller line dimension increases the resistance (R) of the metal lines and the narrower interline spacing increases the capacitance between the lines. This leads to an increase in the RC delay, which increases with scaling down and leads to various problems. This RC delay can be reduced by using Cu instead of Al (by approximately 35%), and by using inter-metal dielectric with dielectric constant k lower than that of SiO 2 (4), so called low-k dielectrics [13]. Replacement of SiO 2 by air (k 1) and Al by Cu for the same circuitry can reduce RC delay by 75%. The general way of decreasing the dielectric constant is to increase the porosity in the low-k films. Dielectric materials such as HSQ, porous silica, MSQ and even materials like siliconcarbon and fluorinated carbon are presently under investigation [46]. Hydrogen silsesquioxane (HSQ) is one of the promising candidates with a highly porous three-dimen- sional network structure. The general formula for HSQ is (HSiO 3/2 ) 2n where n =38. Hydrogen silsesquioxane has many advantages, such as low dielectric constant, has carbon free structure, non-etch back processing, excellent gap filling, good planarization and low moisture absorption properties. Multi- level wiring schemes are now routinely used and would become more demanding with the increase in device density in the circuits. The dielectric between the wiring levels must have high quality and reliability, low stress, should be prepared through a simple process and compatible to different processes employed during integration [7]. Plasma exposure is widely used for post-etch treatment, such as resist strip or residue etch cleaning [8]. Oxygen plasma is commonly used for this purpose. However, oxygen plasma oxidizes most of the low-k dielectrics, removing hydrophobic SiH bonds and thus increases the hydrophilicity of these films. In other words, the oxygen plasma destroys the low-k properties of HSQ films. Thus, the dielectric properties of the HSQ deteriorate during photo-resist stripping process. In the present Materials Letters 60 (2006) 1579 1581 www.elsevier.com/locate/matlet Corresponding author. Fax: +91 22 25723480. E-mail address: [email protected] (R.O. Dusane). 0167-577X/$ - see front matter © 2005 Elsevier B.V. All rights reserved. doi:10.1016/j.matlet.2005.11.071

Transcript of Resisting oxygen plasma damage in low-k hydrogen silsesquioxane films by hydrogen plasma treatment

06) 1579–1581www.elsevier.com/locate/matlet

Materials Letters 60 (20

Resisting oxygen plasma damage in low-k hydrogen silsesquioxanefilms by hydrogen plasma treatment

Sunil Kumar Singh, A.A. Kumbhar, R.O. Dusane ⁎

Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology, Bombay, Mumbai-400076, India

Received 8 August 2005; accepted 22 November 2005Available online 12 December 2005

Abstract

Low-density materials, such as the commercially available hydrogen silsesquioxane (HSQ) offer a low dielectric constant. Thus, HSQ with alow value of k (∼2.85) can be spin-coated if the density of Si–H bonding is maintained at a high level and the formation of –OH bonds andabsorption of water in the film is minimized. O2 plasma exposure on HSQ film increases leakage current. Also the dielectric constant shows asignificant increase after O2 plasma exposure. Another consequence of the O2 plasma exposure is the significant decrease in the contact angle ofthe HSQ surface, which is not desirable. In this paper, we demonstrate that the surface passivation by hydrogen followed by oxygen plasmatreatment of HSQ film for 30 min each leads to a regain of leakage current density and dielectric constant. These results show that the H2 plasmatreatment is a promising technique to prevent the damage in the commercially available and highly applicable low-k materials and it also increasesthe visibility of its use at the 0.1-μm technology. The more hydrophilic nature of the HSQ surface after O2 plasma exposure leads to an increasedmoisture absorption with a subsequent increase in the dielectric constant.© 2005 Elsevier B.V. All rights reserved.

Keywords: Hydrogen silsesquioxane (HSQ); H2 plasma; Low-k dielectrics; Contact angle; Leakage current

1. Introduction

The reduction in dimensions of devices to achieve fasterperformance has led a very large device density in today's ULSIcircuits. The smaller line dimension increases the resistance (R)of the metal lines and the narrower interline spacing increasesthe capacitance between the lines. This leads to an increase inthe RC delay, which increases with scaling down and leads tovarious problems. This RC delay can be reduced by using Cuinstead of Al (by approximately 35%), and by using inter-metaldielectric with dielectric constant k lower than that of SiO2

(∼4), so called low-k dielectrics [1–3]. Replacement of SiO2 byair (k∼1) and Al by Cu for the same circuitry can reduce RCdelay by 75%. The general way of decreasing the dielectricconstant is to increase the porosity in the low-k films. Dielectricmaterials such as HSQ, porous silica, MSQ and even materialslike silicon–carbon and fluorinated carbon are presently under

⁎ Corresponding author. Fax: +91 22 25723480.E-mail address: [email protected] (R.O. Dusane).

0167-577X/$ - see front matter © 2005 Elsevier B.V. All rights reserved.doi:10.1016/j.matlet.2005.11.071

investigation [4–6]. Hydrogen silsesquioxane (HSQ) is one ofthe promising candidates with a highly porous three-dimen-sional network structure. The general formula for HSQ is(HSiO3/2)2n where n=3–8. Hydrogen silsesquioxane has manyadvantages, such as low dielectric constant, has carbon freestructure, non-etch back processing, excellent gap filling, goodplanarization and low moisture absorption properties. Multi-level wiring schemes are now routinely used and would becomemore demanding with the increase in device density in thecircuits. The dielectric between the wiring levels must have highquality and reliability, low stress, should be prepared through asimple process and compatible to different processes employedduring integration [7].

Plasma exposure is widely used for post-etch treatment, suchas resist strip or residue etch cleaning [8]. Oxygen plasma iscommonly used for this purpose. However, oxygen plasmaoxidizes most of the low-k dielectrics, removing hydrophobicSi–H bonds and thus increases the hydrophilicity of these films.In other words, the oxygen plasma destroys the low-k propertiesof HSQ films. Thus, the dielectric properties of the HSQdeteriorate during photo-resist stripping process. In the present

Table 1Process parameters for H2 and O2 plasma treatment

Parameter H2 plasma O2 plasma

RF power 200 W 200 WGas flow 10 sccm 10 sccmPressure 500 mTorr 500 mTorrTime 30 min 30 min

Fig. 3. Water contact angle of the HSQ, HSQ+H2 plasma, HSQ+O2 plasma andHSQ+H2 plasma+O2 plasma treatment.

1580 S.K. Singh et al. / Materials Letters 60 (2006) 1579–1581

study, the effect of H2 plasma treatment prior to O2 plasma onthe structural and electrical properties of the spin-coated HSQfilms was investigated. H2 plasma and O2 plasma treatmentwere also performed on the HSQ films and their structural andelectrical properties were also studied.

2. Experimental

HSQ films were spin coated on to p-type silicon wafers andbaked on a hot plate at 100 °C, 250 °C and 350 °C for 1 min

Fig. 1. FTIR spectra of HSQ, HSQ+H2 plasma, HSQ+O2 plasma and HSQ+H2

plasma+O2 plasma treatment in the range (4000–1500 cm−1).

Fig. 2. Refractive index of the HSQ, HSQ+H2 plasma, HSQ+O2 plasma andHSQ+H2 plasma+O2 plasma treatment.

each followed by furnace curing for 1 h in nitrogen ambient at400 °C [4]. The HSQ films were exposed to hydrogen plasmatreatment in RF plasma chamber. For the moisture reliabilitytest, the as-cured as well as the 30-min H2 plasma-treated HSQfilms were exposed to O2 plasma treatment for 30 min. Thetreatment parameters are given in Table 1.

Fourier Transform Infrared Spectroscopy (FTIR) can helpto understand the bonding configuration of the material. Thefilm thickness and refractive index were measured byEllipsometry (Sentech Model SE 800). The film surfacehydrophobicity is studied by contact angle measurements(Rame Hart, Model 100-00-230). MIS capacitors werefabricated by evaporating Cu (copper) (1 mm dots diameterfor front contact) and also as the back contact of Al(aluminum) to the silicon wafer. A Model 82 CV (Agilent)meter was used to measure the dielectric constant of HSQ.The capacitance was measured at 100 kHz. The DC current–voltage (I–V) characteristics were measured with a Keithley

Fig. 4. Dielectric constant of the HSQ, HSQ+H2 plasma, HSQ+O2 plasma andHSQ+H2 plasma+O2 plasma treatment.

Fig. 5. Leakage current density of the HSQ, HSQ+H2 plasma, HSQ+O2 plasmaand HSQ+H2 plasma+O2 plasma treatment.

1581S.K. Singh et al. / Materials Letters 60 (2006) 1579–1581

(Model SMU 2400) setup to evaluate the insulating propertyof HSQ film.

3. Results and discussion

Fig. 1 shows the FTIR spectra of HSQ, HSQ+H2 plasma, HSQ+O2

plasma and HSQ+H2 plasma+O2 plasma treatment in the range of4000–1500 cm−1. The appearance of the Si–OH bonds in the O2

plasma treatment film at 3400 cm−1 is due to the conversion of the Si–H bonds (2250 cm−1) into Si–OH (3400 cm−1) bonds, when O2 plasmainteracts with the HSQ film. The intensity of the Si–H bond decreasedand that of the Si–OH bond increased after the O2 plasma treatment.There could be two possible reasons for the increase in the Si–OH bonddensity. One is that when the O2 plasma breaks Si–H bonds, HSQimmediately absorbs O radicals to convert Si–H bonds into Si–OHbonds. The other is that the dangling bonds absorb moisture fromatmosphere immediately when the HSQ film is exposed to air after theO2 plasma treatment. The intensity of the Si–H bond increased after H2

plasma treatment on the HSQ film. In the case of HSQ+H2 plasma,HSQ+H2 plasma+O2 plasma treatment samples, no signal of the Si–OH bonds are seen. This is due to the H2 plasma treatment, whichpassivates the surface dangling bonds and does not allow the films toabsorb moisture. The plasma treatment leads to an increase in therefractive index (Fig. 2), because of the ion bombardment on the HSQfilm, which makes the film dense. The O2 plasma-treated HSQ filmshows higher refractive index than that of H2 plasma and H2 plasma+O2 plasma-treated ones. Also, the H2 plasma treatment changes thenature of the film surface from hydrophilic to hydrophobic; whereas thetreatment by O2 plasma shows the reverse behavior. This is confirmedfrom the contact angle measurements, done on the films with variousplasma treatments, as shown in Fig. 3.

Fig. 4 shows the dielectric constant of HSQ films before and aftervarious plasma treatments. The dielectric constant of the HSQ filmincreased after O2 plasma treatment, where it decreased after H2 plasmatreatment. However, the HSQ+H2 plasma+O2 plasma-treated sample

showed the dielectric constant 3. On the H2 plasma treatment, theleakage current density decreased appreciably by one order ofmagnitude, whereas by the O2 plasma treatment, it increases by twoorders of magnitude. However, the leakage current density of the HSQ+H2 plasma+O2 plasma-treated film showed nearly same as that of as-deposited HSQ, which is two orders of magnitude less than that of O2

plasma-treated film, shown in Fig. 5. These observations clearlyindicate that the prior H2 plasma treatment can effectively prevent thedamage caused by O2 plasma treatment, which is an essential part ofdevice making in ULSI applications.

4. Conclusions

In this study, we have shown that HSQ films are prone todamage when exposed to oxygen plasma. As we know, suchplasma exposure is unavoidable during the O2 plasma ashingstep in a ULSI fabrication process. However, these changesinvolve the breaking of the Si–H bonds in the case of HSQ,leading to the deterioration of the film properties. It is also seenthat the H2 plasma treatment prevents the damage, whichgenerally occurs during O2 plasma exposure. The Si–H bondspossess hydrophobic properties and thus the surface of the HSQcan be altered from hydrophilic to hydrophobic nature. Thishydrophobic surface would also prevent moisture uptake,keeping the leakage current and the dielectric constant valuesintact. Therefore, H2 plasma treatment is an effective method toresist the O2 plasma damage during the photo-resist removalprocess.

Acknowledgement

This work was carried out under the project supported byDST, Government of India (SR/FTP/PS-41/2000).

References

[1] T.E. Seidel, C.H. Ting, Mater. Res. Soc. Symp. Proc. 381 (1995) 3.[2] P.L. Pai, C.H. Ting, Proc. IEEE VIMC Conference, 1989, p. 258.[3] P.T. Liu, T.C. Chang, S.M. Sze, F.M. Pan, Y.J. Mei, W.F. Wu, M.S. Tsai, B.

T. Dai, C.Y. Chang, F.Y. Shih, H.D. Huang, Thin Solid Films 332 (1998)345.

[4] H. Meynen, R. Uttecht, T. Gao, M. Van Hove, S. Vanhaelemeersch, K.Maex, in: W.D. Brown, S.S. Ang, M. Loboda, S. Sammak, R. Singh, H.S.Rathore (Eds.), Low and High Dielectric Constant Materials, TheElectrochemical Society Proceedings Series, vol. PV 98-3, The Electro-chemical Society, Inc., Ennington, NJ, 1998, p. 29.

[5] D. Thomas, G. Smith, International Dielectrics for ULSI MultilevelInterconnection Conference, 1997, p. 361.

[6] A.M. Ionescu, F. Mondon, D. Blachier, Y. Morand, G. Reimbond, MRSSymp. Proc. 565 (1999) 101.

[7] M.J. Loboda, C.M. Grove, R.F. Schneider, J. Electrochem. Soc. 145 (1998)2861.

[8] P.T. Liu, T.C. Chang, Y.L. Yang, Y.F. Cheng, S.M. Sze, IEEE Trans.Electron Devices 47 (2000) 1733.