Research Article Sample-Data Modeling of a Zero Voltage ... · Attribution License, which permits...

16
Research Article Sample-Data Modeling of a Zero Voltage Transition DC-DC Converter for On-Board Battery Charger in EV Teresa R. Granados-Luna, 1 Ismael Araujo-Vargas, 2 and Francisco J. Perez-Pinal 3 1 Coacalcos Institute of Tecnological Studies, 16 de Septiembre Avenue No. 54, Col. Cabecera Municipal, 55700 Coacalco de Berriozabal, MEX, Mexico 2 School of Mechanical and Electrical Engineering, National Polytechnic Institute of Mexico, ESIME Cul., Santa Ana Avenue No. 1000, Col. San Francisco Culhuacan, 04430 Coyoacan, DF, Mexico 3 Automotive Mechanical Engineering Department, Polytechnic University of Pachuca, Ex Hacienda de Santa Barbara, Carretera Pachuca Cd. Sahag´ un, Km. 20, 43830 Zempoala, HGO, Mexico Correspondence should be addressed to Ismael Araujo-Vargas; [email protected] Received 30 November 2013; Accepted 5 February 2014; Published 2 June 2014 Academic Editor: Sheldon S. Williamson Copyright © 2014 Teresa R. Granados-Luna et al. is is an open access article distributed under the Creative Commons Attribution License, which permits unrestricted use, distribution, and reproduction in any medium, provided the original work is properly cited. Battery charger is a key device in electric and hybrid electric vehicles. On-board and off-board topologies are available in the market. Lightweight, small, high performance, and simple control are desired characteristics for on-board chargers. Moreover, isolated single-phase topologies are the most common system in Level 1 battery charger topologies. Following this trend, this paper proposes a sampled-data modelling strategy of a zero voltage transition (ZVT) DC-DC converter for an on-board battery charger. A piece-wise linear analysis of the converter is the basis of the technique presented such that a large-signal model and, therefore, a small-signal model of the converter are derived. Numerical and simulation results of a 250 W test rig validate the model. 1. Introduction Advanced vehicular systems are based on the more electric systems (MES) concept. MES is the intensive application of power electronic converters (PEC), electric machines (EM), and advanced embedded control systems to aeronautical, automotive, and maritime systems. MES was initially applied to aeronautical systems toward the reduction and/or sub- stitution of mechanical, pneumatic, and hydraulic systems, that is, the more electric aircraſt (mea) and totally integrated more electric systems (TIMES), [1]. MES are more efficient compared to their counterparts due to (i) small utilization of electric energy, (ii) high energy efficiency, (iii) reduced weight, and (iv) low maintenance [2]. Aſter that, MES was implemented in automotive sector resulting in the more electric vehicle (MEV). MEV includes electric vehicles (EV), hybrid electric vehicles (HEV), and plug-in hybrid electric vehicles (PHEV) [3]. In particular, MES applied to vehicular systems has become popular due to the market introduction of the HEV Toyota Prius in 1997 [4]. HEV are being developed by companies like BMW, Chrysler, Daimler AG, General Motors, PSA Peugeot Citroen, Suzuki Motor Corp, Toyota, and Volkswagen. Motivations to develop EV, HEV, and PHEV are based on economic, environmental, and energetic facts. Regardless of these kinds of configurations, at least two different sources of energy are needed to achieve the same performance compared to an internal combustion engine (ICE). Indeed, at least one EM and PEC are needed in the propulsion stage at any EV, HEV, and PHEV configuration. Series, parallel, series/parallel, and integrated starter alter- nator (ISA) with its optional plug-in capability are typical configurations available in the market. PHEV uses an off-board or on-board charger similar to EV. e standard SAEJ1772 is used in North America and comprises three charge methods: AC level 1 (supply voltage varies from 120VAC 1-phase), AC level 2 (208V to 240VAC and 600V DC maximum; with a maximum current (amps- continuous) from 12A, 32A and 400A), and DC charging. Hindawi Publishing Corporation Mathematical Problems in Engineering Volume 2014, Article ID 712360, 15 pages http://dx.doi.org/10.1155/2014/712360

Transcript of Research Article Sample-Data Modeling of a Zero Voltage ... · Attribution License, which permits...

Page 1: Research Article Sample-Data Modeling of a Zero Voltage ... · Attribution License, which permits unrestricted use, distributio n, and reproduction in any medium, provided the original

Research ArticleSample-Data Modeling of a Zero Voltage Transition DC-DCConverter for On-Board Battery Charger in EV

Teresa R Granados-Luna1 Ismael Araujo-Vargas2 and Francisco J Perez-Pinal3

1 Coacalcos Institute of Tecnological Studies 16 de Septiembre Avenue No 54 Col Cabecera Municipal55700 Coacalco de Berriozabal MEX Mexico

2 School of Mechanical and Electrical Engineering National Polytechnic Institute of Mexico ESIME CulSanta Ana Avenue No 1000 Col San Francisco Culhuacan 04430 Coyoacan DF Mexico

3 Automotive Mechanical Engineering Department Polytechnic University of Pachuca Ex Hacienda de Santa BarbaraCarretera Pachuca Cd Sahagun Km 20 43830 Zempoala HGO Mexico

Correspondence should be addressed to Ismael Araujo-Vargas iaraujoipnmx

Received 30 November 2013 Accepted 5 February 2014 Published 2 June 2014

Academic Editor Sheldon S Williamson

Copyright copy 2014 Teresa R Granados-Luna et al This is an open access article distributed under the Creative CommonsAttribution License which permits unrestricted use distribution and reproduction in any medium provided the original work isproperly cited

Battery charger is a key device in electric and hybrid electric vehicles On-board and off-board topologies are available in themarket Lightweight small high performance and simple control are desired characteristics for on-board chargers Moreoverisolated single-phase topologies are the most common system in Level 1 battery charger topologies Following this trend this paperproposes a sampled-data modelling strategy of a zero voltage transition (ZVT) DC-DC converter for an on-board battery chargerA piece-wise linear analysis of the converter is the basis of the technique presented such that a large-signal model and therefore asmall-signal model of the converter are derived Numerical and simulation results of a 250W test rig validate the model

1 Introduction

Advanced vehicular systems are based on the more electricsystems (MES) concept MES is the intensive application ofpower electronic converters (PEC) electric machines (EM)and advanced embedded control systems to aeronauticalautomotive and maritime systems MES was initially appliedto aeronautical systems toward the reduction andor sub-stitution of mechanical pneumatic and hydraulic systemsthat is the more electric aircraft (mea) and totally integratedmore electric systems (TIMES) [1] MES are more efficientcompared to their counterparts due to (i) small utilizationof electric energy (ii) high energy efficiency (iii) reducedweight and (iv) low maintenance [2] After that MES wasimplemented in automotive sector resulting in the moreelectric vehicle (MEV) MEV includes electric vehicles (EV)hybrid electric vehicles (HEV) and plug-in hybrid electricvehicles (PHEV) [3] In particular MES applied to vehicularsystems has become popular due to the market introduction

of theHEVToyota Prius in 1997 [4]HEVare being developedby companies like BMW Chrysler Daimler AG GeneralMotors PSA Peugeot Citroen Suzuki Motor Corp ToyotaandVolkswagenMotivations to develop EVHEV and PHEVare based on economic environmental and energetic factsRegardless of these kinds of configurations at least twodifferent sources of energy are needed to achieve the sameperformance compared to an internal combustion engine(ICE) Indeed at least one EM and PEC are needed in thepropulsion stage at any EV HEV and PHEV configurationSeries parallel seriesparallel and integrated starter alter-nator (ISA) with its optional plug-in capability are typicalconfigurations available in the market

PHEV uses an off-board or on-board charger similar toEV The standard SAEJ1772 is used in North America andcomprises three charge methods AC level 1 (supply voltagevaries from 120VAC 1-phase) AC level 2 (208V to 240VACand 600V DC maximum with a maximum current (amps-continuous) from 12A 32A and 400A) and DC charging

Hindawi Publishing CorporationMathematical Problems in EngineeringVolume 2014 Article ID 712360 15 pageshttpdxdoiorg1011552014712360

2 Mathematical Problems in Engineering

AC supply

Rectifier ZVT DC-DC converter Battery

Battery charger

+ PFC

(a)

RCf

ic Io

IZ

Lf

D3 D4

D2D1

isum

isec

C iABA

B

secprim

AN

BN

s AB

iD2iD1

iD4iD3

DD

TA2

TA1TB1

TB2

o

iL119878

L119878

iL119891

L119891LS

(b)

Figure 1 System configuration (a) block diagram and (b) phase-shift controlled ZVT DC-DC converter

Additionally SAEJ1772 provides a guide to the AC level-3 vehicle an on-board charger capable of accepting energyfrom an AC supply source at a nominal voltage of 208Vand 240VAC and a maximum current of 400A In additionSAEJ1772 provides information about the coupler require-ments general electric vehicle supply equipment (EVSE)requirements control and data and general conductivecharging system description [5]

Single- and three-phase isolated and nonisolated andunidirectional or bidirectional configurations have beenproposed in literature as battery chargers such as reportedin [6] Methods to improve their performance are usingone or several combinations of the following techniquespower factor correction (PFC) interleaved multicell andresonant configurations softhard switching zero voltageswitching (ZVS) and zero current switching (ZCS) More-over the control algorithms include proportional integral(PI) proportional-integral-derivative (PID) sliding modesfuzzy logic and adaptive neural network Following thistrend this paper proposed a sample-data modeling strategyof aDC-DCZVT to understand its dynamic characteristics asan on-board battery charger In this topology the switches areturned on during zero voltage reducing the switching lossesas a result a compact lightweight systemwith high switchingfrequency can be designed A typical peak current method isused in this work for control purposes resulting in a simpleand inexpensive control law

This paper is organized as follows The principle ofoperation of the converter is described in Section 2 usingidealized waveforms Then a mathematical analysis basedon a piece-wise linear analysis is provided in Section 3where a phase control strategy is modeled to obtain a large-signal model of the converter Using this model a half-cyclesample-data linear model is obtained which helps providethe final small-signal transfer functions of the converterNumerical and simulation results of a 250W prototype arepresented to validate the model obtained Final conclusionsare summarized in Section 5

2 Principle of Operation of the Converter

21 Circuit Description A typical system configuration for anEV battery charger is shown in Figure 1(a) which normallyconsists of a boost power factor corrected (PFC) rectifierconnected to an AC supply and a high frequency (HF)DC-DC converter to regulate the load of the batteriesThe topology of the DC-DC ZVT converter is shown in

Figure 1(b) which has a full bridge inverter supplied witha DC voltage source a HF transformer with a turns ratioof 1 N to generate a quasisquare phase-controlled wave astray inductance connected in series to the inverter outputmainly formed by the leakage inductance of the transformera full-bridge rectifier connected to the transformer secondaryside and then a LC filter to smooth the pulsating rectifiedvoltage waveform of the output of the rectifier The modelalso considers a disturbance current source in parallel withthe load

The left-hand leg of the inverter denoted by leg 119860 isused as the reference to describe the converter operationTheswitches of leg 119860 operate complementarily with fixed dutyratios of 50 at high frequency The switches of the right-hand inverter leg leg 119861 also operate complementarily withfixed duty ratios of 50 but the operation of leg 119861 is delayedby 120575T2 respective to leg 119860 where 119879 is the switching periodand 120575 is the phase control variable which ranges from 0 to 1

The steady state operation of the circuit of Figure 1 maybe explained with the steady state voltage and currentwaveforms of Figure 2

The first four waveforms shown in Figure 2 are the statesof the switches of the inverter leg 119860 Then the third andfourth waves show the states of the leg 119861 switches which aredelayed by 1205751198792 respective to the first and second waves ofFigure 2 The fifth and sixth waveforms of Figure 2 are theinverter output voltage V

119860119861= V1198602

minus V1198612

and output current119894119860119861

(also 119894119871119878

) The next two waveforms of this figure are therectifier output voltage V

119863119863 and the filter inductor current

119894119871119891

119894119871119891

is a continuous wave with a small ripple componentwhich is also present in 119894

119871119878

but amplified by the turns ratioN and reverted during the negative semicycle of V

119860119861 The

last three waveforms of Figure 2 are the current of diodes1198631to 1198634 1198941198631

to 1198941198634

and the supply current waveform 119894sumWhen V

119860119861= 119881in the current 119894119871

119878

is positive and flows through1198631and 119863

4 whereas when V

119860119861= minus119881in the current 119894

119871119878

isnegative and flows through 119863

2and 119863

3since these diodes

are positively biased When the V119860119861

waveform changes fromzero to plusmn119881in the diodes1198631 and119863

4are naturally commutated

short-circuiting the transformer secondary winding due tothe overlapped operation of the diodes The duration of thediodes overlap 119879

119874119871 causes a fast reversal of the inverter

primary current 119894119871119878

being limited by the inductance 119871119878

which prevents a short circuit of the inverter outputThe production of 119879

119874119871may be described using the waves

1198941198631

to 1198941198634

of Figure 2 When V119860119861

changes from zero to119881in thecurrents 119894

1198631

and 1198941198634

rise from zero to the output current level

Mathematical Problems in Engineering 3

T

T

T

T

T

T

T

T

T

T

T

T

T

T2

T2

T2

T2

T2

T2

T2

T2

T2

T2

T2

T2

T2

120575T2

Io

Io

Io

NIo

NIoisum

AB

BN

AN

TOL

MI

MII

MII

IM

IV MV

MV

I

s

s

s

s

NsDD

1 iD4

iD

iD2 iD3

gsTA1

g

sTA2

gsTB2

g

sTB1

t

t

t

t

t

t

t

t

t

t

t

t

t

iL119878

iL119891

Figure 2 Ideal waveforms of the converter

and 1198941198632

and 1198941198633

fall to zero whereas when V119860119861

changes fromzero to minus119881in 119894119863

2

and 1198941198633

rise from zero to the output currentlevel and 119894

1198631

and 1198941198634

fall to zero During the 119879119874119871

period agradual current transfer is effectuated from one diode pair tothe other in such a way that 119894

119871119891

continues the slight currentslope which feeds the load The steady state value of 119879

119874119871may

be calculated assuming that the rate of change of the currentreversal of 119894

119860119861 119889119894119860119861

119889119905 only depends on the supply voltage

and the amplitude of the DC output filter current IO [3]which may be expressed as

119879119874119871

=2119873119871119878119868119874

119881119904

(1)

22 Piece-Wise Analysis of the ZVTConverter FromFigure 2119894119871119878

presents six different behaviour intervals which may betermed operating modes I to VI

For each mode of operation a different circuit configu-ration may be obtained which is shown in Figure 3 Theseequivalent circuits may be described using the state-spaceequation (2) and the state-space output expression (3)

= 119860119899119883 + 119861

119899119880 (2)

119884 = 119865119899119883 + 119866

119899119880 (3)

where 119883 = [119894119871119878

119894119871119891

V119900]119879

is the state vector 119880 = [119881119904 119868119885]119879 is

the input vector 119868119885is the output current disturbance 119884 =

[119894sec 1198941198631

1198941198632

119894sum]119879 is the output vector 119894sum is the supply

current and 119860119899 119861119899 119865119899 and 119866

119899are the state matrixes of the

six operating modes being 119899 = 1 2 6Mode I is formed when 119879

1198601

and 1198791198612

are in the on state1198791198602

and 1198791198611

are in the off state and 1198631to 1198634are conducting

due to the overlap rectifier phenomenaThe equivalent circuitof Mode I is shown in Figure 3(a) and the equations thatdescribe this mode are shown in (2) and (3) with 119899 = 1 Thematrixes A1 B1 F1 and G1 are listed in Table 5

In Mode II the state of the inverter switches is exactlyas that of Mode I but 119863

1and 119863

4are conducting and 119863

2

and 1198633are off The equivalent circuit of Mode II is shown in

Figure 3(b) and again (2) and (3) describe Mode II but with119899 = 2 The matrixes 119860

2 1198612 1198652 and 119866

2are shown in Table 5

In Mode III 1198791198601

and 1198791198611

are in the on state 1198791198602

and 1198791198612

are in the off state 1198631and 119863

4are conducting and 119863

2and

1198633are off The equivalent circuit of Mode III is shown in

Figure 3(c) being (2) and (3) with 119899 = 3 the mathematicalmodel of this mode Again the matrixes 119860

3 1198613 1198653 and 119866

3

are shown in Table 5Mode IV is a mirror of Mode I but with 119879

1198601

and 1198791198612

inthe off state and 119879

1198602

and 1198791198611

in the on state whilst Modes Vand VI are mirrors of Modes II and III respectively since thestate of the switches and diodes is complementary to that ofModes II and III Again (2) and (3) describeModes IV V andVI but with 119899 = 4 5 and 6 respectively The correspondingmatrixes to these operating modes are shown in Table 5

23 Current Control Loop Description The circuit shownin Figure 4 is a DC-DC ZVT converter with peak currentcontrol loop which has a current transducer with gain119877

119904that

senses 119894sum one SR flip-flop and two D flip-flops a clock sig-nal VCLK a sawtooth generator VSAW and the reference cur-rent level ViREF which is provided by an outer voltage loop

The operation of the circuit of Figure 4 may be explainedwith the state voltage and current waveforms of Figure 5Thefirst waveform shown in Figure 5 is the clock signal of systemVCLK The second waveform is 119894sum plotted together with the

4 Mathematical Problems in Engineering

Cf R

isum

ic Io

C IZ

Lf

D1 D2

D3 D4

iAB

secprims

isec

AB o

iD2iD1

iD3iD4

DD

TA1

TB2

iL119878L119878

iL119891

L119891

LS

(a)

isum

isec

ic Io

C Cf R IZ

Lf

D1

D4

iAB

secprims AB o

iD1

iD4

DD

TA1

TB2

iL119878

L119878

iL119891

L119891

LS

(b)

Cf R

isumic Io

C IZ

Lf

D1

D4

iAB

isec

secprims oAB

iD1

iD4

DD

TA1TB1 iL119878

L119878

iL119891

L119891

LS

(c)

isec

isum

C Cf R IZ

ic Io

Lf

D1 D2

D4D3

iAB

secprims AB o

iD2iD1

iD3iD4

DD

TA2

TB1 iL119878

L119878

iL119891

L119891

LS

(d)

RCf

ic Io

IZ

Lf

D3

D2

isum

C iAB

sec

sec

prims ABoiD2iD3

DD

TA1

TA2

TB1 iL119878

L119878

iL119891

L119891

LS

(e)

isec

isum

C Cf R IZ

ic

Lf

D2

D3

IoiAB

secprims AB oiD2iD3

DDTA2

TB2

iL119878

L119878

iL119891

L119891

LS

(f)

Figure 3 Equivalent circuits formed from the operation ZVT DC-DC converter (a) Mode I (b) Mode II (c) Mode III (d) Mode IV (e)Mode V and (f) Mode VI

deference of ViREF with VSAW where VSAW is a negative slopesynchronized with VCLK while ViREF is the current referencethat regulates the peak level of 119894sum VCOMP is the state of theoutput comparator which is the thirdwaveformof this figureThe fourth and fifth waveforms are the SR flip-flop outputs119876119860and 119876

119861 with 119876

119860set to the on state by VCLK and to the

off state when VCOMP switches to the on state The fifth andsixthwaveforms are the outputs of the first flip-flop VgsTA1

andVgsTA2

which are controlled by the rising edge of119876119860 whereas

VgsTB1and VgsTB2

the outputs of the second 119863 flip-flop whichare the last waves of this figure are controlled by the risingedge of 119876

119861

24 Numerical Estimation of the 119879119874119871

and 120575 Periods 119879119874119871

defines the duration of Modes I and IV and may be numer-ically estimated by determining the instant when either 119894

1198632

or 1198941198631

reaches zero 119879119874119871

may also be calculated using theNewton-Raphson method [4] This numerical method maybe implemented using

119879119874119871 (119899 + 1) = 119879

119874119871 (119899) minus119891 (119879119874119871

)

1198911015840 (119879119874119871

) (4)

where

119891 (119879119874119871

) = 1198651198631

(1205931(119879119874119871

)119883 (1199051))

+ (1198651198631

119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611+ 1198661198631

)119880

1198911015840(119879119874119871

) = 1198651198631

11986011198901198601119879119874119871119883(119905

1)

+ 1198651198631

[

[

infin

sum

119895

(119895 + 1)119860119895

1119879119895

119874119871

(119895 + 1)

]

]

1198611119880

(5)

Equations of (5) use the output equation that includes1198941198631

which determine the duration of Mode I whereas theduration of Mode IV is determined by 119894

1198632

such that (6) maybe rewritten as follows

119891 (119879119874119871

) = 1198651198632

(1205934(119879119874119871

)119883(119879

2))

+ (1198651198632

119860minus1

4[1205934(119879119874119871

) minus 119868119889] 1198614+ 1198661198634

)

1198911015840(119879119874119871

) = 1198651198632

11986041198901198604119879119874119871119883(

119879

2)

+ 1198651198632

[

[

infin

sum

119895

(119895 + 1)119860119895

4119879119895

119874119871

(119895 + 1)

]

]

1198614119880

(6)

120575 defines the duration of Modes II and V and maybe numerically estimated by determining the instant whenthe equation ViREF minus VSAW is equal to 119877

119904119894sum 120575 may also

Mathematical Problems in Engineering 5

sumsum

Sawtooth

Peak current comparatorwith latch (RS Flip-Flop)

D Flip-Flopfor inverter leg A

D Flip-Flopfor inverter leg B

generator

Rsisum

Ls Cf R

isum

ic Io

C

isec

Lf

D1 D2

D3D4

iAB

H(s)+ +

+

minus minusminus

R FF

SQD

Q998400

Q998400

CLK

CLK

Q

Q

D

Q998400

CLK

QA

QB

middot middot middot

middot middot middot

seco

o

iREF

SAW

CLK

primABs

Rsisum

COMP

oREF

iD2iD1

iD4iD3

DD

TA2

TA1TB1

TB2

iL119878

L119878

iL119891

L119891

g119904T1198601

g119904T1198601

g119904T1198602

g119904T1198602

g119904T1198611

g119904T1198611

g119904T1198612

g119904T1198612

Figure 4 DC-DC ZVT converter with current control loop

be calculated using the Newton-Raphson method [4] Thisnumerical method may be implemented using

120575 (119899 + 1) = 120575 (119899) minus1198942sum (120575)

1198941015840

2sum (120575) (7)

where

i2sum (120575) = minus(

1

(119877119904)) [(VV minus V

119901) (

1205751198792

1198792) + V119901]

1198911015840(120575)

= (VV minus V119901) 120575

minus 1198652sum (119860

2

119879

2)

times (1205932(120575119879

2minus 119879119874119871

)119883 (1199051+ 119879119874119871

))

+ 1198652sum

119879

2

[

[

infin

sum

119895

(119895 + 1)119860119895

2((1205751198792) minus 119879

119874119871)119895

(119895 + 1)

]

]

119880

(8)

Equations of (8) use the output equation that includes1198942sum and the expression ViREF minus VSAW = 119877

119904119894sum which

determines the duration of Mode II whereas the duration of

Mode V is determined by 1198945sum and the expression ViREF minus

VSAW = 119877119904119894sum such that (9) may be rewritten as follows

1198945sum(120575) = minus

(VV minus V119901) ((1205751198792) (1198792)) + V

119901

(119877119904)

1198941015840

5sum (120575) = (VV minus V119901) 120575

minus 1198655sum (119860

5

119879

2)

times (1205935(120575119879

2minus 119879119874119871

)119883 (1199051+ 119879119874119871

))

+ 1198655sum

119879

2

[

[

infin

sum

119895

(119895 + 1)119860119895

5((1205751198792) minus 119879

119874119871)119895

(119895 + 1)

]

]

119880

(9)

3 Modeling of the ZVT Converter withCurrent Control Loop

31 Piece-Wise Linear Model Equation (2) may be used todevelop a piece-wise linear model of the converter of Figure 1

6 Mathematical Problems in Engineering

isum

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

CLK

NIo

120575T

2

TOL

QA

COMP

QB

120575T

2

iREF

g119904T1198601

g119904T1198602

g119904T1198611

g119904T1198612

Figure 5 Ideal waveform DC-DC ZVT converter with currentcontrol loop

throughout all the modes of operation The solution of (2)may be expressed as

119883(119905119899minus1

+ 119905119899) = 120593119899(119905119899)119883 (1199051) + 119860minus1

119899[120593119899(119905119899) minus 119868119889] 119861119899119880

(10)

where

120593119899(119905119899) = 119890119860119899119905119899 (11)

which defines in the first term of (10) the natural responseof the system along the period of time 119905

119899minus 119905119899minus1

with theinitial condition 119883(119905

119899minus1) at Mode n The second term of

(10) is the steady state response which is obtained by usingthe convolution integral Therefore using (10) for the timeinterval of 119905

1le 119905 lt 119905

1+ 119879119874119871 together with the matrixes of

Mode I the solution of the state vector forMode I is obtainedas follows

119883(1199051+ 119879119874119871

) = 1205931(119879119874119871

)119883 (1199051) + 119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611119880

(12)

In a similar way the solutions for Modes II to VI at thetime intervals 119905

1+ 119879119874119871

le 119905 lt 1199051+ 1205751198792 119905

1+ 1205751198792 le 119905 lt

1199051+ 1198792 119905

1+ 1198792 le 119905 lt 119905

1+ 1198792 + 119879

119874119871 1199051+ 1198792 + 119879

119874119871le 119905 lt

1199051+ (1 + 120575)1198792 and 119905

1+ (1 + 120575)1198792 le 119905 lt 119905

1+ 119879 become

119883(1199051+

120575119879

2) = 120593

2(120575119879

2minus 119879119874119871

)119883 (1199051+ 119879119874119871

)

+ 119860minus1

2[1205932(120575119879

2minus 119879119874119871

) minus 119868119889]1198612119880

(13)

119883(1199051+

119879

2) = 120593

3(119879

2minus

120575119879

2)119883(119905

1+

120575119879

2)

+ 119860minus1

3[1205933(119879

2minus

120575119879

2) minus 119868119889]1198613119880

(14)

119883(1199051+

119879

2+ 119879119874119871

) = 1205934(119879119874119871

)119883(1199051+

119879

2)

+ 119860minus1

4[1205934(119879119874119871

) minus 119868119889] 1198614119880

(15)

119883(1199051+

(1 + 120575) 119879

2) = 120593

5(120575119879

2minus 119879119874119871

)119883(1199051+

119879

2+ 119879119874119871

)

+ 119860minus1

5[1205935(120575119879

2minus 119879119874119871

) minus 119868119889]1198615119880

(16)

119883(1199051+ 119879) = 120593

6((1 minus 120575) 119879

2)119883(119905

1+

(1 + 120575) 119879

2)

+ 119860minus1

6[1205936((1 minus 120575) 119879

2) minus 119868119889]1198616119880

(17)

32 Large-Signal Model The large-signal model of the ZVTconverter may be obtained by substituting (12) in (13) (13) in(14) (14) in (15) (15) in (16) and (16) in (17) in such a waythat a single expression is obtained as shown in

119883(1199051+ 119879)

= 1205936((1 minus 120575) 119879

2)1205935(120575119879

2minus 119879119874119871

)1205934(119879119874119871

) 1205933

times ((1 minus 120575) 119879

2)1205932(120575119879

2minus 119879119874119871

)1205931(119879119874119871

)119883 (1199051)

Mathematical Problems in Engineering 7

+ [1205936((1 minus 120575) 119879

2)

times [1205935(120575119879

2minus 119879119874119871

)

times [1205934(119879119874119871

) [1205933((1 minus 120575) 119879

2)

times 1205932(120575119879

2minus 119879119874119871

)

times 119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611

+ 119860minus1

2[1205932(120575119879

2minus 119879119874119871

)

minus119868119889]1198612]

+119860minus1

3[1205933((1 minus 120575) 119879

2) minus 119868119889]1198613]

+119860minus1

4[1205934(119879119874119871

) minus 119868119889] 1198614]

+119860minus1

5[1205935(120575119879

2minus 119879119874119871

) minus 119868119889]1198615]

+ 119860minus1

6[1205936((1 minus 120575) 119879

2) minus 119868119889]1198616119880

(18)

33 Half-Cycle Model The waveform 119894119871119878

of Figure 2 showsthat operation modes IV V and VI are mirrors of Modes III and III respectively therefore the first three operationmodes are sufficient to describe the function of the converterA particular matrix titled as W may relate the modes I IIand III with the modes IV V and VI which satisfies thecondition119882119882 = 119868

119889 the identity matrix Therefore the half-

cycle model of the ZVT converter may be obtained replacingthe termsA4 A5 A6 B4 B5 and B6 by expressionsWA1WA2WA3 WB1 WB2 andWB3 respectively in (18) such that thehalf-cycle model is

119883(1199051+

119879

2)

= 1205933((1 minus 120575) 119879

2)1205932(120575119879

2minus 119879119874119871

)1205931(119879119874119871

)119883 (1199051)

+ [1205933((1 minus 120575) 119879

2)12059321205933((1 minus 120575) 119879

2)1205932

times (120575119879

2minus 119879119874119871

)119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611

+ 1205933((1 minus 120575) 119879

2)119860minus1

2[1205932(120575119879

2minus 119879119874119871

) minus 119868119889]1198612

+119860minus1

3[1205933((1 minus 120575) 119879

2) minus 119868119889]1198613]119880

+ 119882(1205933((1 minus 120575) 119879

2)(1205932(120575119879

2minus 119879119874119871

)

times 1198821205931(119879119874119871

)119883 (1199051)

+ 1205933((1 minus 120575) 119879

2)

times 1205932(120575119879

2minus 119879119874119871

)119860minus1

1

times [1205931(119879119874119871

) minus 119868119889] 1198611)

+ 1205933((1 minus 120575) 119879

2)119860minus1

2

times [1205932(120575119879

2minus 119879119874119871

) minus 119868119889]1198612

+ 119860minus1

3[1205933((1 minus 120575) 119879

2) minus 119868119889]1198821198613)119880 (119905

1)

(19)

The previous equation may be rewritten as119883(1199051+ 1198792) =

119860MC119883(1199051) + 119861MC119880(119905

1) for practical purposes

34 Sample-Data Small-Signal Linear Model of the Converterin Open-Loop Conditions The equation 119883(119905

1+ 1198792) =

119860MC119883(1199051) + 119861MC119880(119905

1) may be used as a half-cycle discrete

model of the ZVT converter which may be written as

1198831015840

119870+1= 119860MC119883119870 + 119861MC119880119870 (20)

where1198831015840119870+1

= 119883(1199051+ 1198792)119883

119870= 119883(119905

1) and 119880

119870= 119880(119905

1)

A sample-data small-signal model may be obtained byusing the Taylor series (21) and using small-signal perturba-tions as 120575xK 120575UK 120575119879119874119871

119896

and 120575120575K One has

f (119909) = f (1199090) +J (119909 minus 119909

0) (21)

Using this equation the sample-data small-signal linearmodel becomes

120575119909119896+1

asymp120597119909119896+1

120597119909119896

120575119909119896+

120597119909119896+1

120597119880119896

120575119880119896

+120597119909119896+1

120597120575120575120575119896+

120597119909119896+1

120597119879119874119871

120575119879119874119871119896

(22)

The solution of the partial derivatives is 120597119909119896+1

120597119909119896

=

119860MC 120597119909119896+1120597119880119896 = 119861MC 120597119909119896+1120597120575 = 119862120575 and 120597119909

119896+1120597119879119874119871

=

119863119879119874119871

120575119879119874119871119896

may be determined utilizing the restrictionequation of119879

119874119871 whereas 120575120575K is obtained using the restriction

equation of 120575

35 Restriction Equations of the Control Loop The restrictionequations for 119879

119874119871may be obtained analyzing the waveforms

119894119871119878 11989411986311198633

and 119894sum which are shown in Figure 2 during theMode I The slope of 119894sum during Mode I is named 119892

1and is

determined by the rate of change of 119894119871119878

that is 1198921= 119881119904119896

119871119878

8 Mathematical Problems in Engineering

while the slopes of 11989411986311198633

during the Mode I are named 1198923

which are contrary and of lower amplitude than 1198921 that

is g3

= minusg12N The restriction equation of 119879

119874119871may be

determined by integrating the waveforms 11989411986311198633

duringModeI

119894119871119878119896

2119873+

119894119871119891119896

2+

119881119904119896

119871119878

119879119874119871119896

= 0 (23)

The previous equation is not linear therefore it isnecessary to use theTaylor series to obtain a linearizedmodel

120575119879119874119871119896

=2119873119871119878

119881119878CD

[minus1

2119873minus1

2minus

119879119874119871CD

2119873119871119878

][

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

(24)

The restriction equation of 120575 may be obtained analyzingthe waveforms 119894

119871119878

and 119894sum with ViREF minus VSAW during Mode II(Figures 2 and 5) VSAW is determined by 119872(120575

1198961198792) and the

slope of 119894sum duringMode II named 1198922 and may be obtained

by integrating again 119894sumwhen 119877119904119894sum = ViREF minus VSAW

119877119904(119894119871119878119896

+ 1198921119879119874119871119896

+ 1198922(120575119896119879

2minus 119879119874119871119896

)) = 119881119894REF minus 119872

120575119896119879

2

(25)

The Taylor series is used to linearize the previous equa-tion and therefore the restriction equation of 120575 becomes

120575120575119896=

1

Δ120575[1198861205751 119886

12057521198861205753

1198861205754

1198861205755]

[[[[[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

120575119881iREF119896

120575119881119900119896

]]]]]

]

(26)

where Δ120575= minus(2119879)(1198721198792119877

119878+ 1(119871

119878+ (1119873

2)119871119891))(V119904CD

minus

(1119873)V0CD

) 1198861205751

= 120597119894119871119878119896

120597119894119871119878119896

1198861205752

= 120597119894119871119878119896

120597119894119871119891119896

1198861205753

=

120597119894119871119878119896

120597119881119878119896

1198861205754

= 120597119894119871119878119896

120597119881iREF119896

and 1198861205755

= 120597119894119871119878119896

120597119881119900119896

36 Sample-Data Small-Signal Linear Model of the Converterin Closed-Loop Conditions The sample-data small-signallinear model may be obtained by substituting 120575

119879119874119871119896

and 120575120575K(24) and (26) respectively in (22)

120575119909119896+1

= 119860MC[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

+ 119861MC [120575119881119904119896

120575119868119885119896

]

+ 119862120575(

1

Δ120575[1198861205751 119886

12057521198861205753

1198861205754

1198861205755]

[[[[[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

120575119881iREF119896

120575119881119900119896

]]]]]

]

)

+ 119863119879119874119871

(2119873119871119878

119881119878CD

[minus1

2119873minus1

2minus

119879119874119871CD

2119873119871119878

][

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

)

(27)

Table 1 Operating parameters

Supply voltage 200V plusmn 20Output voltage 48VMaximum power 250WMinimum power 50WOutput voltage ripple 25mVOutput current ripple 300mAMaximum phase 50Switching frequency 50 kHz

such that the small-signal model becomes

120575119909119896+1

= 119860119888119897120575119909119896+ 120596119888119897120575119908119888119897119896

(28)

where 120575119909119896+1

= [1205751198941015840

119871119878119896

1205751198941015840

119871119891119896

1205751198811015840

119904119896

]119879

120575119909119896

=

[120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896]1015840 and 120575119908

119888119897119896

= [120575119881119904119896

120575119881iREF119896

120575119868119885119896]119879

Equation (28) may be solved by using the 119885 transformsuch that 120575119909

119870becomes

120575119909119896= (119868119889minus 119860119888119897)minus1119885120596119888119897120575119908119888119897119896

(29)

37 Transfer Function To verify the dynamic characteristicsof the converter is necessary to analyze the transfer functionsthat relate V

119900with 119881

119904 ViREF and 119868

119911 which are the throughput

input-to-output DC voltage transfer function 119867V(119911) =

V119900(119911)V119904(119911) the control-to-output transfer function119879

119874119871(119911) =

V119900(119911)ViREF(119911) and the output impedance transfer function

119885119900(119911) = V

119900(119911)119868119911(119911) respectively

The magnitude and phase of each transfer function maybe obtained using a Bode diagram whereas the root locustechnique may be employed to describe the behaviour of thepoles and zeros of (30) One has

119867V (119911) =119911 + 1198861

1199112 + 1198871119911 + 1198881

119879119874119871 (119911) =

11988621199112+ 1198872119911 + 1198882

1199112 + 1198871119911 + 1198881

119885119900 (119911) =

11988631199112+ 1198873119911 minus 1198883

1199112 + 1198871119911 + 1198881

(30)

4 Verification of the Proposed Model

41 Prototype Operating Parameters A 250W ZVT DC-DC prototype converter was designed under the analysisdescribed in [7] to verify the large-signal model of (14)Table 1 shows the operating parameters of the converter

The steady-state output voltage 119881119874 may be calculated as

the average of the rectified voltage V119863119863

such that at full load

119881119900= 120575max119873119881in minus

41198732119868119900(max)119871119878

119879 (31)

Taking the assumption shown in (31) the converter com-ponent values must comply with the zero-voltage switching

Mathematical Problems in Engineering 9

012345

(ms)(ms)

Piece-wise analysisPiece-wise model

Simulation circuitPiece-wise analysisPiece-wise model

Simulation circuit

01234

0 01 02 03 04 05 06 07 08 09 01minus5

minus4

minus3

minus2

minus1

minus4

minus3

minus2

minus1A A

09

0902

0904

0906

0908

091

0912

0914

0916

0918

092

iL119878iL119878

Figure 6 119894119871119878

current waveform obtained with the piece-wise linear model and a Micro-Cap simulation

1

0

1

2

3

4

5

6

Piece-wise modelPiece-wise analysis

Simulation circuitPiece-wise modelPiece-wise analysis

Simulation circuit

09

902

090

4

090

6

090

8

091

091

2

091

4

091

6

091

8

092

0

1

2

3

4

5

6

7

(ms)

A

0 01 02 03 04 05 06 07 08 09minus1

(ms)

A

iL119891iL119891

Figure 7 119894119871119891

current waveform obtained with the piece-wise linear model and a Micro-Cap simulation

0

10

20

30

40

50

0

10

20

30

40

50

60

minus10

A

1

Piece-wise modelPiece-wise analysis

Simulation circuitPiece-wise modelPiece-wise analysis

Simulation circuit

0 01 02 03 04 05 06 07 08 09

(ms)

09

090

2

090

6

090

8

091

091

4

091

6

091

8

092

(ms)

A

o o

Figure 8 vo voltage waveform obtained with the piece-wise linear model and a Micro-Cap simulation

10 Mathematical Problems in Engineering

012345

0

2

4

6

Piece-wise analysisPiece-wise analysisPiece-wise model

Piece-wise modelSimulation circuitSimulation circuit

Piece-wise analysisPiece-wise analysisPiece-wise model

Piece-wise modelSimulation circuitSimulation circuit

minus6

minus4

minus2

minus4

minus3

minus1

minus2

A A

10 01 02 03 04 05 06 07 08 09

(ms)

09

090

2

090

4

090

6

090

8

091

091

2

091

4

091

6

091

8

092

(ms)

isum and SAW-iREF isum and SAW-iREF

Figure 9 Waveforms of 119894sum and VSAW minus ViREF obtained with the piece-wise linear model and a Micro-Cap simulation

Mag

nitu

de (d

B)

0

Phas

e (de

g)

101 102 103 104

Frequency (rads)

minus35

minus30

minus25

minus20

minus15

minus10

minus5

minus225

minus180

minus135

minus90

minus45

Bode Diagram (os)

Figure 10 Bode plot of Hv(z)

05

10152025303540

Mag

nitu

de (d

B)Ph

ase (

deg)

101 102 103 104minus240minus195minus150minus105minus80minus15

Frequency (rads)

Bode Diagram (oiREF)

Figure 11 Bode plot of 119879119874119871(z)

Mathematical Problems in Engineering 11

510152025

Mag

nitu

de (d

B)4590

135180225

Phas

e (de

g)101 102 103 104

Frequency (rads)

oIz)Bode Diagram (

Figure 12 Bode plot of 119885119900(119911)

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

minus1minus08minus06minus04minus02

0020406081

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 13 Root locus of119867V(119911)

Table 2 Component values used in the 250W prototype

Devices ValueStray inductance (119871

119878) 1635 uH

Filter inductor (119871119891) 528 uH

Filter capacitor (119862119891) 1218 uF

Resistive load 9216ΩTransformer turns ratio119873 0683

Table 3 Verification of the large-signal model

119883(1199051)

large-signalmodel

119883(1199051+ 119879)

large-signalmodel

119883(1199051+ 119879)

verification error

119894119871119878

minus35292 minus3529 minus35304 004119894119871119891

50171 5017 50063 02119881119900 48035 48019 48002 04

phenomena to reduce the transistor switching losses under acertain load range For instance 119871

119878should be large enough

to keep the converter operation with ZVT under a low loadcondition whilst 119873 should be small to maintain regulatedoutput voltage for a maximum input voltage The list ofparameters shown in Table 1 together with (31) defines thecomponent values that may be used to keep the DC-DCconverter operatingwith theZVTeffectwithin a load range of

Table 4 Verification of the half-cycle model

119883(1199051)

half-cyclemodel

119883(1199051+ 1198792)

half-cyclemodel

119883(1199051+ 1198792)

verification error

119894119871119878

minus3415 347 34153 0016119894119871119891

4954 4957 48431 0023119881119900 4853 4853 471245 0029

50W to 250W and the values shown in Table 2 were decidedto be appropriate for the converter design The output filtercomponents of the rectifier were determined with the outputvoltage ripple Δ119881

119874 and the filter inductor current ripple

Δ119868119874 which may be calculated by using

Δ119868119900=

2119881119900

120596119871119891

120587

2sin(cosminus1 ( 2

120587)) minus cosminus1 ( 2

120587)

Δ119881119900=

119879Δ119868119900

8119862

(32)

42 Simulation and Results The piece-wise linear model thelarge-signal model and the half-cycle model of the converterwere verified by iterative program developed in MatLab Thepiece-wise model was solved using the Runge-Kutta numeri-cal method and using a small simulation step time togetherwith 119879

119874119871and 120575 to calculate the duration of each operating

mode whereas the large-signal model and half-cycle model

12 Mathematical Problems in Engineering

Table 5 Definition of matrix for each mode of operation

Mode I

1198601

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198611

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode II

1198602

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198612

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

1

0

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode III

1198603

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198613

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

0

0

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode IV

1198604

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

minus1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198614

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

minus1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode V

1198605

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

minus1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198615

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

minus1

1

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mathematical Problems in Engineering 13

Table 5 Continued

Mode VI

1198606

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198616

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

0

1

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1minus15

minus1

minus05

0

05

1

15

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 14 Root locus of 119879119874119871(z)

Root locus

Real axis08 085 09 095 1 105 11 115 12

minus025minus02minus015minus01minus005

00050101502025

Imag

inar

y ax

is

01020304050607

0809

1120587T

Figure 15 Root locus of Z(z)

were solved by calculating 119879119874119871

and 120575 with the Newton-Raphson method Figures 6 to 9 show a comparison of theresults obtained with the piece-wise model and simulationresults obtained with Micro-Cap The waveforms plotted onthese figures are the transformer primary-side current 119894

119871119878

Figure 6 the filter inductor current 119894

119871119891

Figure 7 the outputvoltage V

119874 Figure 8 and the supply current 119894sum together with

VSAW minus ViREF Figure 9 Tables 3 and 4 show a comparison ofthe instantaneous values of the state vector obtained at theend of a full cycle in steady state conditions which verifiesthe exactitude of the large-signal model whereas Table 4

shows those of the half-cycle model Both tables list resultstogetherwith instantaneous results obtainedwithMicro-CapThe value of 119879

119874119871calculated for Modes I and IV is 0727 120583s

while 120575 is 03998Figures 10 11 and 12 show the bode diagram of the

transfer functions 119867V(119911) 119879119874119871(119911) and 119885119900(119911) calculated with

the symbolic equation tool of MatLab whilst Figures 13 14and 15 show the roots locus of119867V(119911) 119879119874119871(119911) and 119885

119900(119911)

Themagnitude of119867V(119911) at low frequency converges to thesteady-state DC of V

119900minusDC119881119904 while the magnitude of 119879119874119871

(119911)

reveals the gain of the control-to-output under dynamic

14 Mathematical Problems in Engineering

120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

04120587T05120587T06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

01120587T

01120587T

02120587T

03120587T04120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T06120587T

07120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

08120587T

09120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

010203040506070809

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

010203

040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

Figure 16 Root locus plots of119867V(119911) 119879119874119871(119911) and 119885119874(119911) obtained by ranging of 120575119872 and 119877

119904

conditions and the magnitude of 119885(119911) converges to smallvalue below and above the resonant frequency determined by1radic119871

119891+ 1198732119871

119878119862119891

The poles of119867119881(119911) are conjugates complex roots whereas

there is a single zero located at minus275 119879119874119871

(119911) is steady with again lower than 0596 dB and its poles are conjugates complexroots with two steady zeros 119885

119900(119911) is steady with a gain lower

than 00682 dB and its poles are conjugates complex rootsand there are two zeros located at minus393 and 093

To design a controller is necessary to determine thebehaviour of the poles and zeros of the transfer functionswithrespect to variations of 120575119872 and 119877

119904 Figure 16 shows diverse

root locus plots of 119867119881(119911) 119879

119874119871(119911) and 119885

119900(119911) by ranging the

values of 120575119872 and 119877119904

Mathematical Problems in Engineering 15

5 Conclusion

This paper presented the mathematical derivation of asample-data small-signal model for a ZVT DC-DC con-verter The method used a piece-wise linear analysis toobtain a large-signal model which was verified with numer-ical predictions that depend on the integration step sizeto obtain high accuracy A sample-data half-cycle linearmodel was derived using the large-signal model such thata dynamic model of the converter was obtained by usinga linear approximation A comparison of the instantaneousvalues listed in Tables 3 and 4 showed that there is closecorrespondence between the derived models and the circuitsimulation especially with the half-cycle model Also Bodediagrams and a root locus analysis showed that the controlsystem may be steady if 120575 is defined within an interval of 03to 06 119877

119904within 09VA to 12 VA andM within 0044Vs

to 01 Vs Therefore the half-cycle model is more accuratethan the large-signal model and it is useful to determinea small-signal sample-data model of the DC-DC converterfor dynamic studies The presented method may help topower electronic practitioners to derive discrete transferfunctions of soft switched DC-DC converter and understandthe dynamic behaviour of power electronic systems whichserves as a basic principle to design a controller for theconverter outer loop

Conflict of Interests

The authors declare that there is no conflict of interestsregarding the publication of this paper

Acknowledgments

The authors are grateful to the National Council of Scienceand Technology of Mexico (CONACyT) the Postgraduateand Research Department (SEPI) of the School of Mechan-ical and Electrical Engineering Campus Culhuacan of theNational Polytechnic Institute (IPN) of Mexico and theTechnological Studies Superiors of Coacalco for their encour-agement and the realization of the prototype

References

[1] F J Perez-Pinal The Electric Vehicle Design Stages Considera-tions Editorial Academica Espanola Madrid Spain 2011

[2] F J Perez-Pinal C Nunez R Alvarez and M Gallegos ldquoStepby step design of the power stage of a light electric vehiclerdquoInternational Review of Electrical Engineering vol 3 no 1 pp100ndash108 2008

[3] F J Perez-Pinal J C Kota-Renteria J C Nunez-Perez andN Al-Mutawaly ldquoHybrid conversion kit applied to publictransportation a taxi case solutionrdquo International Review onModelling and Simulations vol 6 no 2 pp 554ndash559 2013

[4] J Liu and H Peng ldquoModeling and control of a power-splithybrid vehiclerdquo IEEE Transactions on Control Systems Technol-ogy vol 16 no 6 pp 1242ndash1251 2008

[5] F J Perez-Pinal N Al-Mutawaly and J C Nunez-PerezldquoImpact of plug-in hybrid electric vehicle in distributed gener-ation and smart grid a brief reviewrdquo International Review onModelling and Simulations vol 6 no 3 pp 795ndash805 2013

[6] M Yilmaz and P T Krein ldquoReview of battery charger topolo-gies charging power levels and infrastructure for plug-inelectric and hybrid vehiclesrdquo IEEE Transactions on PowerElectronics vol 28 no 5 pp 2151ndash2169 2013

[7] A Tapia-Hernandez I Araujo-Vargas M Ponce-Silva andM Ponce-Flores ldquoDesign of a ZVT DC-DC converter withstray components integration for a public-transport electricvehiclerdquo in Proceedings of the International Symposium onPower Electronics Electrical Drives Automation and Motion(SPEEDAM rsquo10) pp 478ndash483 June 2010

Submit your manuscripts athttpwwwhindawicom

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical Problems in Engineering

Hindawi Publishing Corporationhttpwwwhindawicom

Differential EquationsInternational Journal of

Volume 2014

Applied MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Probability and StatisticsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical PhysicsAdvances in

Complex AnalysisJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

OptimizationJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

CombinatoricsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Operations ResearchAdvances in

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Function Spaces

Abstract and Applied AnalysisHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of Mathematics and Mathematical Sciences

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Algebra

Discrete Dynamics in Nature and Society

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Decision SciencesAdvances in

Discrete MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014 Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Stochastic AnalysisInternational Journal of

Page 2: Research Article Sample-Data Modeling of a Zero Voltage ... · Attribution License, which permits unrestricted use, distributio n, and reproduction in any medium, provided the original

2 Mathematical Problems in Engineering

AC supply

Rectifier ZVT DC-DC converter Battery

Battery charger

+ PFC

(a)

RCf

ic Io

IZ

Lf

D3 D4

D2D1

isum

isec

C iABA

B

secprim

AN

BN

s AB

iD2iD1

iD4iD3

DD

TA2

TA1TB1

TB2

o

iL119878

L119878

iL119891

L119891LS

(b)

Figure 1 System configuration (a) block diagram and (b) phase-shift controlled ZVT DC-DC converter

Additionally SAEJ1772 provides a guide to the AC level-3 vehicle an on-board charger capable of accepting energyfrom an AC supply source at a nominal voltage of 208Vand 240VAC and a maximum current of 400A In additionSAEJ1772 provides information about the coupler require-ments general electric vehicle supply equipment (EVSE)requirements control and data and general conductivecharging system description [5]

Single- and three-phase isolated and nonisolated andunidirectional or bidirectional configurations have beenproposed in literature as battery chargers such as reportedin [6] Methods to improve their performance are usingone or several combinations of the following techniquespower factor correction (PFC) interleaved multicell andresonant configurations softhard switching zero voltageswitching (ZVS) and zero current switching (ZCS) More-over the control algorithms include proportional integral(PI) proportional-integral-derivative (PID) sliding modesfuzzy logic and adaptive neural network Following thistrend this paper proposed a sample-data modeling strategyof aDC-DCZVT to understand its dynamic characteristics asan on-board battery charger In this topology the switches areturned on during zero voltage reducing the switching lossesas a result a compact lightweight systemwith high switchingfrequency can be designed A typical peak current method isused in this work for control purposes resulting in a simpleand inexpensive control law

This paper is organized as follows The principle ofoperation of the converter is described in Section 2 usingidealized waveforms Then a mathematical analysis basedon a piece-wise linear analysis is provided in Section 3where a phase control strategy is modeled to obtain a large-signal model of the converter Using this model a half-cyclesample-data linear model is obtained which helps providethe final small-signal transfer functions of the converterNumerical and simulation results of a 250W prototype arepresented to validate the model obtained Final conclusionsare summarized in Section 5

2 Principle of Operation of the Converter

21 Circuit Description A typical system configuration for anEV battery charger is shown in Figure 1(a) which normallyconsists of a boost power factor corrected (PFC) rectifierconnected to an AC supply and a high frequency (HF)DC-DC converter to regulate the load of the batteriesThe topology of the DC-DC ZVT converter is shown in

Figure 1(b) which has a full bridge inverter supplied witha DC voltage source a HF transformer with a turns ratioof 1 N to generate a quasisquare phase-controlled wave astray inductance connected in series to the inverter outputmainly formed by the leakage inductance of the transformera full-bridge rectifier connected to the transformer secondaryside and then a LC filter to smooth the pulsating rectifiedvoltage waveform of the output of the rectifier The modelalso considers a disturbance current source in parallel withthe load

The left-hand leg of the inverter denoted by leg 119860 isused as the reference to describe the converter operationTheswitches of leg 119860 operate complementarily with fixed dutyratios of 50 at high frequency The switches of the right-hand inverter leg leg 119861 also operate complementarily withfixed duty ratios of 50 but the operation of leg 119861 is delayedby 120575T2 respective to leg 119860 where 119879 is the switching periodand 120575 is the phase control variable which ranges from 0 to 1

The steady state operation of the circuit of Figure 1 maybe explained with the steady state voltage and currentwaveforms of Figure 2

The first four waveforms shown in Figure 2 are the statesof the switches of the inverter leg 119860 Then the third andfourth waves show the states of the leg 119861 switches which aredelayed by 1205751198792 respective to the first and second waves ofFigure 2 The fifth and sixth waveforms of Figure 2 are theinverter output voltage V

119860119861= V1198602

minus V1198612

and output current119894119860119861

(also 119894119871119878

) The next two waveforms of this figure are therectifier output voltage V

119863119863 and the filter inductor current

119894119871119891

119894119871119891

is a continuous wave with a small ripple componentwhich is also present in 119894

119871119878

but amplified by the turns ratioN and reverted during the negative semicycle of V

119860119861 The

last three waveforms of Figure 2 are the current of diodes1198631to 1198634 1198941198631

to 1198941198634

and the supply current waveform 119894sumWhen V

119860119861= 119881in the current 119894119871

119878

is positive and flows through1198631and 119863

4 whereas when V

119860119861= minus119881in the current 119894

119871119878

isnegative and flows through 119863

2and 119863

3since these diodes

are positively biased When the V119860119861

waveform changes fromzero to plusmn119881in the diodes1198631 and119863

4are naturally commutated

short-circuiting the transformer secondary winding due tothe overlapped operation of the diodes The duration of thediodes overlap 119879

119874119871 causes a fast reversal of the inverter

primary current 119894119871119878

being limited by the inductance 119871119878

which prevents a short circuit of the inverter outputThe production of 119879

119874119871may be described using the waves

1198941198631

to 1198941198634

of Figure 2 When V119860119861

changes from zero to119881in thecurrents 119894

1198631

and 1198941198634

rise from zero to the output current level

Mathematical Problems in Engineering 3

T

T

T

T

T

T

T

T

T

T

T

T

T

T2

T2

T2

T2

T2

T2

T2

T2

T2

T2

T2

T2

T2

120575T2

Io

Io

Io

NIo

NIoisum

AB

BN

AN

TOL

MI

MII

MII

IM

IV MV

MV

I

s

s

s

s

NsDD

1 iD4

iD

iD2 iD3

gsTA1

g

sTA2

gsTB2

g

sTB1

t

t

t

t

t

t

t

t

t

t

t

t

t

iL119878

iL119891

Figure 2 Ideal waveforms of the converter

and 1198941198632

and 1198941198633

fall to zero whereas when V119860119861

changes fromzero to minus119881in 119894119863

2

and 1198941198633

rise from zero to the output currentlevel and 119894

1198631

and 1198941198634

fall to zero During the 119879119874119871

period agradual current transfer is effectuated from one diode pair tothe other in such a way that 119894

119871119891

continues the slight currentslope which feeds the load The steady state value of 119879

119874119871may

be calculated assuming that the rate of change of the currentreversal of 119894

119860119861 119889119894119860119861

119889119905 only depends on the supply voltage

and the amplitude of the DC output filter current IO [3]which may be expressed as

119879119874119871

=2119873119871119878119868119874

119881119904

(1)

22 Piece-Wise Analysis of the ZVTConverter FromFigure 2119894119871119878

presents six different behaviour intervals which may betermed operating modes I to VI

For each mode of operation a different circuit configu-ration may be obtained which is shown in Figure 3 Theseequivalent circuits may be described using the state-spaceequation (2) and the state-space output expression (3)

= 119860119899119883 + 119861

119899119880 (2)

119884 = 119865119899119883 + 119866

119899119880 (3)

where 119883 = [119894119871119878

119894119871119891

V119900]119879

is the state vector 119880 = [119881119904 119868119885]119879 is

the input vector 119868119885is the output current disturbance 119884 =

[119894sec 1198941198631

1198941198632

119894sum]119879 is the output vector 119894sum is the supply

current and 119860119899 119861119899 119865119899 and 119866

119899are the state matrixes of the

six operating modes being 119899 = 1 2 6Mode I is formed when 119879

1198601

and 1198791198612

are in the on state1198791198602

and 1198791198611

are in the off state and 1198631to 1198634are conducting

due to the overlap rectifier phenomenaThe equivalent circuitof Mode I is shown in Figure 3(a) and the equations thatdescribe this mode are shown in (2) and (3) with 119899 = 1 Thematrixes A1 B1 F1 and G1 are listed in Table 5

In Mode II the state of the inverter switches is exactlyas that of Mode I but 119863

1and 119863

4are conducting and 119863

2

and 1198633are off The equivalent circuit of Mode II is shown in

Figure 3(b) and again (2) and (3) describe Mode II but with119899 = 2 The matrixes 119860

2 1198612 1198652 and 119866

2are shown in Table 5

In Mode III 1198791198601

and 1198791198611

are in the on state 1198791198602

and 1198791198612

are in the off state 1198631and 119863

4are conducting and 119863

2and

1198633are off The equivalent circuit of Mode III is shown in

Figure 3(c) being (2) and (3) with 119899 = 3 the mathematicalmodel of this mode Again the matrixes 119860

3 1198613 1198653 and 119866

3

are shown in Table 5Mode IV is a mirror of Mode I but with 119879

1198601

and 1198791198612

inthe off state and 119879

1198602

and 1198791198611

in the on state whilst Modes Vand VI are mirrors of Modes II and III respectively since thestate of the switches and diodes is complementary to that ofModes II and III Again (2) and (3) describeModes IV V andVI but with 119899 = 4 5 and 6 respectively The correspondingmatrixes to these operating modes are shown in Table 5

23 Current Control Loop Description The circuit shownin Figure 4 is a DC-DC ZVT converter with peak currentcontrol loop which has a current transducer with gain119877

119904that

senses 119894sum one SR flip-flop and two D flip-flops a clock sig-nal VCLK a sawtooth generator VSAW and the reference cur-rent level ViREF which is provided by an outer voltage loop

The operation of the circuit of Figure 4 may be explainedwith the state voltage and current waveforms of Figure 5Thefirst waveform shown in Figure 5 is the clock signal of systemVCLK The second waveform is 119894sum plotted together with the

4 Mathematical Problems in Engineering

Cf R

isum

ic Io

C IZ

Lf

D1 D2

D3 D4

iAB

secprims

isec

AB o

iD2iD1

iD3iD4

DD

TA1

TB2

iL119878L119878

iL119891

L119891

LS

(a)

isum

isec

ic Io

C Cf R IZ

Lf

D1

D4

iAB

secprims AB o

iD1

iD4

DD

TA1

TB2

iL119878

L119878

iL119891

L119891

LS

(b)

Cf R

isumic Io

C IZ

Lf

D1

D4

iAB

isec

secprims oAB

iD1

iD4

DD

TA1TB1 iL119878

L119878

iL119891

L119891

LS

(c)

isec

isum

C Cf R IZ

ic Io

Lf

D1 D2

D4D3

iAB

secprims AB o

iD2iD1

iD3iD4

DD

TA2

TB1 iL119878

L119878

iL119891

L119891

LS

(d)

RCf

ic Io

IZ

Lf

D3

D2

isum

C iAB

sec

sec

prims ABoiD2iD3

DD

TA1

TA2

TB1 iL119878

L119878

iL119891

L119891

LS

(e)

isec

isum

C Cf R IZ

ic

Lf

D2

D3

IoiAB

secprims AB oiD2iD3

DDTA2

TB2

iL119878

L119878

iL119891

L119891

LS

(f)

Figure 3 Equivalent circuits formed from the operation ZVT DC-DC converter (a) Mode I (b) Mode II (c) Mode III (d) Mode IV (e)Mode V and (f) Mode VI

deference of ViREF with VSAW where VSAW is a negative slopesynchronized with VCLK while ViREF is the current referencethat regulates the peak level of 119894sum VCOMP is the state of theoutput comparator which is the thirdwaveformof this figureThe fourth and fifth waveforms are the SR flip-flop outputs119876119860and 119876

119861 with 119876

119860set to the on state by VCLK and to the

off state when VCOMP switches to the on state The fifth andsixthwaveforms are the outputs of the first flip-flop VgsTA1

andVgsTA2

which are controlled by the rising edge of119876119860 whereas

VgsTB1and VgsTB2

the outputs of the second 119863 flip-flop whichare the last waves of this figure are controlled by the risingedge of 119876

119861

24 Numerical Estimation of the 119879119874119871

and 120575 Periods 119879119874119871

defines the duration of Modes I and IV and may be numer-ically estimated by determining the instant when either 119894

1198632

or 1198941198631

reaches zero 119879119874119871

may also be calculated using theNewton-Raphson method [4] This numerical method maybe implemented using

119879119874119871 (119899 + 1) = 119879

119874119871 (119899) minus119891 (119879119874119871

)

1198911015840 (119879119874119871

) (4)

where

119891 (119879119874119871

) = 1198651198631

(1205931(119879119874119871

)119883 (1199051))

+ (1198651198631

119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611+ 1198661198631

)119880

1198911015840(119879119874119871

) = 1198651198631

11986011198901198601119879119874119871119883(119905

1)

+ 1198651198631

[

[

infin

sum

119895

(119895 + 1)119860119895

1119879119895

119874119871

(119895 + 1)

]

]

1198611119880

(5)

Equations of (5) use the output equation that includes1198941198631

which determine the duration of Mode I whereas theduration of Mode IV is determined by 119894

1198632

such that (6) maybe rewritten as follows

119891 (119879119874119871

) = 1198651198632

(1205934(119879119874119871

)119883(119879

2))

+ (1198651198632

119860minus1

4[1205934(119879119874119871

) minus 119868119889] 1198614+ 1198661198634

)

1198911015840(119879119874119871

) = 1198651198632

11986041198901198604119879119874119871119883(

119879

2)

+ 1198651198632

[

[

infin

sum

119895

(119895 + 1)119860119895

4119879119895

119874119871

(119895 + 1)

]

]

1198614119880

(6)

120575 defines the duration of Modes II and V and maybe numerically estimated by determining the instant whenthe equation ViREF minus VSAW is equal to 119877

119904119894sum 120575 may also

Mathematical Problems in Engineering 5

sumsum

Sawtooth

Peak current comparatorwith latch (RS Flip-Flop)

D Flip-Flopfor inverter leg A

D Flip-Flopfor inverter leg B

generator

Rsisum

Ls Cf R

isum

ic Io

C

isec

Lf

D1 D2

D3D4

iAB

H(s)+ +

+

minus minusminus

R FF

SQD

Q998400

Q998400

CLK

CLK

Q

Q

D

Q998400

CLK

QA

QB

middot middot middot

middot middot middot

seco

o

iREF

SAW

CLK

primABs

Rsisum

COMP

oREF

iD2iD1

iD4iD3

DD

TA2

TA1TB1

TB2

iL119878

L119878

iL119891

L119891

g119904T1198601

g119904T1198601

g119904T1198602

g119904T1198602

g119904T1198611

g119904T1198611

g119904T1198612

g119904T1198612

Figure 4 DC-DC ZVT converter with current control loop

be calculated using the Newton-Raphson method [4] Thisnumerical method may be implemented using

120575 (119899 + 1) = 120575 (119899) minus1198942sum (120575)

1198941015840

2sum (120575) (7)

where

i2sum (120575) = minus(

1

(119877119904)) [(VV minus V

119901) (

1205751198792

1198792) + V119901]

1198911015840(120575)

= (VV minus V119901) 120575

minus 1198652sum (119860

2

119879

2)

times (1205932(120575119879

2minus 119879119874119871

)119883 (1199051+ 119879119874119871

))

+ 1198652sum

119879

2

[

[

infin

sum

119895

(119895 + 1)119860119895

2((1205751198792) minus 119879

119874119871)119895

(119895 + 1)

]

]

119880

(8)

Equations of (8) use the output equation that includes1198942sum and the expression ViREF minus VSAW = 119877

119904119894sum which

determines the duration of Mode II whereas the duration of

Mode V is determined by 1198945sum and the expression ViREF minus

VSAW = 119877119904119894sum such that (9) may be rewritten as follows

1198945sum(120575) = minus

(VV minus V119901) ((1205751198792) (1198792)) + V

119901

(119877119904)

1198941015840

5sum (120575) = (VV minus V119901) 120575

minus 1198655sum (119860

5

119879

2)

times (1205935(120575119879

2minus 119879119874119871

)119883 (1199051+ 119879119874119871

))

+ 1198655sum

119879

2

[

[

infin

sum

119895

(119895 + 1)119860119895

5((1205751198792) minus 119879

119874119871)119895

(119895 + 1)

]

]

119880

(9)

3 Modeling of the ZVT Converter withCurrent Control Loop

31 Piece-Wise Linear Model Equation (2) may be used todevelop a piece-wise linear model of the converter of Figure 1

6 Mathematical Problems in Engineering

isum

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

CLK

NIo

120575T

2

TOL

QA

COMP

QB

120575T

2

iREF

g119904T1198601

g119904T1198602

g119904T1198611

g119904T1198612

Figure 5 Ideal waveform DC-DC ZVT converter with currentcontrol loop

throughout all the modes of operation The solution of (2)may be expressed as

119883(119905119899minus1

+ 119905119899) = 120593119899(119905119899)119883 (1199051) + 119860minus1

119899[120593119899(119905119899) minus 119868119889] 119861119899119880

(10)

where

120593119899(119905119899) = 119890119860119899119905119899 (11)

which defines in the first term of (10) the natural responseof the system along the period of time 119905

119899minus 119905119899minus1

with theinitial condition 119883(119905

119899minus1) at Mode n The second term of

(10) is the steady state response which is obtained by usingthe convolution integral Therefore using (10) for the timeinterval of 119905

1le 119905 lt 119905

1+ 119879119874119871 together with the matrixes of

Mode I the solution of the state vector forMode I is obtainedas follows

119883(1199051+ 119879119874119871

) = 1205931(119879119874119871

)119883 (1199051) + 119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611119880

(12)

In a similar way the solutions for Modes II to VI at thetime intervals 119905

1+ 119879119874119871

le 119905 lt 1199051+ 1205751198792 119905

1+ 1205751198792 le 119905 lt

1199051+ 1198792 119905

1+ 1198792 le 119905 lt 119905

1+ 1198792 + 119879

119874119871 1199051+ 1198792 + 119879

119874119871le 119905 lt

1199051+ (1 + 120575)1198792 and 119905

1+ (1 + 120575)1198792 le 119905 lt 119905

1+ 119879 become

119883(1199051+

120575119879

2) = 120593

2(120575119879

2minus 119879119874119871

)119883 (1199051+ 119879119874119871

)

+ 119860minus1

2[1205932(120575119879

2minus 119879119874119871

) minus 119868119889]1198612119880

(13)

119883(1199051+

119879

2) = 120593

3(119879

2minus

120575119879

2)119883(119905

1+

120575119879

2)

+ 119860minus1

3[1205933(119879

2minus

120575119879

2) minus 119868119889]1198613119880

(14)

119883(1199051+

119879

2+ 119879119874119871

) = 1205934(119879119874119871

)119883(1199051+

119879

2)

+ 119860minus1

4[1205934(119879119874119871

) minus 119868119889] 1198614119880

(15)

119883(1199051+

(1 + 120575) 119879

2) = 120593

5(120575119879

2minus 119879119874119871

)119883(1199051+

119879

2+ 119879119874119871

)

+ 119860minus1

5[1205935(120575119879

2minus 119879119874119871

) minus 119868119889]1198615119880

(16)

119883(1199051+ 119879) = 120593

6((1 minus 120575) 119879

2)119883(119905

1+

(1 + 120575) 119879

2)

+ 119860minus1

6[1205936((1 minus 120575) 119879

2) minus 119868119889]1198616119880

(17)

32 Large-Signal Model The large-signal model of the ZVTconverter may be obtained by substituting (12) in (13) (13) in(14) (14) in (15) (15) in (16) and (16) in (17) in such a waythat a single expression is obtained as shown in

119883(1199051+ 119879)

= 1205936((1 minus 120575) 119879

2)1205935(120575119879

2minus 119879119874119871

)1205934(119879119874119871

) 1205933

times ((1 minus 120575) 119879

2)1205932(120575119879

2minus 119879119874119871

)1205931(119879119874119871

)119883 (1199051)

Mathematical Problems in Engineering 7

+ [1205936((1 minus 120575) 119879

2)

times [1205935(120575119879

2minus 119879119874119871

)

times [1205934(119879119874119871

) [1205933((1 minus 120575) 119879

2)

times 1205932(120575119879

2minus 119879119874119871

)

times 119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611

+ 119860minus1

2[1205932(120575119879

2minus 119879119874119871

)

minus119868119889]1198612]

+119860minus1

3[1205933((1 minus 120575) 119879

2) minus 119868119889]1198613]

+119860minus1

4[1205934(119879119874119871

) minus 119868119889] 1198614]

+119860minus1

5[1205935(120575119879

2minus 119879119874119871

) minus 119868119889]1198615]

+ 119860minus1

6[1205936((1 minus 120575) 119879

2) minus 119868119889]1198616119880

(18)

33 Half-Cycle Model The waveform 119894119871119878

of Figure 2 showsthat operation modes IV V and VI are mirrors of Modes III and III respectively therefore the first three operationmodes are sufficient to describe the function of the converterA particular matrix titled as W may relate the modes I IIand III with the modes IV V and VI which satisfies thecondition119882119882 = 119868

119889 the identity matrix Therefore the half-

cycle model of the ZVT converter may be obtained replacingthe termsA4 A5 A6 B4 B5 and B6 by expressionsWA1WA2WA3 WB1 WB2 andWB3 respectively in (18) such that thehalf-cycle model is

119883(1199051+

119879

2)

= 1205933((1 minus 120575) 119879

2)1205932(120575119879

2minus 119879119874119871

)1205931(119879119874119871

)119883 (1199051)

+ [1205933((1 minus 120575) 119879

2)12059321205933((1 minus 120575) 119879

2)1205932

times (120575119879

2minus 119879119874119871

)119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611

+ 1205933((1 minus 120575) 119879

2)119860minus1

2[1205932(120575119879

2minus 119879119874119871

) minus 119868119889]1198612

+119860minus1

3[1205933((1 minus 120575) 119879

2) minus 119868119889]1198613]119880

+ 119882(1205933((1 minus 120575) 119879

2)(1205932(120575119879

2minus 119879119874119871

)

times 1198821205931(119879119874119871

)119883 (1199051)

+ 1205933((1 minus 120575) 119879

2)

times 1205932(120575119879

2minus 119879119874119871

)119860minus1

1

times [1205931(119879119874119871

) minus 119868119889] 1198611)

+ 1205933((1 minus 120575) 119879

2)119860minus1

2

times [1205932(120575119879

2minus 119879119874119871

) minus 119868119889]1198612

+ 119860minus1

3[1205933((1 minus 120575) 119879

2) minus 119868119889]1198821198613)119880 (119905

1)

(19)

The previous equation may be rewritten as119883(1199051+ 1198792) =

119860MC119883(1199051) + 119861MC119880(119905

1) for practical purposes

34 Sample-Data Small-Signal Linear Model of the Converterin Open-Loop Conditions The equation 119883(119905

1+ 1198792) =

119860MC119883(1199051) + 119861MC119880(119905

1) may be used as a half-cycle discrete

model of the ZVT converter which may be written as

1198831015840

119870+1= 119860MC119883119870 + 119861MC119880119870 (20)

where1198831015840119870+1

= 119883(1199051+ 1198792)119883

119870= 119883(119905

1) and 119880

119870= 119880(119905

1)

A sample-data small-signal model may be obtained byusing the Taylor series (21) and using small-signal perturba-tions as 120575xK 120575UK 120575119879119874119871

119896

and 120575120575K One has

f (119909) = f (1199090) +J (119909 minus 119909

0) (21)

Using this equation the sample-data small-signal linearmodel becomes

120575119909119896+1

asymp120597119909119896+1

120597119909119896

120575119909119896+

120597119909119896+1

120597119880119896

120575119880119896

+120597119909119896+1

120597120575120575120575119896+

120597119909119896+1

120597119879119874119871

120575119879119874119871119896

(22)

The solution of the partial derivatives is 120597119909119896+1

120597119909119896

=

119860MC 120597119909119896+1120597119880119896 = 119861MC 120597119909119896+1120597120575 = 119862120575 and 120597119909

119896+1120597119879119874119871

=

119863119879119874119871

120575119879119874119871119896

may be determined utilizing the restrictionequation of119879

119874119871 whereas 120575120575K is obtained using the restriction

equation of 120575

35 Restriction Equations of the Control Loop The restrictionequations for 119879

119874119871may be obtained analyzing the waveforms

119894119871119878 11989411986311198633

and 119894sum which are shown in Figure 2 during theMode I The slope of 119894sum during Mode I is named 119892

1and is

determined by the rate of change of 119894119871119878

that is 1198921= 119881119904119896

119871119878

8 Mathematical Problems in Engineering

while the slopes of 11989411986311198633

during the Mode I are named 1198923

which are contrary and of lower amplitude than 1198921 that

is g3

= minusg12N The restriction equation of 119879

119874119871may be

determined by integrating the waveforms 11989411986311198633

duringModeI

119894119871119878119896

2119873+

119894119871119891119896

2+

119881119904119896

119871119878

119879119874119871119896

= 0 (23)

The previous equation is not linear therefore it isnecessary to use theTaylor series to obtain a linearizedmodel

120575119879119874119871119896

=2119873119871119878

119881119878CD

[minus1

2119873minus1

2minus

119879119874119871CD

2119873119871119878

][

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

(24)

The restriction equation of 120575 may be obtained analyzingthe waveforms 119894

119871119878

and 119894sum with ViREF minus VSAW during Mode II(Figures 2 and 5) VSAW is determined by 119872(120575

1198961198792) and the

slope of 119894sum duringMode II named 1198922 and may be obtained

by integrating again 119894sumwhen 119877119904119894sum = ViREF minus VSAW

119877119904(119894119871119878119896

+ 1198921119879119874119871119896

+ 1198922(120575119896119879

2minus 119879119874119871119896

)) = 119881119894REF minus 119872

120575119896119879

2

(25)

The Taylor series is used to linearize the previous equa-tion and therefore the restriction equation of 120575 becomes

120575120575119896=

1

Δ120575[1198861205751 119886

12057521198861205753

1198861205754

1198861205755]

[[[[[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

120575119881iREF119896

120575119881119900119896

]]]]]

]

(26)

where Δ120575= minus(2119879)(1198721198792119877

119878+ 1(119871

119878+ (1119873

2)119871119891))(V119904CD

minus

(1119873)V0CD

) 1198861205751

= 120597119894119871119878119896

120597119894119871119878119896

1198861205752

= 120597119894119871119878119896

120597119894119871119891119896

1198861205753

=

120597119894119871119878119896

120597119881119878119896

1198861205754

= 120597119894119871119878119896

120597119881iREF119896

and 1198861205755

= 120597119894119871119878119896

120597119881119900119896

36 Sample-Data Small-Signal Linear Model of the Converterin Closed-Loop Conditions The sample-data small-signallinear model may be obtained by substituting 120575

119879119874119871119896

and 120575120575K(24) and (26) respectively in (22)

120575119909119896+1

= 119860MC[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

+ 119861MC [120575119881119904119896

120575119868119885119896

]

+ 119862120575(

1

Δ120575[1198861205751 119886

12057521198861205753

1198861205754

1198861205755]

[[[[[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

120575119881iREF119896

120575119881119900119896

]]]]]

]

)

+ 119863119879119874119871

(2119873119871119878

119881119878CD

[minus1

2119873minus1

2minus

119879119874119871CD

2119873119871119878

][

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

)

(27)

Table 1 Operating parameters

Supply voltage 200V plusmn 20Output voltage 48VMaximum power 250WMinimum power 50WOutput voltage ripple 25mVOutput current ripple 300mAMaximum phase 50Switching frequency 50 kHz

such that the small-signal model becomes

120575119909119896+1

= 119860119888119897120575119909119896+ 120596119888119897120575119908119888119897119896

(28)

where 120575119909119896+1

= [1205751198941015840

119871119878119896

1205751198941015840

119871119891119896

1205751198811015840

119904119896

]119879

120575119909119896

=

[120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896]1015840 and 120575119908

119888119897119896

= [120575119881119904119896

120575119881iREF119896

120575119868119885119896]119879

Equation (28) may be solved by using the 119885 transformsuch that 120575119909

119870becomes

120575119909119896= (119868119889minus 119860119888119897)minus1119885120596119888119897120575119908119888119897119896

(29)

37 Transfer Function To verify the dynamic characteristicsof the converter is necessary to analyze the transfer functionsthat relate V

119900with 119881

119904 ViREF and 119868

119911 which are the throughput

input-to-output DC voltage transfer function 119867V(119911) =

V119900(119911)V119904(119911) the control-to-output transfer function119879

119874119871(119911) =

V119900(119911)ViREF(119911) and the output impedance transfer function

119885119900(119911) = V

119900(119911)119868119911(119911) respectively

The magnitude and phase of each transfer function maybe obtained using a Bode diagram whereas the root locustechnique may be employed to describe the behaviour of thepoles and zeros of (30) One has

119867V (119911) =119911 + 1198861

1199112 + 1198871119911 + 1198881

119879119874119871 (119911) =

11988621199112+ 1198872119911 + 1198882

1199112 + 1198871119911 + 1198881

119885119900 (119911) =

11988631199112+ 1198873119911 minus 1198883

1199112 + 1198871119911 + 1198881

(30)

4 Verification of the Proposed Model

41 Prototype Operating Parameters A 250W ZVT DC-DC prototype converter was designed under the analysisdescribed in [7] to verify the large-signal model of (14)Table 1 shows the operating parameters of the converter

The steady-state output voltage 119881119874 may be calculated as

the average of the rectified voltage V119863119863

such that at full load

119881119900= 120575max119873119881in minus

41198732119868119900(max)119871119878

119879 (31)

Taking the assumption shown in (31) the converter com-ponent values must comply with the zero-voltage switching

Mathematical Problems in Engineering 9

012345

(ms)(ms)

Piece-wise analysisPiece-wise model

Simulation circuitPiece-wise analysisPiece-wise model

Simulation circuit

01234

0 01 02 03 04 05 06 07 08 09 01minus5

minus4

minus3

minus2

minus1

minus4

minus3

minus2

minus1A A

09

0902

0904

0906

0908

091

0912

0914

0916

0918

092

iL119878iL119878

Figure 6 119894119871119878

current waveform obtained with the piece-wise linear model and a Micro-Cap simulation

1

0

1

2

3

4

5

6

Piece-wise modelPiece-wise analysis

Simulation circuitPiece-wise modelPiece-wise analysis

Simulation circuit

09

902

090

4

090

6

090

8

091

091

2

091

4

091

6

091

8

092

0

1

2

3

4

5

6

7

(ms)

A

0 01 02 03 04 05 06 07 08 09minus1

(ms)

A

iL119891iL119891

Figure 7 119894119871119891

current waveform obtained with the piece-wise linear model and a Micro-Cap simulation

0

10

20

30

40

50

0

10

20

30

40

50

60

minus10

A

1

Piece-wise modelPiece-wise analysis

Simulation circuitPiece-wise modelPiece-wise analysis

Simulation circuit

0 01 02 03 04 05 06 07 08 09

(ms)

09

090

2

090

6

090

8

091

091

4

091

6

091

8

092

(ms)

A

o o

Figure 8 vo voltage waveform obtained with the piece-wise linear model and a Micro-Cap simulation

10 Mathematical Problems in Engineering

012345

0

2

4

6

Piece-wise analysisPiece-wise analysisPiece-wise model

Piece-wise modelSimulation circuitSimulation circuit

Piece-wise analysisPiece-wise analysisPiece-wise model

Piece-wise modelSimulation circuitSimulation circuit

minus6

minus4

minus2

minus4

minus3

minus1

minus2

A A

10 01 02 03 04 05 06 07 08 09

(ms)

09

090

2

090

4

090

6

090

8

091

091

2

091

4

091

6

091

8

092

(ms)

isum and SAW-iREF isum and SAW-iREF

Figure 9 Waveforms of 119894sum and VSAW minus ViREF obtained with the piece-wise linear model and a Micro-Cap simulation

Mag

nitu

de (d

B)

0

Phas

e (de

g)

101 102 103 104

Frequency (rads)

minus35

minus30

minus25

minus20

minus15

minus10

minus5

minus225

minus180

minus135

minus90

minus45

Bode Diagram (os)

Figure 10 Bode plot of Hv(z)

05

10152025303540

Mag

nitu

de (d

B)Ph

ase (

deg)

101 102 103 104minus240minus195minus150minus105minus80minus15

Frequency (rads)

Bode Diagram (oiREF)

Figure 11 Bode plot of 119879119874119871(z)

Mathematical Problems in Engineering 11

510152025

Mag

nitu

de (d

B)4590

135180225

Phas

e (de

g)101 102 103 104

Frequency (rads)

oIz)Bode Diagram (

Figure 12 Bode plot of 119885119900(119911)

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

minus1minus08minus06minus04minus02

0020406081

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 13 Root locus of119867V(119911)

Table 2 Component values used in the 250W prototype

Devices ValueStray inductance (119871

119878) 1635 uH

Filter inductor (119871119891) 528 uH

Filter capacitor (119862119891) 1218 uF

Resistive load 9216ΩTransformer turns ratio119873 0683

Table 3 Verification of the large-signal model

119883(1199051)

large-signalmodel

119883(1199051+ 119879)

large-signalmodel

119883(1199051+ 119879)

verification error

119894119871119878

minus35292 minus3529 minus35304 004119894119871119891

50171 5017 50063 02119881119900 48035 48019 48002 04

phenomena to reduce the transistor switching losses under acertain load range For instance 119871

119878should be large enough

to keep the converter operation with ZVT under a low loadcondition whilst 119873 should be small to maintain regulatedoutput voltage for a maximum input voltage The list ofparameters shown in Table 1 together with (31) defines thecomponent values that may be used to keep the DC-DCconverter operatingwith theZVTeffectwithin a load range of

Table 4 Verification of the half-cycle model

119883(1199051)

half-cyclemodel

119883(1199051+ 1198792)

half-cyclemodel

119883(1199051+ 1198792)

verification error

119894119871119878

minus3415 347 34153 0016119894119871119891

4954 4957 48431 0023119881119900 4853 4853 471245 0029

50W to 250W and the values shown in Table 2 were decidedto be appropriate for the converter design The output filtercomponents of the rectifier were determined with the outputvoltage ripple Δ119881

119874 and the filter inductor current ripple

Δ119868119874 which may be calculated by using

Δ119868119900=

2119881119900

120596119871119891

120587

2sin(cosminus1 ( 2

120587)) minus cosminus1 ( 2

120587)

Δ119881119900=

119879Δ119868119900

8119862

(32)

42 Simulation and Results The piece-wise linear model thelarge-signal model and the half-cycle model of the converterwere verified by iterative program developed in MatLab Thepiece-wise model was solved using the Runge-Kutta numeri-cal method and using a small simulation step time togetherwith 119879

119874119871and 120575 to calculate the duration of each operating

mode whereas the large-signal model and half-cycle model

12 Mathematical Problems in Engineering

Table 5 Definition of matrix for each mode of operation

Mode I

1198601

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198611

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode II

1198602

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198612

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

1

0

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode III

1198603

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198613

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

0

0

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode IV

1198604

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

minus1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198614

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

minus1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode V

1198605

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

minus1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198615

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

minus1

1

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mathematical Problems in Engineering 13

Table 5 Continued

Mode VI

1198606

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198616

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

0

1

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1minus15

minus1

minus05

0

05

1

15

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 14 Root locus of 119879119874119871(z)

Root locus

Real axis08 085 09 095 1 105 11 115 12

minus025minus02minus015minus01minus005

00050101502025

Imag

inar

y ax

is

01020304050607

0809

1120587T

Figure 15 Root locus of Z(z)

were solved by calculating 119879119874119871

and 120575 with the Newton-Raphson method Figures 6 to 9 show a comparison of theresults obtained with the piece-wise model and simulationresults obtained with Micro-Cap The waveforms plotted onthese figures are the transformer primary-side current 119894

119871119878

Figure 6 the filter inductor current 119894

119871119891

Figure 7 the outputvoltage V

119874 Figure 8 and the supply current 119894sum together with

VSAW minus ViREF Figure 9 Tables 3 and 4 show a comparison ofthe instantaneous values of the state vector obtained at theend of a full cycle in steady state conditions which verifiesthe exactitude of the large-signal model whereas Table 4

shows those of the half-cycle model Both tables list resultstogetherwith instantaneous results obtainedwithMicro-CapThe value of 119879

119874119871calculated for Modes I and IV is 0727 120583s

while 120575 is 03998Figures 10 11 and 12 show the bode diagram of the

transfer functions 119867V(119911) 119879119874119871(119911) and 119885119900(119911) calculated with

the symbolic equation tool of MatLab whilst Figures 13 14and 15 show the roots locus of119867V(119911) 119879119874119871(119911) and 119885

119900(119911)

Themagnitude of119867V(119911) at low frequency converges to thesteady-state DC of V

119900minusDC119881119904 while the magnitude of 119879119874119871

(119911)

reveals the gain of the control-to-output under dynamic

14 Mathematical Problems in Engineering

120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

04120587T05120587T06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

01120587T

01120587T

02120587T

03120587T04120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T06120587T

07120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

08120587T

09120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

010203040506070809

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

010203

040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

Figure 16 Root locus plots of119867V(119911) 119879119874119871(119911) and 119885119874(119911) obtained by ranging of 120575119872 and 119877

119904

conditions and the magnitude of 119885(119911) converges to smallvalue below and above the resonant frequency determined by1radic119871

119891+ 1198732119871

119878119862119891

The poles of119867119881(119911) are conjugates complex roots whereas

there is a single zero located at minus275 119879119874119871

(119911) is steady with again lower than 0596 dB and its poles are conjugates complexroots with two steady zeros 119885

119900(119911) is steady with a gain lower

than 00682 dB and its poles are conjugates complex rootsand there are two zeros located at minus393 and 093

To design a controller is necessary to determine thebehaviour of the poles and zeros of the transfer functionswithrespect to variations of 120575119872 and 119877

119904 Figure 16 shows diverse

root locus plots of 119867119881(119911) 119879

119874119871(119911) and 119885

119900(119911) by ranging the

values of 120575119872 and 119877119904

Mathematical Problems in Engineering 15

5 Conclusion

This paper presented the mathematical derivation of asample-data small-signal model for a ZVT DC-DC con-verter The method used a piece-wise linear analysis toobtain a large-signal model which was verified with numer-ical predictions that depend on the integration step sizeto obtain high accuracy A sample-data half-cycle linearmodel was derived using the large-signal model such thata dynamic model of the converter was obtained by usinga linear approximation A comparison of the instantaneousvalues listed in Tables 3 and 4 showed that there is closecorrespondence between the derived models and the circuitsimulation especially with the half-cycle model Also Bodediagrams and a root locus analysis showed that the controlsystem may be steady if 120575 is defined within an interval of 03to 06 119877

119904within 09VA to 12 VA andM within 0044Vs

to 01 Vs Therefore the half-cycle model is more accuratethan the large-signal model and it is useful to determinea small-signal sample-data model of the DC-DC converterfor dynamic studies The presented method may help topower electronic practitioners to derive discrete transferfunctions of soft switched DC-DC converter and understandthe dynamic behaviour of power electronic systems whichserves as a basic principle to design a controller for theconverter outer loop

Conflict of Interests

The authors declare that there is no conflict of interestsregarding the publication of this paper

Acknowledgments

The authors are grateful to the National Council of Scienceand Technology of Mexico (CONACyT) the Postgraduateand Research Department (SEPI) of the School of Mechan-ical and Electrical Engineering Campus Culhuacan of theNational Polytechnic Institute (IPN) of Mexico and theTechnological Studies Superiors of Coacalco for their encour-agement and the realization of the prototype

References

[1] F J Perez-Pinal The Electric Vehicle Design Stages Considera-tions Editorial Academica Espanola Madrid Spain 2011

[2] F J Perez-Pinal C Nunez R Alvarez and M Gallegos ldquoStepby step design of the power stage of a light electric vehiclerdquoInternational Review of Electrical Engineering vol 3 no 1 pp100ndash108 2008

[3] F J Perez-Pinal J C Kota-Renteria J C Nunez-Perez andN Al-Mutawaly ldquoHybrid conversion kit applied to publictransportation a taxi case solutionrdquo International Review onModelling and Simulations vol 6 no 2 pp 554ndash559 2013

[4] J Liu and H Peng ldquoModeling and control of a power-splithybrid vehiclerdquo IEEE Transactions on Control Systems Technol-ogy vol 16 no 6 pp 1242ndash1251 2008

[5] F J Perez-Pinal N Al-Mutawaly and J C Nunez-PerezldquoImpact of plug-in hybrid electric vehicle in distributed gener-ation and smart grid a brief reviewrdquo International Review onModelling and Simulations vol 6 no 3 pp 795ndash805 2013

[6] M Yilmaz and P T Krein ldquoReview of battery charger topolo-gies charging power levels and infrastructure for plug-inelectric and hybrid vehiclesrdquo IEEE Transactions on PowerElectronics vol 28 no 5 pp 2151ndash2169 2013

[7] A Tapia-Hernandez I Araujo-Vargas M Ponce-Silva andM Ponce-Flores ldquoDesign of a ZVT DC-DC converter withstray components integration for a public-transport electricvehiclerdquo in Proceedings of the International Symposium onPower Electronics Electrical Drives Automation and Motion(SPEEDAM rsquo10) pp 478ndash483 June 2010

Submit your manuscripts athttpwwwhindawicom

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical Problems in Engineering

Hindawi Publishing Corporationhttpwwwhindawicom

Differential EquationsInternational Journal of

Volume 2014

Applied MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Probability and StatisticsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical PhysicsAdvances in

Complex AnalysisJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

OptimizationJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

CombinatoricsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Operations ResearchAdvances in

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Function Spaces

Abstract and Applied AnalysisHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of Mathematics and Mathematical Sciences

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Algebra

Discrete Dynamics in Nature and Society

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Decision SciencesAdvances in

Discrete MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014 Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Stochastic AnalysisInternational Journal of

Page 3: Research Article Sample-Data Modeling of a Zero Voltage ... · Attribution License, which permits unrestricted use, distributio n, and reproduction in any medium, provided the original

Mathematical Problems in Engineering 3

T

T

T

T

T

T

T

T

T

T

T

T

T

T2

T2

T2

T2

T2

T2

T2

T2

T2

T2

T2

T2

T2

120575T2

Io

Io

Io

NIo

NIoisum

AB

BN

AN

TOL

MI

MII

MII

IM

IV MV

MV

I

s

s

s

s

NsDD

1 iD4

iD

iD2 iD3

gsTA1

g

sTA2

gsTB2

g

sTB1

t

t

t

t

t

t

t

t

t

t

t

t

t

iL119878

iL119891

Figure 2 Ideal waveforms of the converter

and 1198941198632

and 1198941198633

fall to zero whereas when V119860119861

changes fromzero to minus119881in 119894119863

2

and 1198941198633

rise from zero to the output currentlevel and 119894

1198631

and 1198941198634

fall to zero During the 119879119874119871

period agradual current transfer is effectuated from one diode pair tothe other in such a way that 119894

119871119891

continues the slight currentslope which feeds the load The steady state value of 119879

119874119871may

be calculated assuming that the rate of change of the currentreversal of 119894

119860119861 119889119894119860119861

119889119905 only depends on the supply voltage

and the amplitude of the DC output filter current IO [3]which may be expressed as

119879119874119871

=2119873119871119878119868119874

119881119904

(1)

22 Piece-Wise Analysis of the ZVTConverter FromFigure 2119894119871119878

presents six different behaviour intervals which may betermed operating modes I to VI

For each mode of operation a different circuit configu-ration may be obtained which is shown in Figure 3 Theseequivalent circuits may be described using the state-spaceequation (2) and the state-space output expression (3)

= 119860119899119883 + 119861

119899119880 (2)

119884 = 119865119899119883 + 119866

119899119880 (3)

where 119883 = [119894119871119878

119894119871119891

V119900]119879

is the state vector 119880 = [119881119904 119868119885]119879 is

the input vector 119868119885is the output current disturbance 119884 =

[119894sec 1198941198631

1198941198632

119894sum]119879 is the output vector 119894sum is the supply

current and 119860119899 119861119899 119865119899 and 119866

119899are the state matrixes of the

six operating modes being 119899 = 1 2 6Mode I is formed when 119879

1198601

and 1198791198612

are in the on state1198791198602

and 1198791198611

are in the off state and 1198631to 1198634are conducting

due to the overlap rectifier phenomenaThe equivalent circuitof Mode I is shown in Figure 3(a) and the equations thatdescribe this mode are shown in (2) and (3) with 119899 = 1 Thematrixes A1 B1 F1 and G1 are listed in Table 5

In Mode II the state of the inverter switches is exactlyas that of Mode I but 119863

1and 119863

4are conducting and 119863

2

and 1198633are off The equivalent circuit of Mode II is shown in

Figure 3(b) and again (2) and (3) describe Mode II but with119899 = 2 The matrixes 119860

2 1198612 1198652 and 119866

2are shown in Table 5

In Mode III 1198791198601

and 1198791198611

are in the on state 1198791198602

and 1198791198612

are in the off state 1198631and 119863

4are conducting and 119863

2and

1198633are off The equivalent circuit of Mode III is shown in

Figure 3(c) being (2) and (3) with 119899 = 3 the mathematicalmodel of this mode Again the matrixes 119860

3 1198613 1198653 and 119866

3

are shown in Table 5Mode IV is a mirror of Mode I but with 119879

1198601

and 1198791198612

inthe off state and 119879

1198602

and 1198791198611

in the on state whilst Modes Vand VI are mirrors of Modes II and III respectively since thestate of the switches and diodes is complementary to that ofModes II and III Again (2) and (3) describeModes IV V andVI but with 119899 = 4 5 and 6 respectively The correspondingmatrixes to these operating modes are shown in Table 5

23 Current Control Loop Description The circuit shownin Figure 4 is a DC-DC ZVT converter with peak currentcontrol loop which has a current transducer with gain119877

119904that

senses 119894sum one SR flip-flop and two D flip-flops a clock sig-nal VCLK a sawtooth generator VSAW and the reference cur-rent level ViREF which is provided by an outer voltage loop

The operation of the circuit of Figure 4 may be explainedwith the state voltage and current waveforms of Figure 5Thefirst waveform shown in Figure 5 is the clock signal of systemVCLK The second waveform is 119894sum plotted together with the

4 Mathematical Problems in Engineering

Cf R

isum

ic Io

C IZ

Lf

D1 D2

D3 D4

iAB

secprims

isec

AB o

iD2iD1

iD3iD4

DD

TA1

TB2

iL119878L119878

iL119891

L119891

LS

(a)

isum

isec

ic Io

C Cf R IZ

Lf

D1

D4

iAB

secprims AB o

iD1

iD4

DD

TA1

TB2

iL119878

L119878

iL119891

L119891

LS

(b)

Cf R

isumic Io

C IZ

Lf

D1

D4

iAB

isec

secprims oAB

iD1

iD4

DD

TA1TB1 iL119878

L119878

iL119891

L119891

LS

(c)

isec

isum

C Cf R IZ

ic Io

Lf

D1 D2

D4D3

iAB

secprims AB o

iD2iD1

iD3iD4

DD

TA2

TB1 iL119878

L119878

iL119891

L119891

LS

(d)

RCf

ic Io

IZ

Lf

D3

D2

isum

C iAB

sec

sec

prims ABoiD2iD3

DD

TA1

TA2

TB1 iL119878

L119878

iL119891

L119891

LS

(e)

isec

isum

C Cf R IZ

ic

Lf

D2

D3

IoiAB

secprims AB oiD2iD3

DDTA2

TB2

iL119878

L119878

iL119891

L119891

LS

(f)

Figure 3 Equivalent circuits formed from the operation ZVT DC-DC converter (a) Mode I (b) Mode II (c) Mode III (d) Mode IV (e)Mode V and (f) Mode VI

deference of ViREF with VSAW where VSAW is a negative slopesynchronized with VCLK while ViREF is the current referencethat regulates the peak level of 119894sum VCOMP is the state of theoutput comparator which is the thirdwaveformof this figureThe fourth and fifth waveforms are the SR flip-flop outputs119876119860and 119876

119861 with 119876

119860set to the on state by VCLK and to the

off state when VCOMP switches to the on state The fifth andsixthwaveforms are the outputs of the first flip-flop VgsTA1

andVgsTA2

which are controlled by the rising edge of119876119860 whereas

VgsTB1and VgsTB2

the outputs of the second 119863 flip-flop whichare the last waves of this figure are controlled by the risingedge of 119876

119861

24 Numerical Estimation of the 119879119874119871

and 120575 Periods 119879119874119871

defines the duration of Modes I and IV and may be numer-ically estimated by determining the instant when either 119894

1198632

or 1198941198631

reaches zero 119879119874119871

may also be calculated using theNewton-Raphson method [4] This numerical method maybe implemented using

119879119874119871 (119899 + 1) = 119879

119874119871 (119899) minus119891 (119879119874119871

)

1198911015840 (119879119874119871

) (4)

where

119891 (119879119874119871

) = 1198651198631

(1205931(119879119874119871

)119883 (1199051))

+ (1198651198631

119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611+ 1198661198631

)119880

1198911015840(119879119874119871

) = 1198651198631

11986011198901198601119879119874119871119883(119905

1)

+ 1198651198631

[

[

infin

sum

119895

(119895 + 1)119860119895

1119879119895

119874119871

(119895 + 1)

]

]

1198611119880

(5)

Equations of (5) use the output equation that includes1198941198631

which determine the duration of Mode I whereas theduration of Mode IV is determined by 119894

1198632

such that (6) maybe rewritten as follows

119891 (119879119874119871

) = 1198651198632

(1205934(119879119874119871

)119883(119879

2))

+ (1198651198632

119860minus1

4[1205934(119879119874119871

) minus 119868119889] 1198614+ 1198661198634

)

1198911015840(119879119874119871

) = 1198651198632

11986041198901198604119879119874119871119883(

119879

2)

+ 1198651198632

[

[

infin

sum

119895

(119895 + 1)119860119895

4119879119895

119874119871

(119895 + 1)

]

]

1198614119880

(6)

120575 defines the duration of Modes II and V and maybe numerically estimated by determining the instant whenthe equation ViREF minus VSAW is equal to 119877

119904119894sum 120575 may also

Mathematical Problems in Engineering 5

sumsum

Sawtooth

Peak current comparatorwith latch (RS Flip-Flop)

D Flip-Flopfor inverter leg A

D Flip-Flopfor inverter leg B

generator

Rsisum

Ls Cf R

isum

ic Io

C

isec

Lf

D1 D2

D3D4

iAB

H(s)+ +

+

minus minusminus

R FF

SQD

Q998400

Q998400

CLK

CLK

Q

Q

D

Q998400

CLK

QA

QB

middot middot middot

middot middot middot

seco

o

iREF

SAW

CLK

primABs

Rsisum

COMP

oREF

iD2iD1

iD4iD3

DD

TA2

TA1TB1

TB2

iL119878

L119878

iL119891

L119891

g119904T1198601

g119904T1198601

g119904T1198602

g119904T1198602

g119904T1198611

g119904T1198611

g119904T1198612

g119904T1198612

Figure 4 DC-DC ZVT converter with current control loop

be calculated using the Newton-Raphson method [4] Thisnumerical method may be implemented using

120575 (119899 + 1) = 120575 (119899) minus1198942sum (120575)

1198941015840

2sum (120575) (7)

where

i2sum (120575) = minus(

1

(119877119904)) [(VV minus V

119901) (

1205751198792

1198792) + V119901]

1198911015840(120575)

= (VV minus V119901) 120575

minus 1198652sum (119860

2

119879

2)

times (1205932(120575119879

2minus 119879119874119871

)119883 (1199051+ 119879119874119871

))

+ 1198652sum

119879

2

[

[

infin

sum

119895

(119895 + 1)119860119895

2((1205751198792) minus 119879

119874119871)119895

(119895 + 1)

]

]

119880

(8)

Equations of (8) use the output equation that includes1198942sum and the expression ViREF minus VSAW = 119877

119904119894sum which

determines the duration of Mode II whereas the duration of

Mode V is determined by 1198945sum and the expression ViREF minus

VSAW = 119877119904119894sum such that (9) may be rewritten as follows

1198945sum(120575) = minus

(VV minus V119901) ((1205751198792) (1198792)) + V

119901

(119877119904)

1198941015840

5sum (120575) = (VV minus V119901) 120575

minus 1198655sum (119860

5

119879

2)

times (1205935(120575119879

2minus 119879119874119871

)119883 (1199051+ 119879119874119871

))

+ 1198655sum

119879

2

[

[

infin

sum

119895

(119895 + 1)119860119895

5((1205751198792) minus 119879

119874119871)119895

(119895 + 1)

]

]

119880

(9)

3 Modeling of the ZVT Converter withCurrent Control Loop

31 Piece-Wise Linear Model Equation (2) may be used todevelop a piece-wise linear model of the converter of Figure 1

6 Mathematical Problems in Engineering

isum

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

CLK

NIo

120575T

2

TOL

QA

COMP

QB

120575T

2

iREF

g119904T1198601

g119904T1198602

g119904T1198611

g119904T1198612

Figure 5 Ideal waveform DC-DC ZVT converter with currentcontrol loop

throughout all the modes of operation The solution of (2)may be expressed as

119883(119905119899minus1

+ 119905119899) = 120593119899(119905119899)119883 (1199051) + 119860minus1

119899[120593119899(119905119899) minus 119868119889] 119861119899119880

(10)

where

120593119899(119905119899) = 119890119860119899119905119899 (11)

which defines in the first term of (10) the natural responseof the system along the period of time 119905

119899minus 119905119899minus1

with theinitial condition 119883(119905

119899minus1) at Mode n The second term of

(10) is the steady state response which is obtained by usingthe convolution integral Therefore using (10) for the timeinterval of 119905

1le 119905 lt 119905

1+ 119879119874119871 together with the matrixes of

Mode I the solution of the state vector forMode I is obtainedas follows

119883(1199051+ 119879119874119871

) = 1205931(119879119874119871

)119883 (1199051) + 119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611119880

(12)

In a similar way the solutions for Modes II to VI at thetime intervals 119905

1+ 119879119874119871

le 119905 lt 1199051+ 1205751198792 119905

1+ 1205751198792 le 119905 lt

1199051+ 1198792 119905

1+ 1198792 le 119905 lt 119905

1+ 1198792 + 119879

119874119871 1199051+ 1198792 + 119879

119874119871le 119905 lt

1199051+ (1 + 120575)1198792 and 119905

1+ (1 + 120575)1198792 le 119905 lt 119905

1+ 119879 become

119883(1199051+

120575119879

2) = 120593

2(120575119879

2minus 119879119874119871

)119883 (1199051+ 119879119874119871

)

+ 119860minus1

2[1205932(120575119879

2minus 119879119874119871

) minus 119868119889]1198612119880

(13)

119883(1199051+

119879

2) = 120593

3(119879

2minus

120575119879

2)119883(119905

1+

120575119879

2)

+ 119860minus1

3[1205933(119879

2minus

120575119879

2) minus 119868119889]1198613119880

(14)

119883(1199051+

119879

2+ 119879119874119871

) = 1205934(119879119874119871

)119883(1199051+

119879

2)

+ 119860minus1

4[1205934(119879119874119871

) minus 119868119889] 1198614119880

(15)

119883(1199051+

(1 + 120575) 119879

2) = 120593

5(120575119879

2minus 119879119874119871

)119883(1199051+

119879

2+ 119879119874119871

)

+ 119860minus1

5[1205935(120575119879

2minus 119879119874119871

) minus 119868119889]1198615119880

(16)

119883(1199051+ 119879) = 120593

6((1 minus 120575) 119879

2)119883(119905

1+

(1 + 120575) 119879

2)

+ 119860minus1

6[1205936((1 minus 120575) 119879

2) minus 119868119889]1198616119880

(17)

32 Large-Signal Model The large-signal model of the ZVTconverter may be obtained by substituting (12) in (13) (13) in(14) (14) in (15) (15) in (16) and (16) in (17) in such a waythat a single expression is obtained as shown in

119883(1199051+ 119879)

= 1205936((1 minus 120575) 119879

2)1205935(120575119879

2minus 119879119874119871

)1205934(119879119874119871

) 1205933

times ((1 minus 120575) 119879

2)1205932(120575119879

2minus 119879119874119871

)1205931(119879119874119871

)119883 (1199051)

Mathematical Problems in Engineering 7

+ [1205936((1 minus 120575) 119879

2)

times [1205935(120575119879

2minus 119879119874119871

)

times [1205934(119879119874119871

) [1205933((1 minus 120575) 119879

2)

times 1205932(120575119879

2minus 119879119874119871

)

times 119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611

+ 119860minus1

2[1205932(120575119879

2minus 119879119874119871

)

minus119868119889]1198612]

+119860minus1

3[1205933((1 minus 120575) 119879

2) minus 119868119889]1198613]

+119860minus1

4[1205934(119879119874119871

) minus 119868119889] 1198614]

+119860minus1

5[1205935(120575119879

2minus 119879119874119871

) minus 119868119889]1198615]

+ 119860minus1

6[1205936((1 minus 120575) 119879

2) minus 119868119889]1198616119880

(18)

33 Half-Cycle Model The waveform 119894119871119878

of Figure 2 showsthat operation modes IV V and VI are mirrors of Modes III and III respectively therefore the first three operationmodes are sufficient to describe the function of the converterA particular matrix titled as W may relate the modes I IIand III with the modes IV V and VI which satisfies thecondition119882119882 = 119868

119889 the identity matrix Therefore the half-

cycle model of the ZVT converter may be obtained replacingthe termsA4 A5 A6 B4 B5 and B6 by expressionsWA1WA2WA3 WB1 WB2 andWB3 respectively in (18) such that thehalf-cycle model is

119883(1199051+

119879

2)

= 1205933((1 minus 120575) 119879

2)1205932(120575119879

2minus 119879119874119871

)1205931(119879119874119871

)119883 (1199051)

+ [1205933((1 minus 120575) 119879

2)12059321205933((1 minus 120575) 119879

2)1205932

times (120575119879

2minus 119879119874119871

)119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611

+ 1205933((1 minus 120575) 119879

2)119860minus1

2[1205932(120575119879

2minus 119879119874119871

) minus 119868119889]1198612

+119860minus1

3[1205933((1 minus 120575) 119879

2) minus 119868119889]1198613]119880

+ 119882(1205933((1 minus 120575) 119879

2)(1205932(120575119879

2minus 119879119874119871

)

times 1198821205931(119879119874119871

)119883 (1199051)

+ 1205933((1 minus 120575) 119879

2)

times 1205932(120575119879

2minus 119879119874119871

)119860minus1

1

times [1205931(119879119874119871

) minus 119868119889] 1198611)

+ 1205933((1 minus 120575) 119879

2)119860minus1

2

times [1205932(120575119879

2minus 119879119874119871

) minus 119868119889]1198612

+ 119860minus1

3[1205933((1 minus 120575) 119879

2) minus 119868119889]1198821198613)119880 (119905

1)

(19)

The previous equation may be rewritten as119883(1199051+ 1198792) =

119860MC119883(1199051) + 119861MC119880(119905

1) for practical purposes

34 Sample-Data Small-Signal Linear Model of the Converterin Open-Loop Conditions The equation 119883(119905

1+ 1198792) =

119860MC119883(1199051) + 119861MC119880(119905

1) may be used as a half-cycle discrete

model of the ZVT converter which may be written as

1198831015840

119870+1= 119860MC119883119870 + 119861MC119880119870 (20)

where1198831015840119870+1

= 119883(1199051+ 1198792)119883

119870= 119883(119905

1) and 119880

119870= 119880(119905

1)

A sample-data small-signal model may be obtained byusing the Taylor series (21) and using small-signal perturba-tions as 120575xK 120575UK 120575119879119874119871

119896

and 120575120575K One has

f (119909) = f (1199090) +J (119909 minus 119909

0) (21)

Using this equation the sample-data small-signal linearmodel becomes

120575119909119896+1

asymp120597119909119896+1

120597119909119896

120575119909119896+

120597119909119896+1

120597119880119896

120575119880119896

+120597119909119896+1

120597120575120575120575119896+

120597119909119896+1

120597119879119874119871

120575119879119874119871119896

(22)

The solution of the partial derivatives is 120597119909119896+1

120597119909119896

=

119860MC 120597119909119896+1120597119880119896 = 119861MC 120597119909119896+1120597120575 = 119862120575 and 120597119909

119896+1120597119879119874119871

=

119863119879119874119871

120575119879119874119871119896

may be determined utilizing the restrictionequation of119879

119874119871 whereas 120575120575K is obtained using the restriction

equation of 120575

35 Restriction Equations of the Control Loop The restrictionequations for 119879

119874119871may be obtained analyzing the waveforms

119894119871119878 11989411986311198633

and 119894sum which are shown in Figure 2 during theMode I The slope of 119894sum during Mode I is named 119892

1and is

determined by the rate of change of 119894119871119878

that is 1198921= 119881119904119896

119871119878

8 Mathematical Problems in Engineering

while the slopes of 11989411986311198633

during the Mode I are named 1198923

which are contrary and of lower amplitude than 1198921 that

is g3

= minusg12N The restriction equation of 119879

119874119871may be

determined by integrating the waveforms 11989411986311198633

duringModeI

119894119871119878119896

2119873+

119894119871119891119896

2+

119881119904119896

119871119878

119879119874119871119896

= 0 (23)

The previous equation is not linear therefore it isnecessary to use theTaylor series to obtain a linearizedmodel

120575119879119874119871119896

=2119873119871119878

119881119878CD

[minus1

2119873minus1

2minus

119879119874119871CD

2119873119871119878

][

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

(24)

The restriction equation of 120575 may be obtained analyzingthe waveforms 119894

119871119878

and 119894sum with ViREF minus VSAW during Mode II(Figures 2 and 5) VSAW is determined by 119872(120575

1198961198792) and the

slope of 119894sum duringMode II named 1198922 and may be obtained

by integrating again 119894sumwhen 119877119904119894sum = ViREF minus VSAW

119877119904(119894119871119878119896

+ 1198921119879119874119871119896

+ 1198922(120575119896119879

2minus 119879119874119871119896

)) = 119881119894REF minus 119872

120575119896119879

2

(25)

The Taylor series is used to linearize the previous equa-tion and therefore the restriction equation of 120575 becomes

120575120575119896=

1

Δ120575[1198861205751 119886

12057521198861205753

1198861205754

1198861205755]

[[[[[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

120575119881iREF119896

120575119881119900119896

]]]]]

]

(26)

where Δ120575= minus(2119879)(1198721198792119877

119878+ 1(119871

119878+ (1119873

2)119871119891))(V119904CD

minus

(1119873)V0CD

) 1198861205751

= 120597119894119871119878119896

120597119894119871119878119896

1198861205752

= 120597119894119871119878119896

120597119894119871119891119896

1198861205753

=

120597119894119871119878119896

120597119881119878119896

1198861205754

= 120597119894119871119878119896

120597119881iREF119896

and 1198861205755

= 120597119894119871119878119896

120597119881119900119896

36 Sample-Data Small-Signal Linear Model of the Converterin Closed-Loop Conditions The sample-data small-signallinear model may be obtained by substituting 120575

119879119874119871119896

and 120575120575K(24) and (26) respectively in (22)

120575119909119896+1

= 119860MC[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

+ 119861MC [120575119881119904119896

120575119868119885119896

]

+ 119862120575(

1

Δ120575[1198861205751 119886

12057521198861205753

1198861205754

1198861205755]

[[[[[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

120575119881iREF119896

120575119881119900119896

]]]]]

]

)

+ 119863119879119874119871

(2119873119871119878

119881119878CD

[minus1

2119873minus1

2minus

119879119874119871CD

2119873119871119878

][

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

)

(27)

Table 1 Operating parameters

Supply voltage 200V plusmn 20Output voltage 48VMaximum power 250WMinimum power 50WOutput voltage ripple 25mVOutput current ripple 300mAMaximum phase 50Switching frequency 50 kHz

such that the small-signal model becomes

120575119909119896+1

= 119860119888119897120575119909119896+ 120596119888119897120575119908119888119897119896

(28)

where 120575119909119896+1

= [1205751198941015840

119871119878119896

1205751198941015840

119871119891119896

1205751198811015840

119904119896

]119879

120575119909119896

=

[120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896]1015840 and 120575119908

119888119897119896

= [120575119881119904119896

120575119881iREF119896

120575119868119885119896]119879

Equation (28) may be solved by using the 119885 transformsuch that 120575119909

119870becomes

120575119909119896= (119868119889minus 119860119888119897)minus1119885120596119888119897120575119908119888119897119896

(29)

37 Transfer Function To verify the dynamic characteristicsof the converter is necessary to analyze the transfer functionsthat relate V

119900with 119881

119904 ViREF and 119868

119911 which are the throughput

input-to-output DC voltage transfer function 119867V(119911) =

V119900(119911)V119904(119911) the control-to-output transfer function119879

119874119871(119911) =

V119900(119911)ViREF(119911) and the output impedance transfer function

119885119900(119911) = V

119900(119911)119868119911(119911) respectively

The magnitude and phase of each transfer function maybe obtained using a Bode diagram whereas the root locustechnique may be employed to describe the behaviour of thepoles and zeros of (30) One has

119867V (119911) =119911 + 1198861

1199112 + 1198871119911 + 1198881

119879119874119871 (119911) =

11988621199112+ 1198872119911 + 1198882

1199112 + 1198871119911 + 1198881

119885119900 (119911) =

11988631199112+ 1198873119911 minus 1198883

1199112 + 1198871119911 + 1198881

(30)

4 Verification of the Proposed Model

41 Prototype Operating Parameters A 250W ZVT DC-DC prototype converter was designed under the analysisdescribed in [7] to verify the large-signal model of (14)Table 1 shows the operating parameters of the converter

The steady-state output voltage 119881119874 may be calculated as

the average of the rectified voltage V119863119863

such that at full load

119881119900= 120575max119873119881in minus

41198732119868119900(max)119871119878

119879 (31)

Taking the assumption shown in (31) the converter com-ponent values must comply with the zero-voltage switching

Mathematical Problems in Engineering 9

012345

(ms)(ms)

Piece-wise analysisPiece-wise model

Simulation circuitPiece-wise analysisPiece-wise model

Simulation circuit

01234

0 01 02 03 04 05 06 07 08 09 01minus5

minus4

minus3

minus2

minus1

minus4

minus3

minus2

minus1A A

09

0902

0904

0906

0908

091

0912

0914

0916

0918

092

iL119878iL119878

Figure 6 119894119871119878

current waveform obtained with the piece-wise linear model and a Micro-Cap simulation

1

0

1

2

3

4

5

6

Piece-wise modelPiece-wise analysis

Simulation circuitPiece-wise modelPiece-wise analysis

Simulation circuit

09

902

090

4

090

6

090

8

091

091

2

091

4

091

6

091

8

092

0

1

2

3

4

5

6

7

(ms)

A

0 01 02 03 04 05 06 07 08 09minus1

(ms)

A

iL119891iL119891

Figure 7 119894119871119891

current waveform obtained with the piece-wise linear model and a Micro-Cap simulation

0

10

20

30

40

50

0

10

20

30

40

50

60

minus10

A

1

Piece-wise modelPiece-wise analysis

Simulation circuitPiece-wise modelPiece-wise analysis

Simulation circuit

0 01 02 03 04 05 06 07 08 09

(ms)

09

090

2

090

6

090

8

091

091

4

091

6

091

8

092

(ms)

A

o o

Figure 8 vo voltage waveform obtained with the piece-wise linear model and a Micro-Cap simulation

10 Mathematical Problems in Engineering

012345

0

2

4

6

Piece-wise analysisPiece-wise analysisPiece-wise model

Piece-wise modelSimulation circuitSimulation circuit

Piece-wise analysisPiece-wise analysisPiece-wise model

Piece-wise modelSimulation circuitSimulation circuit

minus6

minus4

minus2

minus4

minus3

minus1

minus2

A A

10 01 02 03 04 05 06 07 08 09

(ms)

09

090

2

090

4

090

6

090

8

091

091

2

091

4

091

6

091

8

092

(ms)

isum and SAW-iREF isum and SAW-iREF

Figure 9 Waveforms of 119894sum and VSAW minus ViREF obtained with the piece-wise linear model and a Micro-Cap simulation

Mag

nitu

de (d

B)

0

Phas

e (de

g)

101 102 103 104

Frequency (rads)

minus35

minus30

minus25

minus20

minus15

minus10

minus5

minus225

minus180

minus135

minus90

minus45

Bode Diagram (os)

Figure 10 Bode plot of Hv(z)

05

10152025303540

Mag

nitu

de (d

B)Ph

ase (

deg)

101 102 103 104minus240minus195minus150minus105minus80minus15

Frequency (rads)

Bode Diagram (oiREF)

Figure 11 Bode plot of 119879119874119871(z)

Mathematical Problems in Engineering 11

510152025

Mag

nitu

de (d

B)4590

135180225

Phas

e (de

g)101 102 103 104

Frequency (rads)

oIz)Bode Diagram (

Figure 12 Bode plot of 119885119900(119911)

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

minus1minus08minus06minus04minus02

0020406081

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 13 Root locus of119867V(119911)

Table 2 Component values used in the 250W prototype

Devices ValueStray inductance (119871

119878) 1635 uH

Filter inductor (119871119891) 528 uH

Filter capacitor (119862119891) 1218 uF

Resistive load 9216ΩTransformer turns ratio119873 0683

Table 3 Verification of the large-signal model

119883(1199051)

large-signalmodel

119883(1199051+ 119879)

large-signalmodel

119883(1199051+ 119879)

verification error

119894119871119878

minus35292 minus3529 minus35304 004119894119871119891

50171 5017 50063 02119881119900 48035 48019 48002 04

phenomena to reduce the transistor switching losses under acertain load range For instance 119871

119878should be large enough

to keep the converter operation with ZVT under a low loadcondition whilst 119873 should be small to maintain regulatedoutput voltage for a maximum input voltage The list ofparameters shown in Table 1 together with (31) defines thecomponent values that may be used to keep the DC-DCconverter operatingwith theZVTeffectwithin a load range of

Table 4 Verification of the half-cycle model

119883(1199051)

half-cyclemodel

119883(1199051+ 1198792)

half-cyclemodel

119883(1199051+ 1198792)

verification error

119894119871119878

minus3415 347 34153 0016119894119871119891

4954 4957 48431 0023119881119900 4853 4853 471245 0029

50W to 250W and the values shown in Table 2 were decidedto be appropriate for the converter design The output filtercomponents of the rectifier were determined with the outputvoltage ripple Δ119881

119874 and the filter inductor current ripple

Δ119868119874 which may be calculated by using

Δ119868119900=

2119881119900

120596119871119891

120587

2sin(cosminus1 ( 2

120587)) minus cosminus1 ( 2

120587)

Δ119881119900=

119879Δ119868119900

8119862

(32)

42 Simulation and Results The piece-wise linear model thelarge-signal model and the half-cycle model of the converterwere verified by iterative program developed in MatLab Thepiece-wise model was solved using the Runge-Kutta numeri-cal method and using a small simulation step time togetherwith 119879

119874119871and 120575 to calculate the duration of each operating

mode whereas the large-signal model and half-cycle model

12 Mathematical Problems in Engineering

Table 5 Definition of matrix for each mode of operation

Mode I

1198601

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198611

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode II

1198602

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198612

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

1

0

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode III

1198603

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198613

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

0

0

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode IV

1198604

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

minus1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198614

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

minus1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode V

1198605

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

minus1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198615

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

minus1

1

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mathematical Problems in Engineering 13

Table 5 Continued

Mode VI

1198606

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198616

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

0

1

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1minus15

minus1

minus05

0

05

1

15

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 14 Root locus of 119879119874119871(z)

Root locus

Real axis08 085 09 095 1 105 11 115 12

minus025minus02minus015minus01minus005

00050101502025

Imag

inar

y ax

is

01020304050607

0809

1120587T

Figure 15 Root locus of Z(z)

were solved by calculating 119879119874119871

and 120575 with the Newton-Raphson method Figures 6 to 9 show a comparison of theresults obtained with the piece-wise model and simulationresults obtained with Micro-Cap The waveforms plotted onthese figures are the transformer primary-side current 119894

119871119878

Figure 6 the filter inductor current 119894

119871119891

Figure 7 the outputvoltage V

119874 Figure 8 and the supply current 119894sum together with

VSAW minus ViREF Figure 9 Tables 3 and 4 show a comparison ofthe instantaneous values of the state vector obtained at theend of a full cycle in steady state conditions which verifiesthe exactitude of the large-signal model whereas Table 4

shows those of the half-cycle model Both tables list resultstogetherwith instantaneous results obtainedwithMicro-CapThe value of 119879

119874119871calculated for Modes I and IV is 0727 120583s

while 120575 is 03998Figures 10 11 and 12 show the bode diagram of the

transfer functions 119867V(119911) 119879119874119871(119911) and 119885119900(119911) calculated with

the symbolic equation tool of MatLab whilst Figures 13 14and 15 show the roots locus of119867V(119911) 119879119874119871(119911) and 119885

119900(119911)

Themagnitude of119867V(119911) at low frequency converges to thesteady-state DC of V

119900minusDC119881119904 while the magnitude of 119879119874119871

(119911)

reveals the gain of the control-to-output under dynamic

14 Mathematical Problems in Engineering

120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

04120587T05120587T06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

01120587T

01120587T

02120587T

03120587T04120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T06120587T

07120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

08120587T

09120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

010203040506070809

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

010203

040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

Figure 16 Root locus plots of119867V(119911) 119879119874119871(119911) and 119885119874(119911) obtained by ranging of 120575119872 and 119877

119904

conditions and the magnitude of 119885(119911) converges to smallvalue below and above the resonant frequency determined by1radic119871

119891+ 1198732119871

119878119862119891

The poles of119867119881(119911) are conjugates complex roots whereas

there is a single zero located at minus275 119879119874119871

(119911) is steady with again lower than 0596 dB and its poles are conjugates complexroots with two steady zeros 119885

119900(119911) is steady with a gain lower

than 00682 dB and its poles are conjugates complex rootsand there are two zeros located at minus393 and 093

To design a controller is necessary to determine thebehaviour of the poles and zeros of the transfer functionswithrespect to variations of 120575119872 and 119877

119904 Figure 16 shows diverse

root locus plots of 119867119881(119911) 119879

119874119871(119911) and 119885

119900(119911) by ranging the

values of 120575119872 and 119877119904

Mathematical Problems in Engineering 15

5 Conclusion

This paper presented the mathematical derivation of asample-data small-signal model for a ZVT DC-DC con-verter The method used a piece-wise linear analysis toobtain a large-signal model which was verified with numer-ical predictions that depend on the integration step sizeto obtain high accuracy A sample-data half-cycle linearmodel was derived using the large-signal model such thata dynamic model of the converter was obtained by usinga linear approximation A comparison of the instantaneousvalues listed in Tables 3 and 4 showed that there is closecorrespondence between the derived models and the circuitsimulation especially with the half-cycle model Also Bodediagrams and a root locus analysis showed that the controlsystem may be steady if 120575 is defined within an interval of 03to 06 119877

119904within 09VA to 12 VA andM within 0044Vs

to 01 Vs Therefore the half-cycle model is more accuratethan the large-signal model and it is useful to determinea small-signal sample-data model of the DC-DC converterfor dynamic studies The presented method may help topower electronic practitioners to derive discrete transferfunctions of soft switched DC-DC converter and understandthe dynamic behaviour of power electronic systems whichserves as a basic principle to design a controller for theconverter outer loop

Conflict of Interests

The authors declare that there is no conflict of interestsregarding the publication of this paper

Acknowledgments

The authors are grateful to the National Council of Scienceand Technology of Mexico (CONACyT) the Postgraduateand Research Department (SEPI) of the School of Mechan-ical and Electrical Engineering Campus Culhuacan of theNational Polytechnic Institute (IPN) of Mexico and theTechnological Studies Superiors of Coacalco for their encour-agement and the realization of the prototype

References

[1] F J Perez-Pinal The Electric Vehicle Design Stages Considera-tions Editorial Academica Espanola Madrid Spain 2011

[2] F J Perez-Pinal C Nunez R Alvarez and M Gallegos ldquoStepby step design of the power stage of a light electric vehiclerdquoInternational Review of Electrical Engineering vol 3 no 1 pp100ndash108 2008

[3] F J Perez-Pinal J C Kota-Renteria J C Nunez-Perez andN Al-Mutawaly ldquoHybrid conversion kit applied to publictransportation a taxi case solutionrdquo International Review onModelling and Simulations vol 6 no 2 pp 554ndash559 2013

[4] J Liu and H Peng ldquoModeling and control of a power-splithybrid vehiclerdquo IEEE Transactions on Control Systems Technol-ogy vol 16 no 6 pp 1242ndash1251 2008

[5] F J Perez-Pinal N Al-Mutawaly and J C Nunez-PerezldquoImpact of plug-in hybrid electric vehicle in distributed gener-ation and smart grid a brief reviewrdquo International Review onModelling and Simulations vol 6 no 3 pp 795ndash805 2013

[6] M Yilmaz and P T Krein ldquoReview of battery charger topolo-gies charging power levels and infrastructure for plug-inelectric and hybrid vehiclesrdquo IEEE Transactions on PowerElectronics vol 28 no 5 pp 2151ndash2169 2013

[7] A Tapia-Hernandez I Araujo-Vargas M Ponce-Silva andM Ponce-Flores ldquoDesign of a ZVT DC-DC converter withstray components integration for a public-transport electricvehiclerdquo in Proceedings of the International Symposium onPower Electronics Electrical Drives Automation and Motion(SPEEDAM rsquo10) pp 478ndash483 June 2010

Submit your manuscripts athttpwwwhindawicom

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical Problems in Engineering

Hindawi Publishing Corporationhttpwwwhindawicom

Differential EquationsInternational Journal of

Volume 2014

Applied MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Probability and StatisticsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical PhysicsAdvances in

Complex AnalysisJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

OptimizationJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

CombinatoricsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Operations ResearchAdvances in

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Function Spaces

Abstract and Applied AnalysisHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of Mathematics and Mathematical Sciences

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Algebra

Discrete Dynamics in Nature and Society

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Decision SciencesAdvances in

Discrete MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014 Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Stochastic AnalysisInternational Journal of

Page 4: Research Article Sample-Data Modeling of a Zero Voltage ... · Attribution License, which permits unrestricted use, distributio n, and reproduction in any medium, provided the original

4 Mathematical Problems in Engineering

Cf R

isum

ic Io

C IZ

Lf

D1 D2

D3 D4

iAB

secprims

isec

AB o

iD2iD1

iD3iD4

DD

TA1

TB2

iL119878L119878

iL119891

L119891

LS

(a)

isum

isec

ic Io

C Cf R IZ

Lf

D1

D4

iAB

secprims AB o

iD1

iD4

DD

TA1

TB2

iL119878

L119878

iL119891

L119891

LS

(b)

Cf R

isumic Io

C IZ

Lf

D1

D4

iAB

isec

secprims oAB

iD1

iD4

DD

TA1TB1 iL119878

L119878

iL119891

L119891

LS

(c)

isec

isum

C Cf R IZ

ic Io

Lf

D1 D2

D4D3

iAB

secprims AB o

iD2iD1

iD3iD4

DD

TA2

TB1 iL119878

L119878

iL119891

L119891

LS

(d)

RCf

ic Io

IZ

Lf

D3

D2

isum

C iAB

sec

sec

prims ABoiD2iD3

DD

TA1

TA2

TB1 iL119878

L119878

iL119891

L119891

LS

(e)

isec

isum

C Cf R IZ

ic

Lf

D2

D3

IoiAB

secprims AB oiD2iD3

DDTA2

TB2

iL119878

L119878

iL119891

L119891

LS

(f)

Figure 3 Equivalent circuits formed from the operation ZVT DC-DC converter (a) Mode I (b) Mode II (c) Mode III (d) Mode IV (e)Mode V and (f) Mode VI

deference of ViREF with VSAW where VSAW is a negative slopesynchronized with VCLK while ViREF is the current referencethat regulates the peak level of 119894sum VCOMP is the state of theoutput comparator which is the thirdwaveformof this figureThe fourth and fifth waveforms are the SR flip-flop outputs119876119860and 119876

119861 with 119876

119860set to the on state by VCLK and to the

off state when VCOMP switches to the on state The fifth andsixthwaveforms are the outputs of the first flip-flop VgsTA1

andVgsTA2

which are controlled by the rising edge of119876119860 whereas

VgsTB1and VgsTB2

the outputs of the second 119863 flip-flop whichare the last waves of this figure are controlled by the risingedge of 119876

119861

24 Numerical Estimation of the 119879119874119871

and 120575 Periods 119879119874119871

defines the duration of Modes I and IV and may be numer-ically estimated by determining the instant when either 119894

1198632

or 1198941198631

reaches zero 119879119874119871

may also be calculated using theNewton-Raphson method [4] This numerical method maybe implemented using

119879119874119871 (119899 + 1) = 119879

119874119871 (119899) minus119891 (119879119874119871

)

1198911015840 (119879119874119871

) (4)

where

119891 (119879119874119871

) = 1198651198631

(1205931(119879119874119871

)119883 (1199051))

+ (1198651198631

119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611+ 1198661198631

)119880

1198911015840(119879119874119871

) = 1198651198631

11986011198901198601119879119874119871119883(119905

1)

+ 1198651198631

[

[

infin

sum

119895

(119895 + 1)119860119895

1119879119895

119874119871

(119895 + 1)

]

]

1198611119880

(5)

Equations of (5) use the output equation that includes1198941198631

which determine the duration of Mode I whereas theduration of Mode IV is determined by 119894

1198632

such that (6) maybe rewritten as follows

119891 (119879119874119871

) = 1198651198632

(1205934(119879119874119871

)119883(119879

2))

+ (1198651198632

119860minus1

4[1205934(119879119874119871

) minus 119868119889] 1198614+ 1198661198634

)

1198911015840(119879119874119871

) = 1198651198632

11986041198901198604119879119874119871119883(

119879

2)

+ 1198651198632

[

[

infin

sum

119895

(119895 + 1)119860119895

4119879119895

119874119871

(119895 + 1)

]

]

1198614119880

(6)

120575 defines the duration of Modes II and V and maybe numerically estimated by determining the instant whenthe equation ViREF minus VSAW is equal to 119877

119904119894sum 120575 may also

Mathematical Problems in Engineering 5

sumsum

Sawtooth

Peak current comparatorwith latch (RS Flip-Flop)

D Flip-Flopfor inverter leg A

D Flip-Flopfor inverter leg B

generator

Rsisum

Ls Cf R

isum

ic Io

C

isec

Lf

D1 D2

D3D4

iAB

H(s)+ +

+

minus minusminus

R FF

SQD

Q998400

Q998400

CLK

CLK

Q

Q

D

Q998400

CLK

QA

QB

middot middot middot

middot middot middot

seco

o

iREF

SAW

CLK

primABs

Rsisum

COMP

oREF

iD2iD1

iD4iD3

DD

TA2

TA1TB1

TB2

iL119878

L119878

iL119891

L119891

g119904T1198601

g119904T1198601

g119904T1198602

g119904T1198602

g119904T1198611

g119904T1198611

g119904T1198612

g119904T1198612

Figure 4 DC-DC ZVT converter with current control loop

be calculated using the Newton-Raphson method [4] Thisnumerical method may be implemented using

120575 (119899 + 1) = 120575 (119899) minus1198942sum (120575)

1198941015840

2sum (120575) (7)

where

i2sum (120575) = minus(

1

(119877119904)) [(VV minus V

119901) (

1205751198792

1198792) + V119901]

1198911015840(120575)

= (VV minus V119901) 120575

minus 1198652sum (119860

2

119879

2)

times (1205932(120575119879

2minus 119879119874119871

)119883 (1199051+ 119879119874119871

))

+ 1198652sum

119879

2

[

[

infin

sum

119895

(119895 + 1)119860119895

2((1205751198792) minus 119879

119874119871)119895

(119895 + 1)

]

]

119880

(8)

Equations of (8) use the output equation that includes1198942sum and the expression ViREF minus VSAW = 119877

119904119894sum which

determines the duration of Mode II whereas the duration of

Mode V is determined by 1198945sum and the expression ViREF minus

VSAW = 119877119904119894sum such that (9) may be rewritten as follows

1198945sum(120575) = minus

(VV minus V119901) ((1205751198792) (1198792)) + V

119901

(119877119904)

1198941015840

5sum (120575) = (VV minus V119901) 120575

minus 1198655sum (119860

5

119879

2)

times (1205935(120575119879

2minus 119879119874119871

)119883 (1199051+ 119879119874119871

))

+ 1198655sum

119879

2

[

[

infin

sum

119895

(119895 + 1)119860119895

5((1205751198792) minus 119879

119874119871)119895

(119895 + 1)

]

]

119880

(9)

3 Modeling of the ZVT Converter withCurrent Control Loop

31 Piece-Wise Linear Model Equation (2) may be used todevelop a piece-wise linear model of the converter of Figure 1

6 Mathematical Problems in Engineering

isum

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

CLK

NIo

120575T

2

TOL

QA

COMP

QB

120575T

2

iREF

g119904T1198601

g119904T1198602

g119904T1198611

g119904T1198612

Figure 5 Ideal waveform DC-DC ZVT converter with currentcontrol loop

throughout all the modes of operation The solution of (2)may be expressed as

119883(119905119899minus1

+ 119905119899) = 120593119899(119905119899)119883 (1199051) + 119860minus1

119899[120593119899(119905119899) minus 119868119889] 119861119899119880

(10)

where

120593119899(119905119899) = 119890119860119899119905119899 (11)

which defines in the first term of (10) the natural responseof the system along the period of time 119905

119899minus 119905119899minus1

with theinitial condition 119883(119905

119899minus1) at Mode n The second term of

(10) is the steady state response which is obtained by usingthe convolution integral Therefore using (10) for the timeinterval of 119905

1le 119905 lt 119905

1+ 119879119874119871 together with the matrixes of

Mode I the solution of the state vector forMode I is obtainedas follows

119883(1199051+ 119879119874119871

) = 1205931(119879119874119871

)119883 (1199051) + 119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611119880

(12)

In a similar way the solutions for Modes II to VI at thetime intervals 119905

1+ 119879119874119871

le 119905 lt 1199051+ 1205751198792 119905

1+ 1205751198792 le 119905 lt

1199051+ 1198792 119905

1+ 1198792 le 119905 lt 119905

1+ 1198792 + 119879

119874119871 1199051+ 1198792 + 119879

119874119871le 119905 lt

1199051+ (1 + 120575)1198792 and 119905

1+ (1 + 120575)1198792 le 119905 lt 119905

1+ 119879 become

119883(1199051+

120575119879

2) = 120593

2(120575119879

2minus 119879119874119871

)119883 (1199051+ 119879119874119871

)

+ 119860minus1

2[1205932(120575119879

2minus 119879119874119871

) minus 119868119889]1198612119880

(13)

119883(1199051+

119879

2) = 120593

3(119879

2minus

120575119879

2)119883(119905

1+

120575119879

2)

+ 119860minus1

3[1205933(119879

2minus

120575119879

2) minus 119868119889]1198613119880

(14)

119883(1199051+

119879

2+ 119879119874119871

) = 1205934(119879119874119871

)119883(1199051+

119879

2)

+ 119860minus1

4[1205934(119879119874119871

) minus 119868119889] 1198614119880

(15)

119883(1199051+

(1 + 120575) 119879

2) = 120593

5(120575119879

2minus 119879119874119871

)119883(1199051+

119879

2+ 119879119874119871

)

+ 119860minus1

5[1205935(120575119879

2minus 119879119874119871

) minus 119868119889]1198615119880

(16)

119883(1199051+ 119879) = 120593

6((1 minus 120575) 119879

2)119883(119905

1+

(1 + 120575) 119879

2)

+ 119860minus1

6[1205936((1 minus 120575) 119879

2) minus 119868119889]1198616119880

(17)

32 Large-Signal Model The large-signal model of the ZVTconverter may be obtained by substituting (12) in (13) (13) in(14) (14) in (15) (15) in (16) and (16) in (17) in such a waythat a single expression is obtained as shown in

119883(1199051+ 119879)

= 1205936((1 minus 120575) 119879

2)1205935(120575119879

2minus 119879119874119871

)1205934(119879119874119871

) 1205933

times ((1 minus 120575) 119879

2)1205932(120575119879

2minus 119879119874119871

)1205931(119879119874119871

)119883 (1199051)

Mathematical Problems in Engineering 7

+ [1205936((1 minus 120575) 119879

2)

times [1205935(120575119879

2minus 119879119874119871

)

times [1205934(119879119874119871

) [1205933((1 minus 120575) 119879

2)

times 1205932(120575119879

2minus 119879119874119871

)

times 119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611

+ 119860minus1

2[1205932(120575119879

2minus 119879119874119871

)

minus119868119889]1198612]

+119860minus1

3[1205933((1 minus 120575) 119879

2) minus 119868119889]1198613]

+119860minus1

4[1205934(119879119874119871

) minus 119868119889] 1198614]

+119860minus1

5[1205935(120575119879

2minus 119879119874119871

) minus 119868119889]1198615]

+ 119860minus1

6[1205936((1 minus 120575) 119879

2) minus 119868119889]1198616119880

(18)

33 Half-Cycle Model The waveform 119894119871119878

of Figure 2 showsthat operation modes IV V and VI are mirrors of Modes III and III respectively therefore the first three operationmodes are sufficient to describe the function of the converterA particular matrix titled as W may relate the modes I IIand III with the modes IV V and VI which satisfies thecondition119882119882 = 119868

119889 the identity matrix Therefore the half-

cycle model of the ZVT converter may be obtained replacingthe termsA4 A5 A6 B4 B5 and B6 by expressionsWA1WA2WA3 WB1 WB2 andWB3 respectively in (18) such that thehalf-cycle model is

119883(1199051+

119879

2)

= 1205933((1 minus 120575) 119879

2)1205932(120575119879

2minus 119879119874119871

)1205931(119879119874119871

)119883 (1199051)

+ [1205933((1 minus 120575) 119879

2)12059321205933((1 minus 120575) 119879

2)1205932

times (120575119879

2minus 119879119874119871

)119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611

+ 1205933((1 minus 120575) 119879

2)119860minus1

2[1205932(120575119879

2minus 119879119874119871

) minus 119868119889]1198612

+119860minus1

3[1205933((1 minus 120575) 119879

2) minus 119868119889]1198613]119880

+ 119882(1205933((1 minus 120575) 119879

2)(1205932(120575119879

2minus 119879119874119871

)

times 1198821205931(119879119874119871

)119883 (1199051)

+ 1205933((1 minus 120575) 119879

2)

times 1205932(120575119879

2minus 119879119874119871

)119860minus1

1

times [1205931(119879119874119871

) minus 119868119889] 1198611)

+ 1205933((1 minus 120575) 119879

2)119860minus1

2

times [1205932(120575119879

2minus 119879119874119871

) minus 119868119889]1198612

+ 119860minus1

3[1205933((1 minus 120575) 119879

2) minus 119868119889]1198821198613)119880 (119905

1)

(19)

The previous equation may be rewritten as119883(1199051+ 1198792) =

119860MC119883(1199051) + 119861MC119880(119905

1) for practical purposes

34 Sample-Data Small-Signal Linear Model of the Converterin Open-Loop Conditions The equation 119883(119905

1+ 1198792) =

119860MC119883(1199051) + 119861MC119880(119905

1) may be used as a half-cycle discrete

model of the ZVT converter which may be written as

1198831015840

119870+1= 119860MC119883119870 + 119861MC119880119870 (20)

where1198831015840119870+1

= 119883(1199051+ 1198792)119883

119870= 119883(119905

1) and 119880

119870= 119880(119905

1)

A sample-data small-signal model may be obtained byusing the Taylor series (21) and using small-signal perturba-tions as 120575xK 120575UK 120575119879119874119871

119896

and 120575120575K One has

f (119909) = f (1199090) +J (119909 minus 119909

0) (21)

Using this equation the sample-data small-signal linearmodel becomes

120575119909119896+1

asymp120597119909119896+1

120597119909119896

120575119909119896+

120597119909119896+1

120597119880119896

120575119880119896

+120597119909119896+1

120597120575120575120575119896+

120597119909119896+1

120597119879119874119871

120575119879119874119871119896

(22)

The solution of the partial derivatives is 120597119909119896+1

120597119909119896

=

119860MC 120597119909119896+1120597119880119896 = 119861MC 120597119909119896+1120597120575 = 119862120575 and 120597119909

119896+1120597119879119874119871

=

119863119879119874119871

120575119879119874119871119896

may be determined utilizing the restrictionequation of119879

119874119871 whereas 120575120575K is obtained using the restriction

equation of 120575

35 Restriction Equations of the Control Loop The restrictionequations for 119879

119874119871may be obtained analyzing the waveforms

119894119871119878 11989411986311198633

and 119894sum which are shown in Figure 2 during theMode I The slope of 119894sum during Mode I is named 119892

1and is

determined by the rate of change of 119894119871119878

that is 1198921= 119881119904119896

119871119878

8 Mathematical Problems in Engineering

while the slopes of 11989411986311198633

during the Mode I are named 1198923

which are contrary and of lower amplitude than 1198921 that

is g3

= minusg12N The restriction equation of 119879

119874119871may be

determined by integrating the waveforms 11989411986311198633

duringModeI

119894119871119878119896

2119873+

119894119871119891119896

2+

119881119904119896

119871119878

119879119874119871119896

= 0 (23)

The previous equation is not linear therefore it isnecessary to use theTaylor series to obtain a linearizedmodel

120575119879119874119871119896

=2119873119871119878

119881119878CD

[minus1

2119873minus1

2minus

119879119874119871CD

2119873119871119878

][

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

(24)

The restriction equation of 120575 may be obtained analyzingthe waveforms 119894

119871119878

and 119894sum with ViREF minus VSAW during Mode II(Figures 2 and 5) VSAW is determined by 119872(120575

1198961198792) and the

slope of 119894sum duringMode II named 1198922 and may be obtained

by integrating again 119894sumwhen 119877119904119894sum = ViREF minus VSAW

119877119904(119894119871119878119896

+ 1198921119879119874119871119896

+ 1198922(120575119896119879

2minus 119879119874119871119896

)) = 119881119894REF minus 119872

120575119896119879

2

(25)

The Taylor series is used to linearize the previous equa-tion and therefore the restriction equation of 120575 becomes

120575120575119896=

1

Δ120575[1198861205751 119886

12057521198861205753

1198861205754

1198861205755]

[[[[[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

120575119881iREF119896

120575119881119900119896

]]]]]

]

(26)

where Δ120575= minus(2119879)(1198721198792119877

119878+ 1(119871

119878+ (1119873

2)119871119891))(V119904CD

minus

(1119873)V0CD

) 1198861205751

= 120597119894119871119878119896

120597119894119871119878119896

1198861205752

= 120597119894119871119878119896

120597119894119871119891119896

1198861205753

=

120597119894119871119878119896

120597119881119878119896

1198861205754

= 120597119894119871119878119896

120597119881iREF119896

and 1198861205755

= 120597119894119871119878119896

120597119881119900119896

36 Sample-Data Small-Signal Linear Model of the Converterin Closed-Loop Conditions The sample-data small-signallinear model may be obtained by substituting 120575

119879119874119871119896

and 120575120575K(24) and (26) respectively in (22)

120575119909119896+1

= 119860MC[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

+ 119861MC [120575119881119904119896

120575119868119885119896

]

+ 119862120575(

1

Δ120575[1198861205751 119886

12057521198861205753

1198861205754

1198861205755]

[[[[[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

120575119881iREF119896

120575119881119900119896

]]]]]

]

)

+ 119863119879119874119871

(2119873119871119878

119881119878CD

[minus1

2119873minus1

2minus

119879119874119871CD

2119873119871119878

][

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

)

(27)

Table 1 Operating parameters

Supply voltage 200V plusmn 20Output voltage 48VMaximum power 250WMinimum power 50WOutput voltage ripple 25mVOutput current ripple 300mAMaximum phase 50Switching frequency 50 kHz

such that the small-signal model becomes

120575119909119896+1

= 119860119888119897120575119909119896+ 120596119888119897120575119908119888119897119896

(28)

where 120575119909119896+1

= [1205751198941015840

119871119878119896

1205751198941015840

119871119891119896

1205751198811015840

119904119896

]119879

120575119909119896

=

[120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896]1015840 and 120575119908

119888119897119896

= [120575119881119904119896

120575119881iREF119896

120575119868119885119896]119879

Equation (28) may be solved by using the 119885 transformsuch that 120575119909

119870becomes

120575119909119896= (119868119889minus 119860119888119897)minus1119885120596119888119897120575119908119888119897119896

(29)

37 Transfer Function To verify the dynamic characteristicsof the converter is necessary to analyze the transfer functionsthat relate V

119900with 119881

119904 ViREF and 119868

119911 which are the throughput

input-to-output DC voltage transfer function 119867V(119911) =

V119900(119911)V119904(119911) the control-to-output transfer function119879

119874119871(119911) =

V119900(119911)ViREF(119911) and the output impedance transfer function

119885119900(119911) = V

119900(119911)119868119911(119911) respectively

The magnitude and phase of each transfer function maybe obtained using a Bode diagram whereas the root locustechnique may be employed to describe the behaviour of thepoles and zeros of (30) One has

119867V (119911) =119911 + 1198861

1199112 + 1198871119911 + 1198881

119879119874119871 (119911) =

11988621199112+ 1198872119911 + 1198882

1199112 + 1198871119911 + 1198881

119885119900 (119911) =

11988631199112+ 1198873119911 minus 1198883

1199112 + 1198871119911 + 1198881

(30)

4 Verification of the Proposed Model

41 Prototype Operating Parameters A 250W ZVT DC-DC prototype converter was designed under the analysisdescribed in [7] to verify the large-signal model of (14)Table 1 shows the operating parameters of the converter

The steady-state output voltage 119881119874 may be calculated as

the average of the rectified voltage V119863119863

such that at full load

119881119900= 120575max119873119881in minus

41198732119868119900(max)119871119878

119879 (31)

Taking the assumption shown in (31) the converter com-ponent values must comply with the zero-voltage switching

Mathematical Problems in Engineering 9

012345

(ms)(ms)

Piece-wise analysisPiece-wise model

Simulation circuitPiece-wise analysisPiece-wise model

Simulation circuit

01234

0 01 02 03 04 05 06 07 08 09 01minus5

minus4

minus3

minus2

minus1

minus4

minus3

minus2

minus1A A

09

0902

0904

0906

0908

091

0912

0914

0916

0918

092

iL119878iL119878

Figure 6 119894119871119878

current waveform obtained with the piece-wise linear model and a Micro-Cap simulation

1

0

1

2

3

4

5

6

Piece-wise modelPiece-wise analysis

Simulation circuitPiece-wise modelPiece-wise analysis

Simulation circuit

09

902

090

4

090

6

090

8

091

091

2

091

4

091

6

091

8

092

0

1

2

3

4

5

6

7

(ms)

A

0 01 02 03 04 05 06 07 08 09minus1

(ms)

A

iL119891iL119891

Figure 7 119894119871119891

current waveform obtained with the piece-wise linear model and a Micro-Cap simulation

0

10

20

30

40

50

0

10

20

30

40

50

60

minus10

A

1

Piece-wise modelPiece-wise analysis

Simulation circuitPiece-wise modelPiece-wise analysis

Simulation circuit

0 01 02 03 04 05 06 07 08 09

(ms)

09

090

2

090

6

090

8

091

091

4

091

6

091

8

092

(ms)

A

o o

Figure 8 vo voltage waveform obtained with the piece-wise linear model and a Micro-Cap simulation

10 Mathematical Problems in Engineering

012345

0

2

4

6

Piece-wise analysisPiece-wise analysisPiece-wise model

Piece-wise modelSimulation circuitSimulation circuit

Piece-wise analysisPiece-wise analysisPiece-wise model

Piece-wise modelSimulation circuitSimulation circuit

minus6

minus4

minus2

minus4

minus3

minus1

minus2

A A

10 01 02 03 04 05 06 07 08 09

(ms)

09

090

2

090

4

090

6

090

8

091

091

2

091

4

091

6

091

8

092

(ms)

isum and SAW-iREF isum and SAW-iREF

Figure 9 Waveforms of 119894sum and VSAW minus ViREF obtained with the piece-wise linear model and a Micro-Cap simulation

Mag

nitu

de (d

B)

0

Phas

e (de

g)

101 102 103 104

Frequency (rads)

minus35

minus30

minus25

minus20

minus15

minus10

minus5

minus225

minus180

minus135

minus90

minus45

Bode Diagram (os)

Figure 10 Bode plot of Hv(z)

05

10152025303540

Mag

nitu

de (d

B)Ph

ase (

deg)

101 102 103 104minus240minus195minus150minus105minus80minus15

Frequency (rads)

Bode Diagram (oiREF)

Figure 11 Bode plot of 119879119874119871(z)

Mathematical Problems in Engineering 11

510152025

Mag

nitu

de (d

B)4590

135180225

Phas

e (de

g)101 102 103 104

Frequency (rads)

oIz)Bode Diagram (

Figure 12 Bode plot of 119885119900(119911)

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

minus1minus08minus06minus04minus02

0020406081

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 13 Root locus of119867V(119911)

Table 2 Component values used in the 250W prototype

Devices ValueStray inductance (119871

119878) 1635 uH

Filter inductor (119871119891) 528 uH

Filter capacitor (119862119891) 1218 uF

Resistive load 9216ΩTransformer turns ratio119873 0683

Table 3 Verification of the large-signal model

119883(1199051)

large-signalmodel

119883(1199051+ 119879)

large-signalmodel

119883(1199051+ 119879)

verification error

119894119871119878

minus35292 minus3529 minus35304 004119894119871119891

50171 5017 50063 02119881119900 48035 48019 48002 04

phenomena to reduce the transistor switching losses under acertain load range For instance 119871

119878should be large enough

to keep the converter operation with ZVT under a low loadcondition whilst 119873 should be small to maintain regulatedoutput voltage for a maximum input voltage The list ofparameters shown in Table 1 together with (31) defines thecomponent values that may be used to keep the DC-DCconverter operatingwith theZVTeffectwithin a load range of

Table 4 Verification of the half-cycle model

119883(1199051)

half-cyclemodel

119883(1199051+ 1198792)

half-cyclemodel

119883(1199051+ 1198792)

verification error

119894119871119878

minus3415 347 34153 0016119894119871119891

4954 4957 48431 0023119881119900 4853 4853 471245 0029

50W to 250W and the values shown in Table 2 were decidedto be appropriate for the converter design The output filtercomponents of the rectifier were determined with the outputvoltage ripple Δ119881

119874 and the filter inductor current ripple

Δ119868119874 which may be calculated by using

Δ119868119900=

2119881119900

120596119871119891

120587

2sin(cosminus1 ( 2

120587)) minus cosminus1 ( 2

120587)

Δ119881119900=

119879Δ119868119900

8119862

(32)

42 Simulation and Results The piece-wise linear model thelarge-signal model and the half-cycle model of the converterwere verified by iterative program developed in MatLab Thepiece-wise model was solved using the Runge-Kutta numeri-cal method and using a small simulation step time togetherwith 119879

119874119871and 120575 to calculate the duration of each operating

mode whereas the large-signal model and half-cycle model

12 Mathematical Problems in Engineering

Table 5 Definition of matrix for each mode of operation

Mode I

1198601

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198611

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode II

1198602

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198612

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

1

0

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode III

1198603

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198613

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

0

0

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode IV

1198604

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

minus1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198614

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

minus1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode V

1198605

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

minus1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198615

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

minus1

1

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mathematical Problems in Engineering 13

Table 5 Continued

Mode VI

1198606

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198616

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

0

1

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1minus15

minus1

minus05

0

05

1

15

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 14 Root locus of 119879119874119871(z)

Root locus

Real axis08 085 09 095 1 105 11 115 12

minus025minus02minus015minus01minus005

00050101502025

Imag

inar

y ax

is

01020304050607

0809

1120587T

Figure 15 Root locus of Z(z)

were solved by calculating 119879119874119871

and 120575 with the Newton-Raphson method Figures 6 to 9 show a comparison of theresults obtained with the piece-wise model and simulationresults obtained with Micro-Cap The waveforms plotted onthese figures are the transformer primary-side current 119894

119871119878

Figure 6 the filter inductor current 119894

119871119891

Figure 7 the outputvoltage V

119874 Figure 8 and the supply current 119894sum together with

VSAW minus ViREF Figure 9 Tables 3 and 4 show a comparison ofthe instantaneous values of the state vector obtained at theend of a full cycle in steady state conditions which verifiesthe exactitude of the large-signal model whereas Table 4

shows those of the half-cycle model Both tables list resultstogetherwith instantaneous results obtainedwithMicro-CapThe value of 119879

119874119871calculated for Modes I and IV is 0727 120583s

while 120575 is 03998Figures 10 11 and 12 show the bode diagram of the

transfer functions 119867V(119911) 119879119874119871(119911) and 119885119900(119911) calculated with

the symbolic equation tool of MatLab whilst Figures 13 14and 15 show the roots locus of119867V(119911) 119879119874119871(119911) and 119885

119900(119911)

Themagnitude of119867V(119911) at low frequency converges to thesteady-state DC of V

119900minusDC119881119904 while the magnitude of 119879119874119871

(119911)

reveals the gain of the control-to-output under dynamic

14 Mathematical Problems in Engineering

120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

04120587T05120587T06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

01120587T

01120587T

02120587T

03120587T04120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T06120587T

07120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

08120587T

09120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

010203040506070809

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

010203

040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

Figure 16 Root locus plots of119867V(119911) 119879119874119871(119911) and 119885119874(119911) obtained by ranging of 120575119872 and 119877

119904

conditions and the magnitude of 119885(119911) converges to smallvalue below and above the resonant frequency determined by1radic119871

119891+ 1198732119871

119878119862119891

The poles of119867119881(119911) are conjugates complex roots whereas

there is a single zero located at minus275 119879119874119871

(119911) is steady with again lower than 0596 dB and its poles are conjugates complexroots with two steady zeros 119885

119900(119911) is steady with a gain lower

than 00682 dB and its poles are conjugates complex rootsand there are two zeros located at minus393 and 093

To design a controller is necessary to determine thebehaviour of the poles and zeros of the transfer functionswithrespect to variations of 120575119872 and 119877

119904 Figure 16 shows diverse

root locus plots of 119867119881(119911) 119879

119874119871(119911) and 119885

119900(119911) by ranging the

values of 120575119872 and 119877119904

Mathematical Problems in Engineering 15

5 Conclusion

This paper presented the mathematical derivation of asample-data small-signal model for a ZVT DC-DC con-verter The method used a piece-wise linear analysis toobtain a large-signal model which was verified with numer-ical predictions that depend on the integration step sizeto obtain high accuracy A sample-data half-cycle linearmodel was derived using the large-signal model such thata dynamic model of the converter was obtained by usinga linear approximation A comparison of the instantaneousvalues listed in Tables 3 and 4 showed that there is closecorrespondence between the derived models and the circuitsimulation especially with the half-cycle model Also Bodediagrams and a root locus analysis showed that the controlsystem may be steady if 120575 is defined within an interval of 03to 06 119877

119904within 09VA to 12 VA andM within 0044Vs

to 01 Vs Therefore the half-cycle model is more accuratethan the large-signal model and it is useful to determinea small-signal sample-data model of the DC-DC converterfor dynamic studies The presented method may help topower electronic practitioners to derive discrete transferfunctions of soft switched DC-DC converter and understandthe dynamic behaviour of power electronic systems whichserves as a basic principle to design a controller for theconverter outer loop

Conflict of Interests

The authors declare that there is no conflict of interestsregarding the publication of this paper

Acknowledgments

The authors are grateful to the National Council of Scienceand Technology of Mexico (CONACyT) the Postgraduateand Research Department (SEPI) of the School of Mechan-ical and Electrical Engineering Campus Culhuacan of theNational Polytechnic Institute (IPN) of Mexico and theTechnological Studies Superiors of Coacalco for their encour-agement and the realization of the prototype

References

[1] F J Perez-Pinal The Electric Vehicle Design Stages Considera-tions Editorial Academica Espanola Madrid Spain 2011

[2] F J Perez-Pinal C Nunez R Alvarez and M Gallegos ldquoStepby step design of the power stage of a light electric vehiclerdquoInternational Review of Electrical Engineering vol 3 no 1 pp100ndash108 2008

[3] F J Perez-Pinal J C Kota-Renteria J C Nunez-Perez andN Al-Mutawaly ldquoHybrid conversion kit applied to publictransportation a taxi case solutionrdquo International Review onModelling and Simulations vol 6 no 2 pp 554ndash559 2013

[4] J Liu and H Peng ldquoModeling and control of a power-splithybrid vehiclerdquo IEEE Transactions on Control Systems Technol-ogy vol 16 no 6 pp 1242ndash1251 2008

[5] F J Perez-Pinal N Al-Mutawaly and J C Nunez-PerezldquoImpact of plug-in hybrid electric vehicle in distributed gener-ation and smart grid a brief reviewrdquo International Review onModelling and Simulations vol 6 no 3 pp 795ndash805 2013

[6] M Yilmaz and P T Krein ldquoReview of battery charger topolo-gies charging power levels and infrastructure for plug-inelectric and hybrid vehiclesrdquo IEEE Transactions on PowerElectronics vol 28 no 5 pp 2151ndash2169 2013

[7] A Tapia-Hernandez I Araujo-Vargas M Ponce-Silva andM Ponce-Flores ldquoDesign of a ZVT DC-DC converter withstray components integration for a public-transport electricvehiclerdquo in Proceedings of the International Symposium onPower Electronics Electrical Drives Automation and Motion(SPEEDAM rsquo10) pp 478ndash483 June 2010

Submit your manuscripts athttpwwwhindawicom

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical Problems in Engineering

Hindawi Publishing Corporationhttpwwwhindawicom

Differential EquationsInternational Journal of

Volume 2014

Applied MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Probability and StatisticsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical PhysicsAdvances in

Complex AnalysisJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

OptimizationJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

CombinatoricsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Operations ResearchAdvances in

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Function Spaces

Abstract and Applied AnalysisHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of Mathematics and Mathematical Sciences

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Algebra

Discrete Dynamics in Nature and Society

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Decision SciencesAdvances in

Discrete MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014 Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Stochastic AnalysisInternational Journal of

Page 5: Research Article Sample-Data Modeling of a Zero Voltage ... · Attribution License, which permits unrestricted use, distributio n, and reproduction in any medium, provided the original

Mathematical Problems in Engineering 5

sumsum

Sawtooth

Peak current comparatorwith latch (RS Flip-Flop)

D Flip-Flopfor inverter leg A

D Flip-Flopfor inverter leg B

generator

Rsisum

Ls Cf R

isum

ic Io

C

isec

Lf

D1 D2

D3D4

iAB

H(s)+ +

+

minus minusminus

R FF

SQD

Q998400

Q998400

CLK

CLK

Q

Q

D

Q998400

CLK

QA

QB

middot middot middot

middot middot middot

seco

o

iREF

SAW

CLK

primABs

Rsisum

COMP

oREF

iD2iD1

iD4iD3

DD

TA2

TA1TB1

TB2

iL119878

L119878

iL119891

L119891

g119904T1198601

g119904T1198601

g119904T1198602

g119904T1198602

g119904T1198611

g119904T1198611

g119904T1198612

g119904T1198612

Figure 4 DC-DC ZVT converter with current control loop

be calculated using the Newton-Raphson method [4] Thisnumerical method may be implemented using

120575 (119899 + 1) = 120575 (119899) minus1198942sum (120575)

1198941015840

2sum (120575) (7)

where

i2sum (120575) = minus(

1

(119877119904)) [(VV minus V

119901) (

1205751198792

1198792) + V119901]

1198911015840(120575)

= (VV minus V119901) 120575

minus 1198652sum (119860

2

119879

2)

times (1205932(120575119879

2minus 119879119874119871

)119883 (1199051+ 119879119874119871

))

+ 1198652sum

119879

2

[

[

infin

sum

119895

(119895 + 1)119860119895

2((1205751198792) minus 119879

119874119871)119895

(119895 + 1)

]

]

119880

(8)

Equations of (8) use the output equation that includes1198942sum and the expression ViREF minus VSAW = 119877

119904119894sum which

determines the duration of Mode II whereas the duration of

Mode V is determined by 1198945sum and the expression ViREF minus

VSAW = 119877119904119894sum such that (9) may be rewritten as follows

1198945sum(120575) = minus

(VV minus V119901) ((1205751198792) (1198792)) + V

119901

(119877119904)

1198941015840

5sum (120575) = (VV minus V119901) 120575

minus 1198655sum (119860

5

119879

2)

times (1205935(120575119879

2minus 119879119874119871

)119883 (1199051+ 119879119874119871

))

+ 1198655sum

119879

2

[

[

infin

sum

119895

(119895 + 1)119860119895

5((1205751198792) minus 119879

119874119871)119895

(119895 + 1)

]

]

119880

(9)

3 Modeling of the ZVT Converter withCurrent Control Loop

31 Piece-Wise Linear Model Equation (2) may be used todevelop a piece-wise linear model of the converter of Figure 1

6 Mathematical Problems in Engineering

isum

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

CLK

NIo

120575T

2

TOL

QA

COMP

QB

120575T

2

iREF

g119904T1198601

g119904T1198602

g119904T1198611

g119904T1198612

Figure 5 Ideal waveform DC-DC ZVT converter with currentcontrol loop

throughout all the modes of operation The solution of (2)may be expressed as

119883(119905119899minus1

+ 119905119899) = 120593119899(119905119899)119883 (1199051) + 119860minus1

119899[120593119899(119905119899) minus 119868119889] 119861119899119880

(10)

where

120593119899(119905119899) = 119890119860119899119905119899 (11)

which defines in the first term of (10) the natural responseof the system along the period of time 119905

119899minus 119905119899minus1

with theinitial condition 119883(119905

119899minus1) at Mode n The second term of

(10) is the steady state response which is obtained by usingthe convolution integral Therefore using (10) for the timeinterval of 119905

1le 119905 lt 119905

1+ 119879119874119871 together with the matrixes of

Mode I the solution of the state vector forMode I is obtainedas follows

119883(1199051+ 119879119874119871

) = 1205931(119879119874119871

)119883 (1199051) + 119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611119880

(12)

In a similar way the solutions for Modes II to VI at thetime intervals 119905

1+ 119879119874119871

le 119905 lt 1199051+ 1205751198792 119905

1+ 1205751198792 le 119905 lt

1199051+ 1198792 119905

1+ 1198792 le 119905 lt 119905

1+ 1198792 + 119879

119874119871 1199051+ 1198792 + 119879

119874119871le 119905 lt

1199051+ (1 + 120575)1198792 and 119905

1+ (1 + 120575)1198792 le 119905 lt 119905

1+ 119879 become

119883(1199051+

120575119879

2) = 120593

2(120575119879

2minus 119879119874119871

)119883 (1199051+ 119879119874119871

)

+ 119860minus1

2[1205932(120575119879

2minus 119879119874119871

) minus 119868119889]1198612119880

(13)

119883(1199051+

119879

2) = 120593

3(119879

2minus

120575119879

2)119883(119905

1+

120575119879

2)

+ 119860minus1

3[1205933(119879

2minus

120575119879

2) minus 119868119889]1198613119880

(14)

119883(1199051+

119879

2+ 119879119874119871

) = 1205934(119879119874119871

)119883(1199051+

119879

2)

+ 119860minus1

4[1205934(119879119874119871

) minus 119868119889] 1198614119880

(15)

119883(1199051+

(1 + 120575) 119879

2) = 120593

5(120575119879

2minus 119879119874119871

)119883(1199051+

119879

2+ 119879119874119871

)

+ 119860minus1

5[1205935(120575119879

2minus 119879119874119871

) minus 119868119889]1198615119880

(16)

119883(1199051+ 119879) = 120593

6((1 minus 120575) 119879

2)119883(119905

1+

(1 + 120575) 119879

2)

+ 119860minus1

6[1205936((1 minus 120575) 119879

2) minus 119868119889]1198616119880

(17)

32 Large-Signal Model The large-signal model of the ZVTconverter may be obtained by substituting (12) in (13) (13) in(14) (14) in (15) (15) in (16) and (16) in (17) in such a waythat a single expression is obtained as shown in

119883(1199051+ 119879)

= 1205936((1 minus 120575) 119879

2)1205935(120575119879

2minus 119879119874119871

)1205934(119879119874119871

) 1205933

times ((1 minus 120575) 119879

2)1205932(120575119879

2minus 119879119874119871

)1205931(119879119874119871

)119883 (1199051)

Mathematical Problems in Engineering 7

+ [1205936((1 minus 120575) 119879

2)

times [1205935(120575119879

2minus 119879119874119871

)

times [1205934(119879119874119871

) [1205933((1 minus 120575) 119879

2)

times 1205932(120575119879

2minus 119879119874119871

)

times 119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611

+ 119860minus1

2[1205932(120575119879

2minus 119879119874119871

)

minus119868119889]1198612]

+119860minus1

3[1205933((1 minus 120575) 119879

2) minus 119868119889]1198613]

+119860minus1

4[1205934(119879119874119871

) minus 119868119889] 1198614]

+119860minus1

5[1205935(120575119879

2minus 119879119874119871

) minus 119868119889]1198615]

+ 119860minus1

6[1205936((1 minus 120575) 119879

2) minus 119868119889]1198616119880

(18)

33 Half-Cycle Model The waveform 119894119871119878

of Figure 2 showsthat operation modes IV V and VI are mirrors of Modes III and III respectively therefore the first three operationmodes are sufficient to describe the function of the converterA particular matrix titled as W may relate the modes I IIand III with the modes IV V and VI which satisfies thecondition119882119882 = 119868

119889 the identity matrix Therefore the half-

cycle model of the ZVT converter may be obtained replacingthe termsA4 A5 A6 B4 B5 and B6 by expressionsWA1WA2WA3 WB1 WB2 andWB3 respectively in (18) such that thehalf-cycle model is

119883(1199051+

119879

2)

= 1205933((1 minus 120575) 119879

2)1205932(120575119879

2minus 119879119874119871

)1205931(119879119874119871

)119883 (1199051)

+ [1205933((1 minus 120575) 119879

2)12059321205933((1 minus 120575) 119879

2)1205932

times (120575119879

2minus 119879119874119871

)119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611

+ 1205933((1 minus 120575) 119879

2)119860minus1

2[1205932(120575119879

2minus 119879119874119871

) minus 119868119889]1198612

+119860minus1

3[1205933((1 minus 120575) 119879

2) minus 119868119889]1198613]119880

+ 119882(1205933((1 minus 120575) 119879

2)(1205932(120575119879

2minus 119879119874119871

)

times 1198821205931(119879119874119871

)119883 (1199051)

+ 1205933((1 minus 120575) 119879

2)

times 1205932(120575119879

2minus 119879119874119871

)119860minus1

1

times [1205931(119879119874119871

) minus 119868119889] 1198611)

+ 1205933((1 minus 120575) 119879

2)119860minus1

2

times [1205932(120575119879

2minus 119879119874119871

) minus 119868119889]1198612

+ 119860minus1

3[1205933((1 minus 120575) 119879

2) minus 119868119889]1198821198613)119880 (119905

1)

(19)

The previous equation may be rewritten as119883(1199051+ 1198792) =

119860MC119883(1199051) + 119861MC119880(119905

1) for practical purposes

34 Sample-Data Small-Signal Linear Model of the Converterin Open-Loop Conditions The equation 119883(119905

1+ 1198792) =

119860MC119883(1199051) + 119861MC119880(119905

1) may be used as a half-cycle discrete

model of the ZVT converter which may be written as

1198831015840

119870+1= 119860MC119883119870 + 119861MC119880119870 (20)

where1198831015840119870+1

= 119883(1199051+ 1198792)119883

119870= 119883(119905

1) and 119880

119870= 119880(119905

1)

A sample-data small-signal model may be obtained byusing the Taylor series (21) and using small-signal perturba-tions as 120575xK 120575UK 120575119879119874119871

119896

and 120575120575K One has

f (119909) = f (1199090) +J (119909 minus 119909

0) (21)

Using this equation the sample-data small-signal linearmodel becomes

120575119909119896+1

asymp120597119909119896+1

120597119909119896

120575119909119896+

120597119909119896+1

120597119880119896

120575119880119896

+120597119909119896+1

120597120575120575120575119896+

120597119909119896+1

120597119879119874119871

120575119879119874119871119896

(22)

The solution of the partial derivatives is 120597119909119896+1

120597119909119896

=

119860MC 120597119909119896+1120597119880119896 = 119861MC 120597119909119896+1120597120575 = 119862120575 and 120597119909

119896+1120597119879119874119871

=

119863119879119874119871

120575119879119874119871119896

may be determined utilizing the restrictionequation of119879

119874119871 whereas 120575120575K is obtained using the restriction

equation of 120575

35 Restriction Equations of the Control Loop The restrictionequations for 119879

119874119871may be obtained analyzing the waveforms

119894119871119878 11989411986311198633

and 119894sum which are shown in Figure 2 during theMode I The slope of 119894sum during Mode I is named 119892

1and is

determined by the rate of change of 119894119871119878

that is 1198921= 119881119904119896

119871119878

8 Mathematical Problems in Engineering

while the slopes of 11989411986311198633

during the Mode I are named 1198923

which are contrary and of lower amplitude than 1198921 that

is g3

= minusg12N The restriction equation of 119879

119874119871may be

determined by integrating the waveforms 11989411986311198633

duringModeI

119894119871119878119896

2119873+

119894119871119891119896

2+

119881119904119896

119871119878

119879119874119871119896

= 0 (23)

The previous equation is not linear therefore it isnecessary to use theTaylor series to obtain a linearizedmodel

120575119879119874119871119896

=2119873119871119878

119881119878CD

[minus1

2119873minus1

2minus

119879119874119871CD

2119873119871119878

][

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

(24)

The restriction equation of 120575 may be obtained analyzingthe waveforms 119894

119871119878

and 119894sum with ViREF minus VSAW during Mode II(Figures 2 and 5) VSAW is determined by 119872(120575

1198961198792) and the

slope of 119894sum duringMode II named 1198922 and may be obtained

by integrating again 119894sumwhen 119877119904119894sum = ViREF minus VSAW

119877119904(119894119871119878119896

+ 1198921119879119874119871119896

+ 1198922(120575119896119879

2minus 119879119874119871119896

)) = 119881119894REF minus 119872

120575119896119879

2

(25)

The Taylor series is used to linearize the previous equa-tion and therefore the restriction equation of 120575 becomes

120575120575119896=

1

Δ120575[1198861205751 119886

12057521198861205753

1198861205754

1198861205755]

[[[[[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

120575119881iREF119896

120575119881119900119896

]]]]]

]

(26)

where Δ120575= minus(2119879)(1198721198792119877

119878+ 1(119871

119878+ (1119873

2)119871119891))(V119904CD

minus

(1119873)V0CD

) 1198861205751

= 120597119894119871119878119896

120597119894119871119878119896

1198861205752

= 120597119894119871119878119896

120597119894119871119891119896

1198861205753

=

120597119894119871119878119896

120597119881119878119896

1198861205754

= 120597119894119871119878119896

120597119881iREF119896

and 1198861205755

= 120597119894119871119878119896

120597119881119900119896

36 Sample-Data Small-Signal Linear Model of the Converterin Closed-Loop Conditions The sample-data small-signallinear model may be obtained by substituting 120575

119879119874119871119896

and 120575120575K(24) and (26) respectively in (22)

120575119909119896+1

= 119860MC[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

+ 119861MC [120575119881119904119896

120575119868119885119896

]

+ 119862120575(

1

Δ120575[1198861205751 119886

12057521198861205753

1198861205754

1198861205755]

[[[[[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

120575119881iREF119896

120575119881119900119896

]]]]]

]

)

+ 119863119879119874119871

(2119873119871119878

119881119878CD

[minus1

2119873minus1

2minus

119879119874119871CD

2119873119871119878

][

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

)

(27)

Table 1 Operating parameters

Supply voltage 200V plusmn 20Output voltage 48VMaximum power 250WMinimum power 50WOutput voltage ripple 25mVOutput current ripple 300mAMaximum phase 50Switching frequency 50 kHz

such that the small-signal model becomes

120575119909119896+1

= 119860119888119897120575119909119896+ 120596119888119897120575119908119888119897119896

(28)

where 120575119909119896+1

= [1205751198941015840

119871119878119896

1205751198941015840

119871119891119896

1205751198811015840

119904119896

]119879

120575119909119896

=

[120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896]1015840 and 120575119908

119888119897119896

= [120575119881119904119896

120575119881iREF119896

120575119868119885119896]119879

Equation (28) may be solved by using the 119885 transformsuch that 120575119909

119870becomes

120575119909119896= (119868119889minus 119860119888119897)minus1119885120596119888119897120575119908119888119897119896

(29)

37 Transfer Function To verify the dynamic characteristicsof the converter is necessary to analyze the transfer functionsthat relate V

119900with 119881

119904 ViREF and 119868

119911 which are the throughput

input-to-output DC voltage transfer function 119867V(119911) =

V119900(119911)V119904(119911) the control-to-output transfer function119879

119874119871(119911) =

V119900(119911)ViREF(119911) and the output impedance transfer function

119885119900(119911) = V

119900(119911)119868119911(119911) respectively

The magnitude and phase of each transfer function maybe obtained using a Bode diagram whereas the root locustechnique may be employed to describe the behaviour of thepoles and zeros of (30) One has

119867V (119911) =119911 + 1198861

1199112 + 1198871119911 + 1198881

119879119874119871 (119911) =

11988621199112+ 1198872119911 + 1198882

1199112 + 1198871119911 + 1198881

119885119900 (119911) =

11988631199112+ 1198873119911 minus 1198883

1199112 + 1198871119911 + 1198881

(30)

4 Verification of the Proposed Model

41 Prototype Operating Parameters A 250W ZVT DC-DC prototype converter was designed under the analysisdescribed in [7] to verify the large-signal model of (14)Table 1 shows the operating parameters of the converter

The steady-state output voltage 119881119874 may be calculated as

the average of the rectified voltage V119863119863

such that at full load

119881119900= 120575max119873119881in minus

41198732119868119900(max)119871119878

119879 (31)

Taking the assumption shown in (31) the converter com-ponent values must comply with the zero-voltage switching

Mathematical Problems in Engineering 9

012345

(ms)(ms)

Piece-wise analysisPiece-wise model

Simulation circuitPiece-wise analysisPiece-wise model

Simulation circuit

01234

0 01 02 03 04 05 06 07 08 09 01minus5

minus4

minus3

minus2

minus1

minus4

minus3

minus2

minus1A A

09

0902

0904

0906

0908

091

0912

0914

0916

0918

092

iL119878iL119878

Figure 6 119894119871119878

current waveform obtained with the piece-wise linear model and a Micro-Cap simulation

1

0

1

2

3

4

5

6

Piece-wise modelPiece-wise analysis

Simulation circuitPiece-wise modelPiece-wise analysis

Simulation circuit

09

902

090

4

090

6

090

8

091

091

2

091

4

091

6

091

8

092

0

1

2

3

4

5

6

7

(ms)

A

0 01 02 03 04 05 06 07 08 09minus1

(ms)

A

iL119891iL119891

Figure 7 119894119871119891

current waveform obtained with the piece-wise linear model and a Micro-Cap simulation

0

10

20

30

40

50

0

10

20

30

40

50

60

minus10

A

1

Piece-wise modelPiece-wise analysis

Simulation circuitPiece-wise modelPiece-wise analysis

Simulation circuit

0 01 02 03 04 05 06 07 08 09

(ms)

09

090

2

090

6

090

8

091

091

4

091

6

091

8

092

(ms)

A

o o

Figure 8 vo voltage waveform obtained with the piece-wise linear model and a Micro-Cap simulation

10 Mathematical Problems in Engineering

012345

0

2

4

6

Piece-wise analysisPiece-wise analysisPiece-wise model

Piece-wise modelSimulation circuitSimulation circuit

Piece-wise analysisPiece-wise analysisPiece-wise model

Piece-wise modelSimulation circuitSimulation circuit

minus6

minus4

minus2

minus4

minus3

minus1

minus2

A A

10 01 02 03 04 05 06 07 08 09

(ms)

09

090

2

090

4

090

6

090

8

091

091

2

091

4

091

6

091

8

092

(ms)

isum and SAW-iREF isum and SAW-iREF

Figure 9 Waveforms of 119894sum and VSAW minus ViREF obtained with the piece-wise linear model and a Micro-Cap simulation

Mag

nitu

de (d

B)

0

Phas

e (de

g)

101 102 103 104

Frequency (rads)

minus35

minus30

minus25

minus20

minus15

minus10

minus5

minus225

minus180

minus135

minus90

minus45

Bode Diagram (os)

Figure 10 Bode plot of Hv(z)

05

10152025303540

Mag

nitu

de (d

B)Ph

ase (

deg)

101 102 103 104minus240minus195minus150minus105minus80minus15

Frequency (rads)

Bode Diagram (oiREF)

Figure 11 Bode plot of 119879119874119871(z)

Mathematical Problems in Engineering 11

510152025

Mag

nitu

de (d

B)4590

135180225

Phas

e (de

g)101 102 103 104

Frequency (rads)

oIz)Bode Diagram (

Figure 12 Bode plot of 119885119900(119911)

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

minus1minus08minus06minus04minus02

0020406081

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 13 Root locus of119867V(119911)

Table 2 Component values used in the 250W prototype

Devices ValueStray inductance (119871

119878) 1635 uH

Filter inductor (119871119891) 528 uH

Filter capacitor (119862119891) 1218 uF

Resistive load 9216ΩTransformer turns ratio119873 0683

Table 3 Verification of the large-signal model

119883(1199051)

large-signalmodel

119883(1199051+ 119879)

large-signalmodel

119883(1199051+ 119879)

verification error

119894119871119878

minus35292 minus3529 minus35304 004119894119871119891

50171 5017 50063 02119881119900 48035 48019 48002 04

phenomena to reduce the transistor switching losses under acertain load range For instance 119871

119878should be large enough

to keep the converter operation with ZVT under a low loadcondition whilst 119873 should be small to maintain regulatedoutput voltage for a maximum input voltage The list ofparameters shown in Table 1 together with (31) defines thecomponent values that may be used to keep the DC-DCconverter operatingwith theZVTeffectwithin a load range of

Table 4 Verification of the half-cycle model

119883(1199051)

half-cyclemodel

119883(1199051+ 1198792)

half-cyclemodel

119883(1199051+ 1198792)

verification error

119894119871119878

minus3415 347 34153 0016119894119871119891

4954 4957 48431 0023119881119900 4853 4853 471245 0029

50W to 250W and the values shown in Table 2 were decidedto be appropriate for the converter design The output filtercomponents of the rectifier were determined with the outputvoltage ripple Δ119881

119874 and the filter inductor current ripple

Δ119868119874 which may be calculated by using

Δ119868119900=

2119881119900

120596119871119891

120587

2sin(cosminus1 ( 2

120587)) minus cosminus1 ( 2

120587)

Δ119881119900=

119879Δ119868119900

8119862

(32)

42 Simulation and Results The piece-wise linear model thelarge-signal model and the half-cycle model of the converterwere verified by iterative program developed in MatLab Thepiece-wise model was solved using the Runge-Kutta numeri-cal method and using a small simulation step time togetherwith 119879

119874119871and 120575 to calculate the duration of each operating

mode whereas the large-signal model and half-cycle model

12 Mathematical Problems in Engineering

Table 5 Definition of matrix for each mode of operation

Mode I

1198601

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198611

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode II

1198602

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198612

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

1

0

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode III

1198603

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198613

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

0

0

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode IV

1198604

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

minus1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198614

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

minus1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode V

1198605

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

minus1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198615

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

minus1

1

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mathematical Problems in Engineering 13

Table 5 Continued

Mode VI

1198606

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198616

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

0

1

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1minus15

minus1

minus05

0

05

1

15

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 14 Root locus of 119879119874119871(z)

Root locus

Real axis08 085 09 095 1 105 11 115 12

minus025minus02minus015minus01minus005

00050101502025

Imag

inar

y ax

is

01020304050607

0809

1120587T

Figure 15 Root locus of Z(z)

were solved by calculating 119879119874119871

and 120575 with the Newton-Raphson method Figures 6 to 9 show a comparison of theresults obtained with the piece-wise model and simulationresults obtained with Micro-Cap The waveforms plotted onthese figures are the transformer primary-side current 119894

119871119878

Figure 6 the filter inductor current 119894

119871119891

Figure 7 the outputvoltage V

119874 Figure 8 and the supply current 119894sum together with

VSAW minus ViREF Figure 9 Tables 3 and 4 show a comparison ofthe instantaneous values of the state vector obtained at theend of a full cycle in steady state conditions which verifiesthe exactitude of the large-signal model whereas Table 4

shows those of the half-cycle model Both tables list resultstogetherwith instantaneous results obtainedwithMicro-CapThe value of 119879

119874119871calculated for Modes I and IV is 0727 120583s

while 120575 is 03998Figures 10 11 and 12 show the bode diagram of the

transfer functions 119867V(119911) 119879119874119871(119911) and 119885119900(119911) calculated with

the symbolic equation tool of MatLab whilst Figures 13 14and 15 show the roots locus of119867V(119911) 119879119874119871(119911) and 119885

119900(119911)

Themagnitude of119867V(119911) at low frequency converges to thesteady-state DC of V

119900minusDC119881119904 while the magnitude of 119879119874119871

(119911)

reveals the gain of the control-to-output under dynamic

14 Mathematical Problems in Engineering

120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

04120587T05120587T06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

01120587T

01120587T

02120587T

03120587T04120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T06120587T

07120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

08120587T

09120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

010203040506070809

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

010203

040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

Figure 16 Root locus plots of119867V(119911) 119879119874119871(119911) and 119885119874(119911) obtained by ranging of 120575119872 and 119877

119904

conditions and the magnitude of 119885(119911) converges to smallvalue below and above the resonant frequency determined by1radic119871

119891+ 1198732119871

119878119862119891

The poles of119867119881(119911) are conjugates complex roots whereas

there is a single zero located at minus275 119879119874119871

(119911) is steady with again lower than 0596 dB and its poles are conjugates complexroots with two steady zeros 119885

119900(119911) is steady with a gain lower

than 00682 dB and its poles are conjugates complex rootsand there are two zeros located at minus393 and 093

To design a controller is necessary to determine thebehaviour of the poles and zeros of the transfer functionswithrespect to variations of 120575119872 and 119877

119904 Figure 16 shows diverse

root locus plots of 119867119881(119911) 119879

119874119871(119911) and 119885

119900(119911) by ranging the

values of 120575119872 and 119877119904

Mathematical Problems in Engineering 15

5 Conclusion

This paper presented the mathematical derivation of asample-data small-signal model for a ZVT DC-DC con-verter The method used a piece-wise linear analysis toobtain a large-signal model which was verified with numer-ical predictions that depend on the integration step sizeto obtain high accuracy A sample-data half-cycle linearmodel was derived using the large-signal model such thata dynamic model of the converter was obtained by usinga linear approximation A comparison of the instantaneousvalues listed in Tables 3 and 4 showed that there is closecorrespondence between the derived models and the circuitsimulation especially with the half-cycle model Also Bodediagrams and a root locus analysis showed that the controlsystem may be steady if 120575 is defined within an interval of 03to 06 119877

119904within 09VA to 12 VA andM within 0044Vs

to 01 Vs Therefore the half-cycle model is more accuratethan the large-signal model and it is useful to determinea small-signal sample-data model of the DC-DC converterfor dynamic studies The presented method may help topower electronic practitioners to derive discrete transferfunctions of soft switched DC-DC converter and understandthe dynamic behaviour of power electronic systems whichserves as a basic principle to design a controller for theconverter outer loop

Conflict of Interests

The authors declare that there is no conflict of interestsregarding the publication of this paper

Acknowledgments

The authors are grateful to the National Council of Scienceand Technology of Mexico (CONACyT) the Postgraduateand Research Department (SEPI) of the School of Mechan-ical and Electrical Engineering Campus Culhuacan of theNational Polytechnic Institute (IPN) of Mexico and theTechnological Studies Superiors of Coacalco for their encour-agement and the realization of the prototype

References

[1] F J Perez-Pinal The Electric Vehicle Design Stages Considera-tions Editorial Academica Espanola Madrid Spain 2011

[2] F J Perez-Pinal C Nunez R Alvarez and M Gallegos ldquoStepby step design of the power stage of a light electric vehiclerdquoInternational Review of Electrical Engineering vol 3 no 1 pp100ndash108 2008

[3] F J Perez-Pinal J C Kota-Renteria J C Nunez-Perez andN Al-Mutawaly ldquoHybrid conversion kit applied to publictransportation a taxi case solutionrdquo International Review onModelling and Simulations vol 6 no 2 pp 554ndash559 2013

[4] J Liu and H Peng ldquoModeling and control of a power-splithybrid vehiclerdquo IEEE Transactions on Control Systems Technol-ogy vol 16 no 6 pp 1242ndash1251 2008

[5] F J Perez-Pinal N Al-Mutawaly and J C Nunez-PerezldquoImpact of plug-in hybrid electric vehicle in distributed gener-ation and smart grid a brief reviewrdquo International Review onModelling and Simulations vol 6 no 3 pp 795ndash805 2013

[6] M Yilmaz and P T Krein ldquoReview of battery charger topolo-gies charging power levels and infrastructure for plug-inelectric and hybrid vehiclesrdquo IEEE Transactions on PowerElectronics vol 28 no 5 pp 2151ndash2169 2013

[7] A Tapia-Hernandez I Araujo-Vargas M Ponce-Silva andM Ponce-Flores ldquoDesign of a ZVT DC-DC converter withstray components integration for a public-transport electricvehiclerdquo in Proceedings of the International Symposium onPower Electronics Electrical Drives Automation and Motion(SPEEDAM rsquo10) pp 478ndash483 June 2010

Submit your manuscripts athttpwwwhindawicom

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical Problems in Engineering

Hindawi Publishing Corporationhttpwwwhindawicom

Differential EquationsInternational Journal of

Volume 2014

Applied MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Probability and StatisticsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical PhysicsAdvances in

Complex AnalysisJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

OptimizationJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

CombinatoricsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Operations ResearchAdvances in

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Function Spaces

Abstract and Applied AnalysisHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of Mathematics and Mathematical Sciences

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Algebra

Discrete Dynamics in Nature and Society

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Decision SciencesAdvances in

Discrete MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014 Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Stochastic AnalysisInternational Journal of

Page 6: Research Article Sample-Data Modeling of a Zero Voltage ... · Attribution License, which permits unrestricted use, distributio n, and reproduction in any medium, provided the original

6 Mathematical Problems in Engineering

isum

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

tT2 T

CLK

NIo

120575T

2

TOL

QA

COMP

QB

120575T

2

iREF

g119904T1198601

g119904T1198602

g119904T1198611

g119904T1198612

Figure 5 Ideal waveform DC-DC ZVT converter with currentcontrol loop

throughout all the modes of operation The solution of (2)may be expressed as

119883(119905119899minus1

+ 119905119899) = 120593119899(119905119899)119883 (1199051) + 119860minus1

119899[120593119899(119905119899) minus 119868119889] 119861119899119880

(10)

where

120593119899(119905119899) = 119890119860119899119905119899 (11)

which defines in the first term of (10) the natural responseof the system along the period of time 119905

119899minus 119905119899minus1

with theinitial condition 119883(119905

119899minus1) at Mode n The second term of

(10) is the steady state response which is obtained by usingthe convolution integral Therefore using (10) for the timeinterval of 119905

1le 119905 lt 119905

1+ 119879119874119871 together with the matrixes of

Mode I the solution of the state vector forMode I is obtainedas follows

119883(1199051+ 119879119874119871

) = 1205931(119879119874119871

)119883 (1199051) + 119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611119880

(12)

In a similar way the solutions for Modes II to VI at thetime intervals 119905

1+ 119879119874119871

le 119905 lt 1199051+ 1205751198792 119905

1+ 1205751198792 le 119905 lt

1199051+ 1198792 119905

1+ 1198792 le 119905 lt 119905

1+ 1198792 + 119879

119874119871 1199051+ 1198792 + 119879

119874119871le 119905 lt

1199051+ (1 + 120575)1198792 and 119905

1+ (1 + 120575)1198792 le 119905 lt 119905

1+ 119879 become

119883(1199051+

120575119879

2) = 120593

2(120575119879

2minus 119879119874119871

)119883 (1199051+ 119879119874119871

)

+ 119860minus1

2[1205932(120575119879

2minus 119879119874119871

) minus 119868119889]1198612119880

(13)

119883(1199051+

119879

2) = 120593

3(119879

2minus

120575119879

2)119883(119905

1+

120575119879

2)

+ 119860minus1

3[1205933(119879

2minus

120575119879

2) minus 119868119889]1198613119880

(14)

119883(1199051+

119879

2+ 119879119874119871

) = 1205934(119879119874119871

)119883(1199051+

119879

2)

+ 119860minus1

4[1205934(119879119874119871

) minus 119868119889] 1198614119880

(15)

119883(1199051+

(1 + 120575) 119879

2) = 120593

5(120575119879

2minus 119879119874119871

)119883(1199051+

119879

2+ 119879119874119871

)

+ 119860minus1

5[1205935(120575119879

2minus 119879119874119871

) minus 119868119889]1198615119880

(16)

119883(1199051+ 119879) = 120593

6((1 minus 120575) 119879

2)119883(119905

1+

(1 + 120575) 119879

2)

+ 119860minus1

6[1205936((1 minus 120575) 119879

2) minus 119868119889]1198616119880

(17)

32 Large-Signal Model The large-signal model of the ZVTconverter may be obtained by substituting (12) in (13) (13) in(14) (14) in (15) (15) in (16) and (16) in (17) in such a waythat a single expression is obtained as shown in

119883(1199051+ 119879)

= 1205936((1 minus 120575) 119879

2)1205935(120575119879

2minus 119879119874119871

)1205934(119879119874119871

) 1205933

times ((1 minus 120575) 119879

2)1205932(120575119879

2minus 119879119874119871

)1205931(119879119874119871

)119883 (1199051)

Mathematical Problems in Engineering 7

+ [1205936((1 minus 120575) 119879

2)

times [1205935(120575119879

2minus 119879119874119871

)

times [1205934(119879119874119871

) [1205933((1 minus 120575) 119879

2)

times 1205932(120575119879

2minus 119879119874119871

)

times 119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611

+ 119860minus1

2[1205932(120575119879

2minus 119879119874119871

)

minus119868119889]1198612]

+119860minus1

3[1205933((1 minus 120575) 119879

2) minus 119868119889]1198613]

+119860minus1

4[1205934(119879119874119871

) minus 119868119889] 1198614]

+119860minus1

5[1205935(120575119879

2minus 119879119874119871

) minus 119868119889]1198615]

+ 119860minus1

6[1205936((1 minus 120575) 119879

2) minus 119868119889]1198616119880

(18)

33 Half-Cycle Model The waveform 119894119871119878

of Figure 2 showsthat operation modes IV V and VI are mirrors of Modes III and III respectively therefore the first three operationmodes are sufficient to describe the function of the converterA particular matrix titled as W may relate the modes I IIand III with the modes IV V and VI which satisfies thecondition119882119882 = 119868

119889 the identity matrix Therefore the half-

cycle model of the ZVT converter may be obtained replacingthe termsA4 A5 A6 B4 B5 and B6 by expressionsWA1WA2WA3 WB1 WB2 andWB3 respectively in (18) such that thehalf-cycle model is

119883(1199051+

119879

2)

= 1205933((1 minus 120575) 119879

2)1205932(120575119879

2minus 119879119874119871

)1205931(119879119874119871

)119883 (1199051)

+ [1205933((1 minus 120575) 119879

2)12059321205933((1 minus 120575) 119879

2)1205932

times (120575119879

2minus 119879119874119871

)119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611

+ 1205933((1 minus 120575) 119879

2)119860minus1

2[1205932(120575119879

2minus 119879119874119871

) minus 119868119889]1198612

+119860minus1

3[1205933((1 minus 120575) 119879

2) minus 119868119889]1198613]119880

+ 119882(1205933((1 minus 120575) 119879

2)(1205932(120575119879

2minus 119879119874119871

)

times 1198821205931(119879119874119871

)119883 (1199051)

+ 1205933((1 minus 120575) 119879

2)

times 1205932(120575119879

2minus 119879119874119871

)119860minus1

1

times [1205931(119879119874119871

) minus 119868119889] 1198611)

+ 1205933((1 minus 120575) 119879

2)119860minus1

2

times [1205932(120575119879

2minus 119879119874119871

) minus 119868119889]1198612

+ 119860minus1

3[1205933((1 minus 120575) 119879

2) minus 119868119889]1198821198613)119880 (119905

1)

(19)

The previous equation may be rewritten as119883(1199051+ 1198792) =

119860MC119883(1199051) + 119861MC119880(119905

1) for practical purposes

34 Sample-Data Small-Signal Linear Model of the Converterin Open-Loop Conditions The equation 119883(119905

1+ 1198792) =

119860MC119883(1199051) + 119861MC119880(119905

1) may be used as a half-cycle discrete

model of the ZVT converter which may be written as

1198831015840

119870+1= 119860MC119883119870 + 119861MC119880119870 (20)

where1198831015840119870+1

= 119883(1199051+ 1198792)119883

119870= 119883(119905

1) and 119880

119870= 119880(119905

1)

A sample-data small-signal model may be obtained byusing the Taylor series (21) and using small-signal perturba-tions as 120575xK 120575UK 120575119879119874119871

119896

and 120575120575K One has

f (119909) = f (1199090) +J (119909 minus 119909

0) (21)

Using this equation the sample-data small-signal linearmodel becomes

120575119909119896+1

asymp120597119909119896+1

120597119909119896

120575119909119896+

120597119909119896+1

120597119880119896

120575119880119896

+120597119909119896+1

120597120575120575120575119896+

120597119909119896+1

120597119879119874119871

120575119879119874119871119896

(22)

The solution of the partial derivatives is 120597119909119896+1

120597119909119896

=

119860MC 120597119909119896+1120597119880119896 = 119861MC 120597119909119896+1120597120575 = 119862120575 and 120597119909

119896+1120597119879119874119871

=

119863119879119874119871

120575119879119874119871119896

may be determined utilizing the restrictionequation of119879

119874119871 whereas 120575120575K is obtained using the restriction

equation of 120575

35 Restriction Equations of the Control Loop The restrictionequations for 119879

119874119871may be obtained analyzing the waveforms

119894119871119878 11989411986311198633

and 119894sum which are shown in Figure 2 during theMode I The slope of 119894sum during Mode I is named 119892

1and is

determined by the rate of change of 119894119871119878

that is 1198921= 119881119904119896

119871119878

8 Mathematical Problems in Engineering

while the slopes of 11989411986311198633

during the Mode I are named 1198923

which are contrary and of lower amplitude than 1198921 that

is g3

= minusg12N The restriction equation of 119879

119874119871may be

determined by integrating the waveforms 11989411986311198633

duringModeI

119894119871119878119896

2119873+

119894119871119891119896

2+

119881119904119896

119871119878

119879119874119871119896

= 0 (23)

The previous equation is not linear therefore it isnecessary to use theTaylor series to obtain a linearizedmodel

120575119879119874119871119896

=2119873119871119878

119881119878CD

[minus1

2119873minus1

2minus

119879119874119871CD

2119873119871119878

][

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

(24)

The restriction equation of 120575 may be obtained analyzingthe waveforms 119894

119871119878

and 119894sum with ViREF minus VSAW during Mode II(Figures 2 and 5) VSAW is determined by 119872(120575

1198961198792) and the

slope of 119894sum duringMode II named 1198922 and may be obtained

by integrating again 119894sumwhen 119877119904119894sum = ViREF minus VSAW

119877119904(119894119871119878119896

+ 1198921119879119874119871119896

+ 1198922(120575119896119879

2minus 119879119874119871119896

)) = 119881119894REF minus 119872

120575119896119879

2

(25)

The Taylor series is used to linearize the previous equa-tion and therefore the restriction equation of 120575 becomes

120575120575119896=

1

Δ120575[1198861205751 119886

12057521198861205753

1198861205754

1198861205755]

[[[[[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

120575119881iREF119896

120575119881119900119896

]]]]]

]

(26)

where Δ120575= minus(2119879)(1198721198792119877

119878+ 1(119871

119878+ (1119873

2)119871119891))(V119904CD

minus

(1119873)V0CD

) 1198861205751

= 120597119894119871119878119896

120597119894119871119878119896

1198861205752

= 120597119894119871119878119896

120597119894119871119891119896

1198861205753

=

120597119894119871119878119896

120597119881119878119896

1198861205754

= 120597119894119871119878119896

120597119881iREF119896

and 1198861205755

= 120597119894119871119878119896

120597119881119900119896

36 Sample-Data Small-Signal Linear Model of the Converterin Closed-Loop Conditions The sample-data small-signallinear model may be obtained by substituting 120575

119879119874119871119896

and 120575120575K(24) and (26) respectively in (22)

120575119909119896+1

= 119860MC[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

+ 119861MC [120575119881119904119896

120575119868119885119896

]

+ 119862120575(

1

Δ120575[1198861205751 119886

12057521198861205753

1198861205754

1198861205755]

[[[[[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

120575119881iREF119896

120575119881119900119896

]]]]]

]

)

+ 119863119879119874119871

(2119873119871119878

119881119878CD

[minus1

2119873minus1

2minus

119879119874119871CD

2119873119871119878

][

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

)

(27)

Table 1 Operating parameters

Supply voltage 200V plusmn 20Output voltage 48VMaximum power 250WMinimum power 50WOutput voltage ripple 25mVOutput current ripple 300mAMaximum phase 50Switching frequency 50 kHz

such that the small-signal model becomes

120575119909119896+1

= 119860119888119897120575119909119896+ 120596119888119897120575119908119888119897119896

(28)

where 120575119909119896+1

= [1205751198941015840

119871119878119896

1205751198941015840

119871119891119896

1205751198811015840

119904119896

]119879

120575119909119896

=

[120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896]1015840 and 120575119908

119888119897119896

= [120575119881119904119896

120575119881iREF119896

120575119868119885119896]119879

Equation (28) may be solved by using the 119885 transformsuch that 120575119909

119870becomes

120575119909119896= (119868119889minus 119860119888119897)minus1119885120596119888119897120575119908119888119897119896

(29)

37 Transfer Function To verify the dynamic characteristicsof the converter is necessary to analyze the transfer functionsthat relate V

119900with 119881

119904 ViREF and 119868

119911 which are the throughput

input-to-output DC voltage transfer function 119867V(119911) =

V119900(119911)V119904(119911) the control-to-output transfer function119879

119874119871(119911) =

V119900(119911)ViREF(119911) and the output impedance transfer function

119885119900(119911) = V

119900(119911)119868119911(119911) respectively

The magnitude and phase of each transfer function maybe obtained using a Bode diagram whereas the root locustechnique may be employed to describe the behaviour of thepoles and zeros of (30) One has

119867V (119911) =119911 + 1198861

1199112 + 1198871119911 + 1198881

119879119874119871 (119911) =

11988621199112+ 1198872119911 + 1198882

1199112 + 1198871119911 + 1198881

119885119900 (119911) =

11988631199112+ 1198873119911 minus 1198883

1199112 + 1198871119911 + 1198881

(30)

4 Verification of the Proposed Model

41 Prototype Operating Parameters A 250W ZVT DC-DC prototype converter was designed under the analysisdescribed in [7] to verify the large-signal model of (14)Table 1 shows the operating parameters of the converter

The steady-state output voltage 119881119874 may be calculated as

the average of the rectified voltage V119863119863

such that at full load

119881119900= 120575max119873119881in minus

41198732119868119900(max)119871119878

119879 (31)

Taking the assumption shown in (31) the converter com-ponent values must comply with the zero-voltage switching

Mathematical Problems in Engineering 9

012345

(ms)(ms)

Piece-wise analysisPiece-wise model

Simulation circuitPiece-wise analysisPiece-wise model

Simulation circuit

01234

0 01 02 03 04 05 06 07 08 09 01minus5

minus4

minus3

minus2

minus1

minus4

minus3

minus2

minus1A A

09

0902

0904

0906

0908

091

0912

0914

0916

0918

092

iL119878iL119878

Figure 6 119894119871119878

current waveform obtained with the piece-wise linear model and a Micro-Cap simulation

1

0

1

2

3

4

5

6

Piece-wise modelPiece-wise analysis

Simulation circuitPiece-wise modelPiece-wise analysis

Simulation circuit

09

902

090

4

090

6

090

8

091

091

2

091

4

091

6

091

8

092

0

1

2

3

4

5

6

7

(ms)

A

0 01 02 03 04 05 06 07 08 09minus1

(ms)

A

iL119891iL119891

Figure 7 119894119871119891

current waveform obtained with the piece-wise linear model and a Micro-Cap simulation

0

10

20

30

40

50

0

10

20

30

40

50

60

minus10

A

1

Piece-wise modelPiece-wise analysis

Simulation circuitPiece-wise modelPiece-wise analysis

Simulation circuit

0 01 02 03 04 05 06 07 08 09

(ms)

09

090

2

090

6

090

8

091

091

4

091

6

091

8

092

(ms)

A

o o

Figure 8 vo voltage waveform obtained with the piece-wise linear model and a Micro-Cap simulation

10 Mathematical Problems in Engineering

012345

0

2

4

6

Piece-wise analysisPiece-wise analysisPiece-wise model

Piece-wise modelSimulation circuitSimulation circuit

Piece-wise analysisPiece-wise analysisPiece-wise model

Piece-wise modelSimulation circuitSimulation circuit

minus6

minus4

minus2

minus4

minus3

minus1

minus2

A A

10 01 02 03 04 05 06 07 08 09

(ms)

09

090

2

090

4

090

6

090

8

091

091

2

091

4

091

6

091

8

092

(ms)

isum and SAW-iREF isum and SAW-iREF

Figure 9 Waveforms of 119894sum and VSAW minus ViREF obtained with the piece-wise linear model and a Micro-Cap simulation

Mag

nitu

de (d

B)

0

Phas

e (de

g)

101 102 103 104

Frequency (rads)

minus35

minus30

minus25

minus20

minus15

minus10

minus5

minus225

minus180

minus135

minus90

minus45

Bode Diagram (os)

Figure 10 Bode plot of Hv(z)

05

10152025303540

Mag

nitu

de (d

B)Ph

ase (

deg)

101 102 103 104minus240minus195minus150minus105minus80minus15

Frequency (rads)

Bode Diagram (oiREF)

Figure 11 Bode plot of 119879119874119871(z)

Mathematical Problems in Engineering 11

510152025

Mag

nitu

de (d

B)4590

135180225

Phas

e (de

g)101 102 103 104

Frequency (rads)

oIz)Bode Diagram (

Figure 12 Bode plot of 119885119900(119911)

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

minus1minus08minus06minus04minus02

0020406081

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 13 Root locus of119867V(119911)

Table 2 Component values used in the 250W prototype

Devices ValueStray inductance (119871

119878) 1635 uH

Filter inductor (119871119891) 528 uH

Filter capacitor (119862119891) 1218 uF

Resistive load 9216ΩTransformer turns ratio119873 0683

Table 3 Verification of the large-signal model

119883(1199051)

large-signalmodel

119883(1199051+ 119879)

large-signalmodel

119883(1199051+ 119879)

verification error

119894119871119878

minus35292 minus3529 minus35304 004119894119871119891

50171 5017 50063 02119881119900 48035 48019 48002 04

phenomena to reduce the transistor switching losses under acertain load range For instance 119871

119878should be large enough

to keep the converter operation with ZVT under a low loadcondition whilst 119873 should be small to maintain regulatedoutput voltage for a maximum input voltage The list ofparameters shown in Table 1 together with (31) defines thecomponent values that may be used to keep the DC-DCconverter operatingwith theZVTeffectwithin a load range of

Table 4 Verification of the half-cycle model

119883(1199051)

half-cyclemodel

119883(1199051+ 1198792)

half-cyclemodel

119883(1199051+ 1198792)

verification error

119894119871119878

minus3415 347 34153 0016119894119871119891

4954 4957 48431 0023119881119900 4853 4853 471245 0029

50W to 250W and the values shown in Table 2 were decidedto be appropriate for the converter design The output filtercomponents of the rectifier were determined with the outputvoltage ripple Δ119881

119874 and the filter inductor current ripple

Δ119868119874 which may be calculated by using

Δ119868119900=

2119881119900

120596119871119891

120587

2sin(cosminus1 ( 2

120587)) minus cosminus1 ( 2

120587)

Δ119881119900=

119879Δ119868119900

8119862

(32)

42 Simulation and Results The piece-wise linear model thelarge-signal model and the half-cycle model of the converterwere verified by iterative program developed in MatLab Thepiece-wise model was solved using the Runge-Kutta numeri-cal method and using a small simulation step time togetherwith 119879

119874119871and 120575 to calculate the duration of each operating

mode whereas the large-signal model and half-cycle model

12 Mathematical Problems in Engineering

Table 5 Definition of matrix for each mode of operation

Mode I

1198601

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198611

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode II

1198602

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198612

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

1

0

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode III

1198603

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198613

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

0

0

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode IV

1198604

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

minus1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198614

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

minus1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode V

1198605

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

minus1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198615

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

minus1

1

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mathematical Problems in Engineering 13

Table 5 Continued

Mode VI

1198606

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198616

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

0

1

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1minus15

minus1

minus05

0

05

1

15

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 14 Root locus of 119879119874119871(z)

Root locus

Real axis08 085 09 095 1 105 11 115 12

minus025minus02minus015minus01minus005

00050101502025

Imag

inar

y ax

is

01020304050607

0809

1120587T

Figure 15 Root locus of Z(z)

were solved by calculating 119879119874119871

and 120575 with the Newton-Raphson method Figures 6 to 9 show a comparison of theresults obtained with the piece-wise model and simulationresults obtained with Micro-Cap The waveforms plotted onthese figures are the transformer primary-side current 119894

119871119878

Figure 6 the filter inductor current 119894

119871119891

Figure 7 the outputvoltage V

119874 Figure 8 and the supply current 119894sum together with

VSAW minus ViREF Figure 9 Tables 3 and 4 show a comparison ofthe instantaneous values of the state vector obtained at theend of a full cycle in steady state conditions which verifiesthe exactitude of the large-signal model whereas Table 4

shows those of the half-cycle model Both tables list resultstogetherwith instantaneous results obtainedwithMicro-CapThe value of 119879

119874119871calculated for Modes I and IV is 0727 120583s

while 120575 is 03998Figures 10 11 and 12 show the bode diagram of the

transfer functions 119867V(119911) 119879119874119871(119911) and 119885119900(119911) calculated with

the symbolic equation tool of MatLab whilst Figures 13 14and 15 show the roots locus of119867V(119911) 119879119874119871(119911) and 119885

119900(119911)

Themagnitude of119867V(119911) at low frequency converges to thesteady-state DC of V

119900minusDC119881119904 while the magnitude of 119879119874119871

(119911)

reveals the gain of the control-to-output under dynamic

14 Mathematical Problems in Engineering

120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

04120587T05120587T06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

01120587T

01120587T

02120587T

03120587T04120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T06120587T

07120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

08120587T

09120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

010203040506070809

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

010203

040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

Figure 16 Root locus plots of119867V(119911) 119879119874119871(119911) and 119885119874(119911) obtained by ranging of 120575119872 and 119877

119904

conditions and the magnitude of 119885(119911) converges to smallvalue below and above the resonant frequency determined by1radic119871

119891+ 1198732119871

119878119862119891

The poles of119867119881(119911) are conjugates complex roots whereas

there is a single zero located at minus275 119879119874119871

(119911) is steady with again lower than 0596 dB and its poles are conjugates complexroots with two steady zeros 119885

119900(119911) is steady with a gain lower

than 00682 dB and its poles are conjugates complex rootsand there are two zeros located at minus393 and 093

To design a controller is necessary to determine thebehaviour of the poles and zeros of the transfer functionswithrespect to variations of 120575119872 and 119877

119904 Figure 16 shows diverse

root locus plots of 119867119881(119911) 119879

119874119871(119911) and 119885

119900(119911) by ranging the

values of 120575119872 and 119877119904

Mathematical Problems in Engineering 15

5 Conclusion

This paper presented the mathematical derivation of asample-data small-signal model for a ZVT DC-DC con-verter The method used a piece-wise linear analysis toobtain a large-signal model which was verified with numer-ical predictions that depend on the integration step sizeto obtain high accuracy A sample-data half-cycle linearmodel was derived using the large-signal model such thata dynamic model of the converter was obtained by usinga linear approximation A comparison of the instantaneousvalues listed in Tables 3 and 4 showed that there is closecorrespondence between the derived models and the circuitsimulation especially with the half-cycle model Also Bodediagrams and a root locus analysis showed that the controlsystem may be steady if 120575 is defined within an interval of 03to 06 119877

119904within 09VA to 12 VA andM within 0044Vs

to 01 Vs Therefore the half-cycle model is more accuratethan the large-signal model and it is useful to determinea small-signal sample-data model of the DC-DC converterfor dynamic studies The presented method may help topower electronic practitioners to derive discrete transferfunctions of soft switched DC-DC converter and understandthe dynamic behaviour of power electronic systems whichserves as a basic principle to design a controller for theconverter outer loop

Conflict of Interests

The authors declare that there is no conflict of interestsregarding the publication of this paper

Acknowledgments

The authors are grateful to the National Council of Scienceand Technology of Mexico (CONACyT) the Postgraduateand Research Department (SEPI) of the School of Mechan-ical and Electrical Engineering Campus Culhuacan of theNational Polytechnic Institute (IPN) of Mexico and theTechnological Studies Superiors of Coacalco for their encour-agement and the realization of the prototype

References

[1] F J Perez-Pinal The Electric Vehicle Design Stages Considera-tions Editorial Academica Espanola Madrid Spain 2011

[2] F J Perez-Pinal C Nunez R Alvarez and M Gallegos ldquoStepby step design of the power stage of a light electric vehiclerdquoInternational Review of Electrical Engineering vol 3 no 1 pp100ndash108 2008

[3] F J Perez-Pinal J C Kota-Renteria J C Nunez-Perez andN Al-Mutawaly ldquoHybrid conversion kit applied to publictransportation a taxi case solutionrdquo International Review onModelling and Simulations vol 6 no 2 pp 554ndash559 2013

[4] J Liu and H Peng ldquoModeling and control of a power-splithybrid vehiclerdquo IEEE Transactions on Control Systems Technol-ogy vol 16 no 6 pp 1242ndash1251 2008

[5] F J Perez-Pinal N Al-Mutawaly and J C Nunez-PerezldquoImpact of plug-in hybrid electric vehicle in distributed gener-ation and smart grid a brief reviewrdquo International Review onModelling and Simulations vol 6 no 3 pp 795ndash805 2013

[6] M Yilmaz and P T Krein ldquoReview of battery charger topolo-gies charging power levels and infrastructure for plug-inelectric and hybrid vehiclesrdquo IEEE Transactions on PowerElectronics vol 28 no 5 pp 2151ndash2169 2013

[7] A Tapia-Hernandez I Araujo-Vargas M Ponce-Silva andM Ponce-Flores ldquoDesign of a ZVT DC-DC converter withstray components integration for a public-transport electricvehiclerdquo in Proceedings of the International Symposium onPower Electronics Electrical Drives Automation and Motion(SPEEDAM rsquo10) pp 478ndash483 June 2010

Submit your manuscripts athttpwwwhindawicom

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical Problems in Engineering

Hindawi Publishing Corporationhttpwwwhindawicom

Differential EquationsInternational Journal of

Volume 2014

Applied MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Probability and StatisticsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical PhysicsAdvances in

Complex AnalysisJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

OptimizationJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

CombinatoricsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Operations ResearchAdvances in

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Function Spaces

Abstract and Applied AnalysisHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of Mathematics and Mathematical Sciences

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Algebra

Discrete Dynamics in Nature and Society

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Decision SciencesAdvances in

Discrete MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014 Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Stochastic AnalysisInternational Journal of

Page 7: Research Article Sample-Data Modeling of a Zero Voltage ... · Attribution License, which permits unrestricted use, distributio n, and reproduction in any medium, provided the original

Mathematical Problems in Engineering 7

+ [1205936((1 minus 120575) 119879

2)

times [1205935(120575119879

2minus 119879119874119871

)

times [1205934(119879119874119871

) [1205933((1 minus 120575) 119879

2)

times 1205932(120575119879

2minus 119879119874119871

)

times 119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611

+ 119860minus1

2[1205932(120575119879

2minus 119879119874119871

)

minus119868119889]1198612]

+119860minus1

3[1205933((1 minus 120575) 119879

2) minus 119868119889]1198613]

+119860minus1

4[1205934(119879119874119871

) minus 119868119889] 1198614]

+119860minus1

5[1205935(120575119879

2minus 119879119874119871

) minus 119868119889]1198615]

+ 119860minus1

6[1205936((1 minus 120575) 119879

2) minus 119868119889]1198616119880

(18)

33 Half-Cycle Model The waveform 119894119871119878

of Figure 2 showsthat operation modes IV V and VI are mirrors of Modes III and III respectively therefore the first three operationmodes are sufficient to describe the function of the converterA particular matrix titled as W may relate the modes I IIand III with the modes IV V and VI which satisfies thecondition119882119882 = 119868

119889 the identity matrix Therefore the half-

cycle model of the ZVT converter may be obtained replacingthe termsA4 A5 A6 B4 B5 and B6 by expressionsWA1WA2WA3 WB1 WB2 andWB3 respectively in (18) such that thehalf-cycle model is

119883(1199051+

119879

2)

= 1205933((1 minus 120575) 119879

2)1205932(120575119879

2minus 119879119874119871

)1205931(119879119874119871

)119883 (1199051)

+ [1205933((1 minus 120575) 119879

2)12059321205933((1 minus 120575) 119879

2)1205932

times (120575119879

2minus 119879119874119871

)119860minus1

1[1205931(119879119874119871

) minus 119868119889] 1198611

+ 1205933((1 minus 120575) 119879

2)119860minus1

2[1205932(120575119879

2minus 119879119874119871

) minus 119868119889]1198612

+119860minus1

3[1205933((1 minus 120575) 119879

2) minus 119868119889]1198613]119880

+ 119882(1205933((1 minus 120575) 119879

2)(1205932(120575119879

2minus 119879119874119871

)

times 1198821205931(119879119874119871

)119883 (1199051)

+ 1205933((1 minus 120575) 119879

2)

times 1205932(120575119879

2minus 119879119874119871

)119860minus1

1

times [1205931(119879119874119871

) minus 119868119889] 1198611)

+ 1205933((1 minus 120575) 119879

2)119860minus1

2

times [1205932(120575119879

2minus 119879119874119871

) minus 119868119889]1198612

+ 119860minus1

3[1205933((1 minus 120575) 119879

2) minus 119868119889]1198821198613)119880 (119905

1)

(19)

The previous equation may be rewritten as119883(1199051+ 1198792) =

119860MC119883(1199051) + 119861MC119880(119905

1) for practical purposes

34 Sample-Data Small-Signal Linear Model of the Converterin Open-Loop Conditions The equation 119883(119905

1+ 1198792) =

119860MC119883(1199051) + 119861MC119880(119905

1) may be used as a half-cycle discrete

model of the ZVT converter which may be written as

1198831015840

119870+1= 119860MC119883119870 + 119861MC119880119870 (20)

where1198831015840119870+1

= 119883(1199051+ 1198792)119883

119870= 119883(119905

1) and 119880

119870= 119880(119905

1)

A sample-data small-signal model may be obtained byusing the Taylor series (21) and using small-signal perturba-tions as 120575xK 120575UK 120575119879119874119871

119896

and 120575120575K One has

f (119909) = f (1199090) +J (119909 minus 119909

0) (21)

Using this equation the sample-data small-signal linearmodel becomes

120575119909119896+1

asymp120597119909119896+1

120597119909119896

120575119909119896+

120597119909119896+1

120597119880119896

120575119880119896

+120597119909119896+1

120597120575120575120575119896+

120597119909119896+1

120597119879119874119871

120575119879119874119871119896

(22)

The solution of the partial derivatives is 120597119909119896+1

120597119909119896

=

119860MC 120597119909119896+1120597119880119896 = 119861MC 120597119909119896+1120597120575 = 119862120575 and 120597119909

119896+1120597119879119874119871

=

119863119879119874119871

120575119879119874119871119896

may be determined utilizing the restrictionequation of119879

119874119871 whereas 120575120575K is obtained using the restriction

equation of 120575

35 Restriction Equations of the Control Loop The restrictionequations for 119879

119874119871may be obtained analyzing the waveforms

119894119871119878 11989411986311198633

and 119894sum which are shown in Figure 2 during theMode I The slope of 119894sum during Mode I is named 119892

1and is

determined by the rate of change of 119894119871119878

that is 1198921= 119881119904119896

119871119878

8 Mathematical Problems in Engineering

while the slopes of 11989411986311198633

during the Mode I are named 1198923

which are contrary and of lower amplitude than 1198921 that

is g3

= minusg12N The restriction equation of 119879

119874119871may be

determined by integrating the waveforms 11989411986311198633

duringModeI

119894119871119878119896

2119873+

119894119871119891119896

2+

119881119904119896

119871119878

119879119874119871119896

= 0 (23)

The previous equation is not linear therefore it isnecessary to use theTaylor series to obtain a linearizedmodel

120575119879119874119871119896

=2119873119871119878

119881119878CD

[minus1

2119873minus1

2minus

119879119874119871CD

2119873119871119878

][

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

(24)

The restriction equation of 120575 may be obtained analyzingthe waveforms 119894

119871119878

and 119894sum with ViREF minus VSAW during Mode II(Figures 2 and 5) VSAW is determined by 119872(120575

1198961198792) and the

slope of 119894sum duringMode II named 1198922 and may be obtained

by integrating again 119894sumwhen 119877119904119894sum = ViREF minus VSAW

119877119904(119894119871119878119896

+ 1198921119879119874119871119896

+ 1198922(120575119896119879

2minus 119879119874119871119896

)) = 119881119894REF minus 119872

120575119896119879

2

(25)

The Taylor series is used to linearize the previous equa-tion and therefore the restriction equation of 120575 becomes

120575120575119896=

1

Δ120575[1198861205751 119886

12057521198861205753

1198861205754

1198861205755]

[[[[[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

120575119881iREF119896

120575119881119900119896

]]]]]

]

(26)

where Δ120575= minus(2119879)(1198721198792119877

119878+ 1(119871

119878+ (1119873

2)119871119891))(V119904CD

minus

(1119873)V0CD

) 1198861205751

= 120597119894119871119878119896

120597119894119871119878119896

1198861205752

= 120597119894119871119878119896

120597119894119871119891119896

1198861205753

=

120597119894119871119878119896

120597119881119878119896

1198861205754

= 120597119894119871119878119896

120597119881iREF119896

and 1198861205755

= 120597119894119871119878119896

120597119881119900119896

36 Sample-Data Small-Signal Linear Model of the Converterin Closed-Loop Conditions The sample-data small-signallinear model may be obtained by substituting 120575

119879119874119871119896

and 120575120575K(24) and (26) respectively in (22)

120575119909119896+1

= 119860MC[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

+ 119861MC [120575119881119904119896

120575119868119885119896

]

+ 119862120575(

1

Δ120575[1198861205751 119886

12057521198861205753

1198861205754

1198861205755]

[[[[[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

120575119881iREF119896

120575119881119900119896

]]]]]

]

)

+ 119863119879119874119871

(2119873119871119878

119881119878CD

[minus1

2119873minus1

2minus

119879119874119871CD

2119873119871119878

][

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

)

(27)

Table 1 Operating parameters

Supply voltage 200V plusmn 20Output voltage 48VMaximum power 250WMinimum power 50WOutput voltage ripple 25mVOutput current ripple 300mAMaximum phase 50Switching frequency 50 kHz

such that the small-signal model becomes

120575119909119896+1

= 119860119888119897120575119909119896+ 120596119888119897120575119908119888119897119896

(28)

where 120575119909119896+1

= [1205751198941015840

119871119878119896

1205751198941015840

119871119891119896

1205751198811015840

119904119896

]119879

120575119909119896

=

[120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896]1015840 and 120575119908

119888119897119896

= [120575119881119904119896

120575119881iREF119896

120575119868119885119896]119879

Equation (28) may be solved by using the 119885 transformsuch that 120575119909

119870becomes

120575119909119896= (119868119889minus 119860119888119897)minus1119885120596119888119897120575119908119888119897119896

(29)

37 Transfer Function To verify the dynamic characteristicsof the converter is necessary to analyze the transfer functionsthat relate V

119900with 119881

119904 ViREF and 119868

119911 which are the throughput

input-to-output DC voltage transfer function 119867V(119911) =

V119900(119911)V119904(119911) the control-to-output transfer function119879

119874119871(119911) =

V119900(119911)ViREF(119911) and the output impedance transfer function

119885119900(119911) = V

119900(119911)119868119911(119911) respectively

The magnitude and phase of each transfer function maybe obtained using a Bode diagram whereas the root locustechnique may be employed to describe the behaviour of thepoles and zeros of (30) One has

119867V (119911) =119911 + 1198861

1199112 + 1198871119911 + 1198881

119879119874119871 (119911) =

11988621199112+ 1198872119911 + 1198882

1199112 + 1198871119911 + 1198881

119885119900 (119911) =

11988631199112+ 1198873119911 minus 1198883

1199112 + 1198871119911 + 1198881

(30)

4 Verification of the Proposed Model

41 Prototype Operating Parameters A 250W ZVT DC-DC prototype converter was designed under the analysisdescribed in [7] to verify the large-signal model of (14)Table 1 shows the operating parameters of the converter

The steady-state output voltage 119881119874 may be calculated as

the average of the rectified voltage V119863119863

such that at full load

119881119900= 120575max119873119881in minus

41198732119868119900(max)119871119878

119879 (31)

Taking the assumption shown in (31) the converter com-ponent values must comply with the zero-voltage switching

Mathematical Problems in Engineering 9

012345

(ms)(ms)

Piece-wise analysisPiece-wise model

Simulation circuitPiece-wise analysisPiece-wise model

Simulation circuit

01234

0 01 02 03 04 05 06 07 08 09 01minus5

minus4

minus3

minus2

minus1

minus4

minus3

minus2

minus1A A

09

0902

0904

0906

0908

091

0912

0914

0916

0918

092

iL119878iL119878

Figure 6 119894119871119878

current waveform obtained with the piece-wise linear model and a Micro-Cap simulation

1

0

1

2

3

4

5

6

Piece-wise modelPiece-wise analysis

Simulation circuitPiece-wise modelPiece-wise analysis

Simulation circuit

09

902

090

4

090

6

090

8

091

091

2

091

4

091

6

091

8

092

0

1

2

3

4

5

6

7

(ms)

A

0 01 02 03 04 05 06 07 08 09minus1

(ms)

A

iL119891iL119891

Figure 7 119894119871119891

current waveform obtained with the piece-wise linear model and a Micro-Cap simulation

0

10

20

30

40

50

0

10

20

30

40

50

60

minus10

A

1

Piece-wise modelPiece-wise analysis

Simulation circuitPiece-wise modelPiece-wise analysis

Simulation circuit

0 01 02 03 04 05 06 07 08 09

(ms)

09

090

2

090

6

090

8

091

091

4

091

6

091

8

092

(ms)

A

o o

Figure 8 vo voltage waveform obtained with the piece-wise linear model and a Micro-Cap simulation

10 Mathematical Problems in Engineering

012345

0

2

4

6

Piece-wise analysisPiece-wise analysisPiece-wise model

Piece-wise modelSimulation circuitSimulation circuit

Piece-wise analysisPiece-wise analysisPiece-wise model

Piece-wise modelSimulation circuitSimulation circuit

minus6

minus4

minus2

minus4

minus3

minus1

minus2

A A

10 01 02 03 04 05 06 07 08 09

(ms)

09

090

2

090

4

090

6

090

8

091

091

2

091

4

091

6

091

8

092

(ms)

isum and SAW-iREF isum and SAW-iREF

Figure 9 Waveforms of 119894sum and VSAW minus ViREF obtained with the piece-wise linear model and a Micro-Cap simulation

Mag

nitu

de (d

B)

0

Phas

e (de

g)

101 102 103 104

Frequency (rads)

minus35

minus30

minus25

minus20

minus15

minus10

minus5

minus225

minus180

minus135

minus90

minus45

Bode Diagram (os)

Figure 10 Bode plot of Hv(z)

05

10152025303540

Mag

nitu

de (d

B)Ph

ase (

deg)

101 102 103 104minus240minus195minus150minus105minus80minus15

Frequency (rads)

Bode Diagram (oiREF)

Figure 11 Bode plot of 119879119874119871(z)

Mathematical Problems in Engineering 11

510152025

Mag

nitu

de (d

B)4590

135180225

Phas

e (de

g)101 102 103 104

Frequency (rads)

oIz)Bode Diagram (

Figure 12 Bode plot of 119885119900(119911)

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

minus1minus08minus06minus04minus02

0020406081

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 13 Root locus of119867V(119911)

Table 2 Component values used in the 250W prototype

Devices ValueStray inductance (119871

119878) 1635 uH

Filter inductor (119871119891) 528 uH

Filter capacitor (119862119891) 1218 uF

Resistive load 9216ΩTransformer turns ratio119873 0683

Table 3 Verification of the large-signal model

119883(1199051)

large-signalmodel

119883(1199051+ 119879)

large-signalmodel

119883(1199051+ 119879)

verification error

119894119871119878

minus35292 minus3529 minus35304 004119894119871119891

50171 5017 50063 02119881119900 48035 48019 48002 04

phenomena to reduce the transistor switching losses under acertain load range For instance 119871

119878should be large enough

to keep the converter operation with ZVT under a low loadcondition whilst 119873 should be small to maintain regulatedoutput voltage for a maximum input voltage The list ofparameters shown in Table 1 together with (31) defines thecomponent values that may be used to keep the DC-DCconverter operatingwith theZVTeffectwithin a load range of

Table 4 Verification of the half-cycle model

119883(1199051)

half-cyclemodel

119883(1199051+ 1198792)

half-cyclemodel

119883(1199051+ 1198792)

verification error

119894119871119878

minus3415 347 34153 0016119894119871119891

4954 4957 48431 0023119881119900 4853 4853 471245 0029

50W to 250W and the values shown in Table 2 were decidedto be appropriate for the converter design The output filtercomponents of the rectifier were determined with the outputvoltage ripple Δ119881

119874 and the filter inductor current ripple

Δ119868119874 which may be calculated by using

Δ119868119900=

2119881119900

120596119871119891

120587

2sin(cosminus1 ( 2

120587)) minus cosminus1 ( 2

120587)

Δ119881119900=

119879Δ119868119900

8119862

(32)

42 Simulation and Results The piece-wise linear model thelarge-signal model and the half-cycle model of the converterwere verified by iterative program developed in MatLab Thepiece-wise model was solved using the Runge-Kutta numeri-cal method and using a small simulation step time togetherwith 119879

119874119871and 120575 to calculate the duration of each operating

mode whereas the large-signal model and half-cycle model

12 Mathematical Problems in Engineering

Table 5 Definition of matrix for each mode of operation

Mode I

1198601

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198611

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode II

1198602

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198612

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

1

0

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode III

1198603

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198613

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

0

0

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode IV

1198604

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

minus1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198614

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

minus1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode V

1198605

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

minus1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198615

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

minus1

1

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mathematical Problems in Engineering 13

Table 5 Continued

Mode VI

1198606

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198616

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

0

1

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1minus15

minus1

minus05

0

05

1

15

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 14 Root locus of 119879119874119871(z)

Root locus

Real axis08 085 09 095 1 105 11 115 12

minus025minus02minus015minus01minus005

00050101502025

Imag

inar

y ax

is

01020304050607

0809

1120587T

Figure 15 Root locus of Z(z)

were solved by calculating 119879119874119871

and 120575 with the Newton-Raphson method Figures 6 to 9 show a comparison of theresults obtained with the piece-wise model and simulationresults obtained with Micro-Cap The waveforms plotted onthese figures are the transformer primary-side current 119894

119871119878

Figure 6 the filter inductor current 119894

119871119891

Figure 7 the outputvoltage V

119874 Figure 8 and the supply current 119894sum together with

VSAW minus ViREF Figure 9 Tables 3 and 4 show a comparison ofthe instantaneous values of the state vector obtained at theend of a full cycle in steady state conditions which verifiesthe exactitude of the large-signal model whereas Table 4

shows those of the half-cycle model Both tables list resultstogetherwith instantaneous results obtainedwithMicro-CapThe value of 119879

119874119871calculated for Modes I and IV is 0727 120583s

while 120575 is 03998Figures 10 11 and 12 show the bode diagram of the

transfer functions 119867V(119911) 119879119874119871(119911) and 119885119900(119911) calculated with

the symbolic equation tool of MatLab whilst Figures 13 14and 15 show the roots locus of119867V(119911) 119879119874119871(119911) and 119885

119900(119911)

Themagnitude of119867V(119911) at low frequency converges to thesteady-state DC of V

119900minusDC119881119904 while the magnitude of 119879119874119871

(119911)

reveals the gain of the control-to-output under dynamic

14 Mathematical Problems in Engineering

120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

04120587T05120587T06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

01120587T

01120587T

02120587T

03120587T04120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T06120587T

07120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

08120587T

09120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

010203040506070809

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

010203

040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

Figure 16 Root locus plots of119867V(119911) 119879119874119871(119911) and 119885119874(119911) obtained by ranging of 120575119872 and 119877

119904

conditions and the magnitude of 119885(119911) converges to smallvalue below and above the resonant frequency determined by1radic119871

119891+ 1198732119871

119878119862119891

The poles of119867119881(119911) are conjugates complex roots whereas

there is a single zero located at minus275 119879119874119871

(119911) is steady with again lower than 0596 dB and its poles are conjugates complexroots with two steady zeros 119885

119900(119911) is steady with a gain lower

than 00682 dB and its poles are conjugates complex rootsand there are two zeros located at minus393 and 093

To design a controller is necessary to determine thebehaviour of the poles and zeros of the transfer functionswithrespect to variations of 120575119872 and 119877

119904 Figure 16 shows diverse

root locus plots of 119867119881(119911) 119879

119874119871(119911) and 119885

119900(119911) by ranging the

values of 120575119872 and 119877119904

Mathematical Problems in Engineering 15

5 Conclusion

This paper presented the mathematical derivation of asample-data small-signal model for a ZVT DC-DC con-verter The method used a piece-wise linear analysis toobtain a large-signal model which was verified with numer-ical predictions that depend on the integration step sizeto obtain high accuracy A sample-data half-cycle linearmodel was derived using the large-signal model such thata dynamic model of the converter was obtained by usinga linear approximation A comparison of the instantaneousvalues listed in Tables 3 and 4 showed that there is closecorrespondence between the derived models and the circuitsimulation especially with the half-cycle model Also Bodediagrams and a root locus analysis showed that the controlsystem may be steady if 120575 is defined within an interval of 03to 06 119877

119904within 09VA to 12 VA andM within 0044Vs

to 01 Vs Therefore the half-cycle model is more accuratethan the large-signal model and it is useful to determinea small-signal sample-data model of the DC-DC converterfor dynamic studies The presented method may help topower electronic practitioners to derive discrete transferfunctions of soft switched DC-DC converter and understandthe dynamic behaviour of power electronic systems whichserves as a basic principle to design a controller for theconverter outer loop

Conflict of Interests

The authors declare that there is no conflict of interestsregarding the publication of this paper

Acknowledgments

The authors are grateful to the National Council of Scienceand Technology of Mexico (CONACyT) the Postgraduateand Research Department (SEPI) of the School of Mechan-ical and Electrical Engineering Campus Culhuacan of theNational Polytechnic Institute (IPN) of Mexico and theTechnological Studies Superiors of Coacalco for their encour-agement and the realization of the prototype

References

[1] F J Perez-Pinal The Electric Vehicle Design Stages Considera-tions Editorial Academica Espanola Madrid Spain 2011

[2] F J Perez-Pinal C Nunez R Alvarez and M Gallegos ldquoStepby step design of the power stage of a light electric vehiclerdquoInternational Review of Electrical Engineering vol 3 no 1 pp100ndash108 2008

[3] F J Perez-Pinal J C Kota-Renteria J C Nunez-Perez andN Al-Mutawaly ldquoHybrid conversion kit applied to publictransportation a taxi case solutionrdquo International Review onModelling and Simulations vol 6 no 2 pp 554ndash559 2013

[4] J Liu and H Peng ldquoModeling and control of a power-splithybrid vehiclerdquo IEEE Transactions on Control Systems Technol-ogy vol 16 no 6 pp 1242ndash1251 2008

[5] F J Perez-Pinal N Al-Mutawaly and J C Nunez-PerezldquoImpact of plug-in hybrid electric vehicle in distributed gener-ation and smart grid a brief reviewrdquo International Review onModelling and Simulations vol 6 no 3 pp 795ndash805 2013

[6] M Yilmaz and P T Krein ldquoReview of battery charger topolo-gies charging power levels and infrastructure for plug-inelectric and hybrid vehiclesrdquo IEEE Transactions on PowerElectronics vol 28 no 5 pp 2151ndash2169 2013

[7] A Tapia-Hernandez I Araujo-Vargas M Ponce-Silva andM Ponce-Flores ldquoDesign of a ZVT DC-DC converter withstray components integration for a public-transport electricvehiclerdquo in Proceedings of the International Symposium onPower Electronics Electrical Drives Automation and Motion(SPEEDAM rsquo10) pp 478ndash483 June 2010

Submit your manuscripts athttpwwwhindawicom

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical Problems in Engineering

Hindawi Publishing Corporationhttpwwwhindawicom

Differential EquationsInternational Journal of

Volume 2014

Applied MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Probability and StatisticsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical PhysicsAdvances in

Complex AnalysisJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

OptimizationJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

CombinatoricsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Operations ResearchAdvances in

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Function Spaces

Abstract and Applied AnalysisHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of Mathematics and Mathematical Sciences

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Algebra

Discrete Dynamics in Nature and Society

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Decision SciencesAdvances in

Discrete MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014 Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Stochastic AnalysisInternational Journal of

Page 8: Research Article Sample-Data Modeling of a Zero Voltage ... · Attribution License, which permits unrestricted use, distributio n, and reproduction in any medium, provided the original

8 Mathematical Problems in Engineering

while the slopes of 11989411986311198633

during the Mode I are named 1198923

which are contrary and of lower amplitude than 1198921 that

is g3

= minusg12N The restriction equation of 119879

119874119871may be

determined by integrating the waveforms 11989411986311198633

duringModeI

119894119871119878119896

2119873+

119894119871119891119896

2+

119881119904119896

119871119878

119879119874119871119896

= 0 (23)

The previous equation is not linear therefore it isnecessary to use theTaylor series to obtain a linearizedmodel

120575119879119874119871119896

=2119873119871119878

119881119878CD

[minus1

2119873minus1

2minus

119879119874119871CD

2119873119871119878

][

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

(24)

The restriction equation of 120575 may be obtained analyzingthe waveforms 119894

119871119878

and 119894sum with ViREF minus VSAW during Mode II(Figures 2 and 5) VSAW is determined by 119872(120575

1198961198792) and the

slope of 119894sum duringMode II named 1198922 and may be obtained

by integrating again 119894sumwhen 119877119904119894sum = ViREF minus VSAW

119877119904(119894119871119878119896

+ 1198921119879119874119871119896

+ 1198922(120575119896119879

2minus 119879119874119871119896

)) = 119881119894REF minus 119872

120575119896119879

2

(25)

The Taylor series is used to linearize the previous equa-tion and therefore the restriction equation of 120575 becomes

120575120575119896=

1

Δ120575[1198861205751 119886

12057521198861205753

1198861205754

1198861205755]

[[[[[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

120575119881iREF119896

120575119881119900119896

]]]]]

]

(26)

where Δ120575= minus(2119879)(1198721198792119877

119878+ 1(119871

119878+ (1119873

2)119871119891))(V119904CD

minus

(1119873)V0CD

) 1198861205751

= 120597119894119871119878119896

120597119894119871119878119896

1198861205752

= 120597119894119871119878119896

120597119894119871119891119896

1198861205753

=

120597119894119871119878119896

120597119881119878119896

1198861205754

= 120597119894119871119878119896

120597119881iREF119896

and 1198861205755

= 120597119894119871119878119896

120597119881119900119896

36 Sample-Data Small-Signal Linear Model of the Converterin Closed-Loop Conditions The sample-data small-signallinear model may be obtained by substituting 120575

119879119874119871119896

and 120575120575K(24) and (26) respectively in (22)

120575119909119896+1

= 119860MC[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

+ 119861MC [120575119881119904119896

120575119868119885119896

]

+ 119862120575(

1

Δ120575[1198861205751 119886

12057521198861205753

1198861205754

1198861205755]

[[[[[

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

120575119881iREF119896

120575119881119900119896

]]]]]

]

)

+ 119863119879119874119871

(2119873119871119878

119881119878CD

[minus1

2119873minus1

2minus

119879119874119871CD

2119873119871119878

][

[

120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896

]

]

)

(27)

Table 1 Operating parameters

Supply voltage 200V plusmn 20Output voltage 48VMaximum power 250WMinimum power 50WOutput voltage ripple 25mVOutput current ripple 300mAMaximum phase 50Switching frequency 50 kHz

such that the small-signal model becomes

120575119909119896+1

= 119860119888119897120575119909119896+ 120596119888119897120575119908119888119897119896

(28)

where 120575119909119896+1

= [1205751198941015840

119871119878119896

1205751198941015840

119871119891119896

1205751198811015840

119904119896

]119879

120575119909119896

=

[120575119894119871119878119896

120575119894119871119891119896

120575119881119904119896]1015840 and 120575119908

119888119897119896

= [120575119881119904119896

120575119881iREF119896

120575119868119885119896]119879

Equation (28) may be solved by using the 119885 transformsuch that 120575119909

119870becomes

120575119909119896= (119868119889minus 119860119888119897)minus1119885120596119888119897120575119908119888119897119896

(29)

37 Transfer Function To verify the dynamic characteristicsof the converter is necessary to analyze the transfer functionsthat relate V

119900with 119881

119904 ViREF and 119868

119911 which are the throughput

input-to-output DC voltage transfer function 119867V(119911) =

V119900(119911)V119904(119911) the control-to-output transfer function119879

119874119871(119911) =

V119900(119911)ViREF(119911) and the output impedance transfer function

119885119900(119911) = V

119900(119911)119868119911(119911) respectively

The magnitude and phase of each transfer function maybe obtained using a Bode diagram whereas the root locustechnique may be employed to describe the behaviour of thepoles and zeros of (30) One has

119867V (119911) =119911 + 1198861

1199112 + 1198871119911 + 1198881

119879119874119871 (119911) =

11988621199112+ 1198872119911 + 1198882

1199112 + 1198871119911 + 1198881

119885119900 (119911) =

11988631199112+ 1198873119911 minus 1198883

1199112 + 1198871119911 + 1198881

(30)

4 Verification of the Proposed Model

41 Prototype Operating Parameters A 250W ZVT DC-DC prototype converter was designed under the analysisdescribed in [7] to verify the large-signal model of (14)Table 1 shows the operating parameters of the converter

The steady-state output voltage 119881119874 may be calculated as

the average of the rectified voltage V119863119863

such that at full load

119881119900= 120575max119873119881in minus

41198732119868119900(max)119871119878

119879 (31)

Taking the assumption shown in (31) the converter com-ponent values must comply with the zero-voltage switching

Mathematical Problems in Engineering 9

012345

(ms)(ms)

Piece-wise analysisPiece-wise model

Simulation circuitPiece-wise analysisPiece-wise model

Simulation circuit

01234

0 01 02 03 04 05 06 07 08 09 01minus5

minus4

minus3

minus2

minus1

minus4

minus3

minus2

minus1A A

09

0902

0904

0906

0908

091

0912

0914

0916

0918

092

iL119878iL119878

Figure 6 119894119871119878

current waveform obtained with the piece-wise linear model and a Micro-Cap simulation

1

0

1

2

3

4

5

6

Piece-wise modelPiece-wise analysis

Simulation circuitPiece-wise modelPiece-wise analysis

Simulation circuit

09

902

090

4

090

6

090

8

091

091

2

091

4

091

6

091

8

092

0

1

2

3

4

5

6

7

(ms)

A

0 01 02 03 04 05 06 07 08 09minus1

(ms)

A

iL119891iL119891

Figure 7 119894119871119891

current waveform obtained with the piece-wise linear model and a Micro-Cap simulation

0

10

20

30

40

50

0

10

20

30

40

50

60

minus10

A

1

Piece-wise modelPiece-wise analysis

Simulation circuitPiece-wise modelPiece-wise analysis

Simulation circuit

0 01 02 03 04 05 06 07 08 09

(ms)

09

090

2

090

6

090

8

091

091

4

091

6

091

8

092

(ms)

A

o o

Figure 8 vo voltage waveform obtained with the piece-wise linear model and a Micro-Cap simulation

10 Mathematical Problems in Engineering

012345

0

2

4

6

Piece-wise analysisPiece-wise analysisPiece-wise model

Piece-wise modelSimulation circuitSimulation circuit

Piece-wise analysisPiece-wise analysisPiece-wise model

Piece-wise modelSimulation circuitSimulation circuit

minus6

minus4

minus2

minus4

minus3

minus1

minus2

A A

10 01 02 03 04 05 06 07 08 09

(ms)

09

090

2

090

4

090

6

090

8

091

091

2

091

4

091

6

091

8

092

(ms)

isum and SAW-iREF isum and SAW-iREF

Figure 9 Waveforms of 119894sum and VSAW minus ViREF obtained with the piece-wise linear model and a Micro-Cap simulation

Mag

nitu

de (d

B)

0

Phas

e (de

g)

101 102 103 104

Frequency (rads)

minus35

minus30

minus25

minus20

minus15

minus10

minus5

minus225

minus180

minus135

minus90

minus45

Bode Diagram (os)

Figure 10 Bode plot of Hv(z)

05

10152025303540

Mag

nitu

de (d

B)Ph

ase (

deg)

101 102 103 104minus240minus195minus150minus105minus80minus15

Frequency (rads)

Bode Diagram (oiREF)

Figure 11 Bode plot of 119879119874119871(z)

Mathematical Problems in Engineering 11

510152025

Mag

nitu

de (d

B)4590

135180225

Phas

e (de

g)101 102 103 104

Frequency (rads)

oIz)Bode Diagram (

Figure 12 Bode plot of 119885119900(119911)

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

minus1minus08minus06minus04minus02

0020406081

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 13 Root locus of119867V(119911)

Table 2 Component values used in the 250W prototype

Devices ValueStray inductance (119871

119878) 1635 uH

Filter inductor (119871119891) 528 uH

Filter capacitor (119862119891) 1218 uF

Resistive load 9216ΩTransformer turns ratio119873 0683

Table 3 Verification of the large-signal model

119883(1199051)

large-signalmodel

119883(1199051+ 119879)

large-signalmodel

119883(1199051+ 119879)

verification error

119894119871119878

minus35292 minus3529 minus35304 004119894119871119891

50171 5017 50063 02119881119900 48035 48019 48002 04

phenomena to reduce the transistor switching losses under acertain load range For instance 119871

119878should be large enough

to keep the converter operation with ZVT under a low loadcondition whilst 119873 should be small to maintain regulatedoutput voltage for a maximum input voltage The list ofparameters shown in Table 1 together with (31) defines thecomponent values that may be used to keep the DC-DCconverter operatingwith theZVTeffectwithin a load range of

Table 4 Verification of the half-cycle model

119883(1199051)

half-cyclemodel

119883(1199051+ 1198792)

half-cyclemodel

119883(1199051+ 1198792)

verification error

119894119871119878

minus3415 347 34153 0016119894119871119891

4954 4957 48431 0023119881119900 4853 4853 471245 0029

50W to 250W and the values shown in Table 2 were decidedto be appropriate for the converter design The output filtercomponents of the rectifier were determined with the outputvoltage ripple Δ119881

119874 and the filter inductor current ripple

Δ119868119874 which may be calculated by using

Δ119868119900=

2119881119900

120596119871119891

120587

2sin(cosminus1 ( 2

120587)) minus cosminus1 ( 2

120587)

Δ119881119900=

119879Δ119868119900

8119862

(32)

42 Simulation and Results The piece-wise linear model thelarge-signal model and the half-cycle model of the converterwere verified by iterative program developed in MatLab Thepiece-wise model was solved using the Runge-Kutta numeri-cal method and using a small simulation step time togetherwith 119879

119874119871and 120575 to calculate the duration of each operating

mode whereas the large-signal model and half-cycle model

12 Mathematical Problems in Engineering

Table 5 Definition of matrix for each mode of operation

Mode I

1198601

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198611

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode II

1198602

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198612

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

1

0

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode III

1198603

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198613

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

0

0

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode IV

1198604

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

minus1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198614

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

minus1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode V

1198605

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

minus1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198615

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

minus1

1

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mathematical Problems in Engineering 13

Table 5 Continued

Mode VI

1198606

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198616

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

0

1

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1minus15

minus1

minus05

0

05

1

15

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 14 Root locus of 119879119874119871(z)

Root locus

Real axis08 085 09 095 1 105 11 115 12

minus025minus02minus015minus01minus005

00050101502025

Imag

inar

y ax

is

01020304050607

0809

1120587T

Figure 15 Root locus of Z(z)

were solved by calculating 119879119874119871

and 120575 with the Newton-Raphson method Figures 6 to 9 show a comparison of theresults obtained with the piece-wise model and simulationresults obtained with Micro-Cap The waveforms plotted onthese figures are the transformer primary-side current 119894

119871119878

Figure 6 the filter inductor current 119894

119871119891

Figure 7 the outputvoltage V

119874 Figure 8 and the supply current 119894sum together with

VSAW minus ViREF Figure 9 Tables 3 and 4 show a comparison ofthe instantaneous values of the state vector obtained at theend of a full cycle in steady state conditions which verifiesthe exactitude of the large-signal model whereas Table 4

shows those of the half-cycle model Both tables list resultstogetherwith instantaneous results obtainedwithMicro-CapThe value of 119879

119874119871calculated for Modes I and IV is 0727 120583s

while 120575 is 03998Figures 10 11 and 12 show the bode diagram of the

transfer functions 119867V(119911) 119879119874119871(119911) and 119885119900(119911) calculated with

the symbolic equation tool of MatLab whilst Figures 13 14and 15 show the roots locus of119867V(119911) 119879119874119871(119911) and 119885

119900(119911)

Themagnitude of119867V(119911) at low frequency converges to thesteady-state DC of V

119900minusDC119881119904 while the magnitude of 119879119874119871

(119911)

reveals the gain of the control-to-output under dynamic

14 Mathematical Problems in Engineering

120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

04120587T05120587T06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

01120587T

01120587T

02120587T

03120587T04120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T06120587T

07120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

08120587T

09120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

010203040506070809

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

010203

040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

Figure 16 Root locus plots of119867V(119911) 119879119874119871(119911) and 119885119874(119911) obtained by ranging of 120575119872 and 119877

119904

conditions and the magnitude of 119885(119911) converges to smallvalue below and above the resonant frequency determined by1radic119871

119891+ 1198732119871

119878119862119891

The poles of119867119881(119911) are conjugates complex roots whereas

there is a single zero located at minus275 119879119874119871

(119911) is steady with again lower than 0596 dB and its poles are conjugates complexroots with two steady zeros 119885

119900(119911) is steady with a gain lower

than 00682 dB and its poles are conjugates complex rootsand there are two zeros located at minus393 and 093

To design a controller is necessary to determine thebehaviour of the poles and zeros of the transfer functionswithrespect to variations of 120575119872 and 119877

119904 Figure 16 shows diverse

root locus plots of 119867119881(119911) 119879

119874119871(119911) and 119885

119900(119911) by ranging the

values of 120575119872 and 119877119904

Mathematical Problems in Engineering 15

5 Conclusion

This paper presented the mathematical derivation of asample-data small-signal model for a ZVT DC-DC con-verter The method used a piece-wise linear analysis toobtain a large-signal model which was verified with numer-ical predictions that depend on the integration step sizeto obtain high accuracy A sample-data half-cycle linearmodel was derived using the large-signal model such thata dynamic model of the converter was obtained by usinga linear approximation A comparison of the instantaneousvalues listed in Tables 3 and 4 showed that there is closecorrespondence between the derived models and the circuitsimulation especially with the half-cycle model Also Bodediagrams and a root locus analysis showed that the controlsystem may be steady if 120575 is defined within an interval of 03to 06 119877

119904within 09VA to 12 VA andM within 0044Vs

to 01 Vs Therefore the half-cycle model is more accuratethan the large-signal model and it is useful to determinea small-signal sample-data model of the DC-DC converterfor dynamic studies The presented method may help topower electronic practitioners to derive discrete transferfunctions of soft switched DC-DC converter and understandthe dynamic behaviour of power electronic systems whichserves as a basic principle to design a controller for theconverter outer loop

Conflict of Interests

The authors declare that there is no conflict of interestsregarding the publication of this paper

Acknowledgments

The authors are grateful to the National Council of Scienceand Technology of Mexico (CONACyT) the Postgraduateand Research Department (SEPI) of the School of Mechan-ical and Electrical Engineering Campus Culhuacan of theNational Polytechnic Institute (IPN) of Mexico and theTechnological Studies Superiors of Coacalco for their encour-agement and the realization of the prototype

References

[1] F J Perez-Pinal The Electric Vehicle Design Stages Considera-tions Editorial Academica Espanola Madrid Spain 2011

[2] F J Perez-Pinal C Nunez R Alvarez and M Gallegos ldquoStepby step design of the power stage of a light electric vehiclerdquoInternational Review of Electrical Engineering vol 3 no 1 pp100ndash108 2008

[3] F J Perez-Pinal J C Kota-Renteria J C Nunez-Perez andN Al-Mutawaly ldquoHybrid conversion kit applied to publictransportation a taxi case solutionrdquo International Review onModelling and Simulations vol 6 no 2 pp 554ndash559 2013

[4] J Liu and H Peng ldquoModeling and control of a power-splithybrid vehiclerdquo IEEE Transactions on Control Systems Technol-ogy vol 16 no 6 pp 1242ndash1251 2008

[5] F J Perez-Pinal N Al-Mutawaly and J C Nunez-PerezldquoImpact of plug-in hybrid electric vehicle in distributed gener-ation and smart grid a brief reviewrdquo International Review onModelling and Simulations vol 6 no 3 pp 795ndash805 2013

[6] M Yilmaz and P T Krein ldquoReview of battery charger topolo-gies charging power levels and infrastructure for plug-inelectric and hybrid vehiclesrdquo IEEE Transactions on PowerElectronics vol 28 no 5 pp 2151ndash2169 2013

[7] A Tapia-Hernandez I Araujo-Vargas M Ponce-Silva andM Ponce-Flores ldquoDesign of a ZVT DC-DC converter withstray components integration for a public-transport electricvehiclerdquo in Proceedings of the International Symposium onPower Electronics Electrical Drives Automation and Motion(SPEEDAM rsquo10) pp 478ndash483 June 2010

Submit your manuscripts athttpwwwhindawicom

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical Problems in Engineering

Hindawi Publishing Corporationhttpwwwhindawicom

Differential EquationsInternational Journal of

Volume 2014

Applied MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Probability and StatisticsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical PhysicsAdvances in

Complex AnalysisJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

OptimizationJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

CombinatoricsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Operations ResearchAdvances in

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Function Spaces

Abstract and Applied AnalysisHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of Mathematics and Mathematical Sciences

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Algebra

Discrete Dynamics in Nature and Society

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Decision SciencesAdvances in

Discrete MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014 Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Stochastic AnalysisInternational Journal of

Page 9: Research Article Sample-Data Modeling of a Zero Voltage ... · Attribution License, which permits unrestricted use, distributio n, and reproduction in any medium, provided the original

Mathematical Problems in Engineering 9

012345

(ms)(ms)

Piece-wise analysisPiece-wise model

Simulation circuitPiece-wise analysisPiece-wise model

Simulation circuit

01234

0 01 02 03 04 05 06 07 08 09 01minus5

minus4

minus3

minus2

minus1

minus4

minus3

minus2

minus1A A

09

0902

0904

0906

0908

091

0912

0914

0916

0918

092

iL119878iL119878

Figure 6 119894119871119878

current waveform obtained with the piece-wise linear model and a Micro-Cap simulation

1

0

1

2

3

4

5

6

Piece-wise modelPiece-wise analysis

Simulation circuitPiece-wise modelPiece-wise analysis

Simulation circuit

09

902

090

4

090

6

090

8

091

091

2

091

4

091

6

091

8

092

0

1

2

3

4

5

6

7

(ms)

A

0 01 02 03 04 05 06 07 08 09minus1

(ms)

A

iL119891iL119891

Figure 7 119894119871119891

current waveform obtained with the piece-wise linear model and a Micro-Cap simulation

0

10

20

30

40

50

0

10

20

30

40

50

60

minus10

A

1

Piece-wise modelPiece-wise analysis

Simulation circuitPiece-wise modelPiece-wise analysis

Simulation circuit

0 01 02 03 04 05 06 07 08 09

(ms)

09

090

2

090

6

090

8

091

091

4

091

6

091

8

092

(ms)

A

o o

Figure 8 vo voltage waveform obtained with the piece-wise linear model and a Micro-Cap simulation

10 Mathematical Problems in Engineering

012345

0

2

4

6

Piece-wise analysisPiece-wise analysisPiece-wise model

Piece-wise modelSimulation circuitSimulation circuit

Piece-wise analysisPiece-wise analysisPiece-wise model

Piece-wise modelSimulation circuitSimulation circuit

minus6

minus4

minus2

minus4

minus3

minus1

minus2

A A

10 01 02 03 04 05 06 07 08 09

(ms)

09

090

2

090

4

090

6

090

8

091

091

2

091

4

091

6

091

8

092

(ms)

isum and SAW-iREF isum and SAW-iREF

Figure 9 Waveforms of 119894sum and VSAW minus ViREF obtained with the piece-wise linear model and a Micro-Cap simulation

Mag

nitu

de (d

B)

0

Phas

e (de

g)

101 102 103 104

Frequency (rads)

minus35

minus30

minus25

minus20

minus15

minus10

minus5

minus225

minus180

minus135

minus90

minus45

Bode Diagram (os)

Figure 10 Bode plot of Hv(z)

05

10152025303540

Mag

nitu

de (d

B)Ph

ase (

deg)

101 102 103 104minus240minus195minus150minus105minus80minus15

Frequency (rads)

Bode Diagram (oiREF)

Figure 11 Bode plot of 119879119874119871(z)

Mathematical Problems in Engineering 11

510152025

Mag

nitu

de (d

B)4590

135180225

Phas

e (de

g)101 102 103 104

Frequency (rads)

oIz)Bode Diagram (

Figure 12 Bode plot of 119885119900(119911)

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

minus1minus08minus06minus04minus02

0020406081

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 13 Root locus of119867V(119911)

Table 2 Component values used in the 250W prototype

Devices ValueStray inductance (119871

119878) 1635 uH

Filter inductor (119871119891) 528 uH

Filter capacitor (119862119891) 1218 uF

Resistive load 9216ΩTransformer turns ratio119873 0683

Table 3 Verification of the large-signal model

119883(1199051)

large-signalmodel

119883(1199051+ 119879)

large-signalmodel

119883(1199051+ 119879)

verification error

119894119871119878

minus35292 minus3529 minus35304 004119894119871119891

50171 5017 50063 02119881119900 48035 48019 48002 04

phenomena to reduce the transistor switching losses under acertain load range For instance 119871

119878should be large enough

to keep the converter operation with ZVT under a low loadcondition whilst 119873 should be small to maintain regulatedoutput voltage for a maximum input voltage The list ofparameters shown in Table 1 together with (31) defines thecomponent values that may be used to keep the DC-DCconverter operatingwith theZVTeffectwithin a load range of

Table 4 Verification of the half-cycle model

119883(1199051)

half-cyclemodel

119883(1199051+ 1198792)

half-cyclemodel

119883(1199051+ 1198792)

verification error

119894119871119878

minus3415 347 34153 0016119894119871119891

4954 4957 48431 0023119881119900 4853 4853 471245 0029

50W to 250W and the values shown in Table 2 were decidedto be appropriate for the converter design The output filtercomponents of the rectifier were determined with the outputvoltage ripple Δ119881

119874 and the filter inductor current ripple

Δ119868119874 which may be calculated by using

Δ119868119900=

2119881119900

120596119871119891

120587

2sin(cosminus1 ( 2

120587)) minus cosminus1 ( 2

120587)

Δ119881119900=

119879Δ119868119900

8119862

(32)

42 Simulation and Results The piece-wise linear model thelarge-signal model and the half-cycle model of the converterwere verified by iterative program developed in MatLab Thepiece-wise model was solved using the Runge-Kutta numeri-cal method and using a small simulation step time togetherwith 119879

119874119871and 120575 to calculate the duration of each operating

mode whereas the large-signal model and half-cycle model

12 Mathematical Problems in Engineering

Table 5 Definition of matrix for each mode of operation

Mode I

1198601

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198611

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode II

1198602

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198612

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

1

0

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode III

1198603

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198613

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

0

0

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode IV

1198604

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

minus1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198614

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

minus1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode V

1198605

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

minus1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198615

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

minus1

1

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mathematical Problems in Engineering 13

Table 5 Continued

Mode VI

1198606

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198616

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

0

1

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1minus15

minus1

minus05

0

05

1

15

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 14 Root locus of 119879119874119871(z)

Root locus

Real axis08 085 09 095 1 105 11 115 12

minus025minus02minus015minus01minus005

00050101502025

Imag

inar

y ax

is

01020304050607

0809

1120587T

Figure 15 Root locus of Z(z)

were solved by calculating 119879119874119871

and 120575 with the Newton-Raphson method Figures 6 to 9 show a comparison of theresults obtained with the piece-wise model and simulationresults obtained with Micro-Cap The waveforms plotted onthese figures are the transformer primary-side current 119894

119871119878

Figure 6 the filter inductor current 119894

119871119891

Figure 7 the outputvoltage V

119874 Figure 8 and the supply current 119894sum together with

VSAW minus ViREF Figure 9 Tables 3 and 4 show a comparison ofthe instantaneous values of the state vector obtained at theend of a full cycle in steady state conditions which verifiesthe exactitude of the large-signal model whereas Table 4

shows those of the half-cycle model Both tables list resultstogetherwith instantaneous results obtainedwithMicro-CapThe value of 119879

119874119871calculated for Modes I and IV is 0727 120583s

while 120575 is 03998Figures 10 11 and 12 show the bode diagram of the

transfer functions 119867V(119911) 119879119874119871(119911) and 119885119900(119911) calculated with

the symbolic equation tool of MatLab whilst Figures 13 14and 15 show the roots locus of119867V(119911) 119879119874119871(119911) and 119885

119900(119911)

Themagnitude of119867V(119911) at low frequency converges to thesteady-state DC of V

119900minusDC119881119904 while the magnitude of 119879119874119871

(119911)

reveals the gain of the control-to-output under dynamic

14 Mathematical Problems in Engineering

120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

04120587T05120587T06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

01120587T

01120587T

02120587T

03120587T04120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T06120587T

07120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

08120587T

09120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

010203040506070809

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

010203

040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

Figure 16 Root locus plots of119867V(119911) 119879119874119871(119911) and 119885119874(119911) obtained by ranging of 120575119872 and 119877

119904

conditions and the magnitude of 119885(119911) converges to smallvalue below and above the resonant frequency determined by1radic119871

119891+ 1198732119871

119878119862119891

The poles of119867119881(119911) are conjugates complex roots whereas

there is a single zero located at minus275 119879119874119871

(119911) is steady with again lower than 0596 dB and its poles are conjugates complexroots with two steady zeros 119885

119900(119911) is steady with a gain lower

than 00682 dB and its poles are conjugates complex rootsand there are two zeros located at minus393 and 093

To design a controller is necessary to determine thebehaviour of the poles and zeros of the transfer functionswithrespect to variations of 120575119872 and 119877

119904 Figure 16 shows diverse

root locus plots of 119867119881(119911) 119879

119874119871(119911) and 119885

119900(119911) by ranging the

values of 120575119872 and 119877119904

Mathematical Problems in Engineering 15

5 Conclusion

This paper presented the mathematical derivation of asample-data small-signal model for a ZVT DC-DC con-verter The method used a piece-wise linear analysis toobtain a large-signal model which was verified with numer-ical predictions that depend on the integration step sizeto obtain high accuracy A sample-data half-cycle linearmodel was derived using the large-signal model such thata dynamic model of the converter was obtained by usinga linear approximation A comparison of the instantaneousvalues listed in Tables 3 and 4 showed that there is closecorrespondence between the derived models and the circuitsimulation especially with the half-cycle model Also Bodediagrams and a root locus analysis showed that the controlsystem may be steady if 120575 is defined within an interval of 03to 06 119877

119904within 09VA to 12 VA andM within 0044Vs

to 01 Vs Therefore the half-cycle model is more accuratethan the large-signal model and it is useful to determinea small-signal sample-data model of the DC-DC converterfor dynamic studies The presented method may help topower electronic practitioners to derive discrete transferfunctions of soft switched DC-DC converter and understandthe dynamic behaviour of power electronic systems whichserves as a basic principle to design a controller for theconverter outer loop

Conflict of Interests

The authors declare that there is no conflict of interestsregarding the publication of this paper

Acknowledgments

The authors are grateful to the National Council of Scienceand Technology of Mexico (CONACyT) the Postgraduateand Research Department (SEPI) of the School of Mechan-ical and Electrical Engineering Campus Culhuacan of theNational Polytechnic Institute (IPN) of Mexico and theTechnological Studies Superiors of Coacalco for their encour-agement and the realization of the prototype

References

[1] F J Perez-Pinal The Electric Vehicle Design Stages Considera-tions Editorial Academica Espanola Madrid Spain 2011

[2] F J Perez-Pinal C Nunez R Alvarez and M Gallegos ldquoStepby step design of the power stage of a light electric vehiclerdquoInternational Review of Electrical Engineering vol 3 no 1 pp100ndash108 2008

[3] F J Perez-Pinal J C Kota-Renteria J C Nunez-Perez andN Al-Mutawaly ldquoHybrid conversion kit applied to publictransportation a taxi case solutionrdquo International Review onModelling and Simulations vol 6 no 2 pp 554ndash559 2013

[4] J Liu and H Peng ldquoModeling and control of a power-splithybrid vehiclerdquo IEEE Transactions on Control Systems Technol-ogy vol 16 no 6 pp 1242ndash1251 2008

[5] F J Perez-Pinal N Al-Mutawaly and J C Nunez-PerezldquoImpact of plug-in hybrid electric vehicle in distributed gener-ation and smart grid a brief reviewrdquo International Review onModelling and Simulations vol 6 no 3 pp 795ndash805 2013

[6] M Yilmaz and P T Krein ldquoReview of battery charger topolo-gies charging power levels and infrastructure for plug-inelectric and hybrid vehiclesrdquo IEEE Transactions on PowerElectronics vol 28 no 5 pp 2151ndash2169 2013

[7] A Tapia-Hernandez I Araujo-Vargas M Ponce-Silva andM Ponce-Flores ldquoDesign of a ZVT DC-DC converter withstray components integration for a public-transport electricvehiclerdquo in Proceedings of the International Symposium onPower Electronics Electrical Drives Automation and Motion(SPEEDAM rsquo10) pp 478ndash483 June 2010

Submit your manuscripts athttpwwwhindawicom

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical Problems in Engineering

Hindawi Publishing Corporationhttpwwwhindawicom

Differential EquationsInternational Journal of

Volume 2014

Applied MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Probability and StatisticsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical PhysicsAdvances in

Complex AnalysisJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

OptimizationJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

CombinatoricsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Operations ResearchAdvances in

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Function Spaces

Abstract and Applied AnalysisHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of Mathematics and Mathematical Sciences

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Algebra

Discrete Dynamics in Nature and Society

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Decision SciencesAdvances in

Discrete MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014 Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Stochastic AnalysisInternational Journal of

Page 10: Research Article Sample-Data Modeling of a Zero Voltage ... · Attribution License, which permits unrestricted use, distributio n, and reproduction in any medium, provided the original

10 Mathematical Problems in Engineering

012345

0

2

4

6

Piece-wise analysisPiece-wise analysisPiece-wise model

Piece-wise modelSimulation circuitSimulation circuit

Piece-wise analysisPiece-wise analysisPiece-wise model

Piece-wise modelSimulation circuitSimulation circuit

minus6

minus4

minus2

minus4

minus3

minus1

minus2

A A

10 01 02 03 04 05 06 07 08 09

(ms)

09

090

2

090

4

090

6

090

8

091

091

2

091

4

091

6

091

8

092

(ms)

isum and SAW-iREF isum and SAW-iREF

Figure 9 Waveforms of 119894sum and VSAW minus ViREF obtained with the piece-wise linear model and a Micro-Cap simulation

Mag

nitu

de (d

B)

0

Phas

e (de

g)

101 102 103 104

Frequency (rads)

minus35

minus30

minus25

minus20

minus15

minus10

minus5

minus225

minus180

minus135

minus90

minus45

Bode Diagram (os)

Figure 10 Bode plot of Hv(z)

05

10152025303540

Mag

nitu

de (d

B)Ph

ase (

deg)

101 102 103 104minus240minus195minus150minus105minus80minus15

Frequency (rads)

Bode Diagram (oiREF)

Figure 11 Bode plot of 119879119874119871(z)

Mathematical Problems in Engineering 11

510152025

Mag

nitu

de (d

B)4590

135180225

Phas

e (de

g)101 102 103 104

Frequency (rads)

oIz)Bode Diagram (

Figure 12 Bode plot of 119885119900(119911)

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

minus1minus08minus06minus04minus02

0020406081

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 13 Root locus of119867V(119911)

Table 2 Component values used in the 250W prototype

Devices ValueStray inductance (119871

119878) 1635 uH

Filter inductor (119871119891) 528 uH

Filter capacitor (119862119891) 1218 uF

Resistive load 9216ΩTransformer turns ratio119873 0683

Table 3 Verification of the large-signal model

119883(1199051)

large-signalmodel

119883(1199051+ 119879)

large-signalmodel

119883(1199051+ 119879)

verification error

119894119871119878

minus35292 minus3529 minus35304 004119894119871119891

50171 5017 50063 02119881119900 48035 48019 48002 04

phenomena to reduce the transistor switching losses under acertain load range For instance 119871

119878should be large enough

to keep the converter operation with ZVT under a low loadcondition whilst 119873 should be small to maintain regulatedoutput voltage for a maximum input voltage The list ofparameters shown in Table 1 together with (31) defines thecomponent values that may be used to keep the DC-DCconverter operatingwith theZVTeffectwithin a load range of

Table 4 Verification of the half-cycle model

119883(1199051)

half-cyclemodel

119883(1199051+ 1198792)

half-cyclemodel

119883(1199051+ 1198792)

verification error

119894119871119878

minus3415 347 34153 0016119894119871119891

4954 4957 48431 0023119881119900 4853 4853 471245 0029

50W to 250W and the values shown in Table 2 were decidedto be appropriate for the converter design The output filtercomponents of the rectifier were determined with the outputvoltage ripple Δ119881

119874 and the filter inductor current ripple

Δ119868119874 which may be calculated by using

Δ119868119900=

2119881119900

120596119871119891

120587

2sin(cosminus1 ( 2

120587)) minus cosminus1 ( 2

120587)

Δ119881119900=

119879Δ119868119900

8119862

(32)

42 Simulation and Results The piece-wise linear model thelarge-signal model and the half-cycle model of the converterwere verified by iterative program developed in MatLab Thepiece-wise model was solved using the Runge-Kutta numeri-cal method and using a small simulation step time togetherwith 119879

119874119871and 120575 to calculate the duration of each operating

mode whereas the large-signal model and half-cycle model

12 Mathematical Problems in Engineering

Table 5 Definition of matrix for each mode of operation

Mode I

1198601

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198611

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode II

1198602

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198612

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

1

0

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode III

1198603

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198613

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

0

0

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode IV

1198604

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

minus1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198614

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

minus1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode V

1198605

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

minus1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198615

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

minus1

1

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mathematical Problems in Engineering 13

Table 5 Continued

Mode VI

1198606

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198616

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

0

1

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1minus15

minus1

minus05

0

05

1

15

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 14 Root locus of 119879119874119871(z)

Root locus

Real axis08 085 09 095 1 105 11 115 12

minus025minus02minus015minus01minus005

00050101502025

Imag

inar

y ax

is

01020304050607

0809

1120587T

Figure 15 Root locus of Z(z)

were solved by calculating 119879119874119871

and 120575 with the Newton-Raphson method Figures 6 to 9 show a comparison of theresults obtained with the piece-wise model and simulationresults obtained with Micro-Cap The waveforms plotted onthese figures are the transformer primary-side current 119894

119871119878

Figure 6 the filter inductor current 119894

119871119891

Figure 7 the outputvoltage V

119874 Figure 8 and the supply current 119894sum together with

VSAW minus ViREF Figure 9 Tables 3 and 4 show a comparison ofthe instantaneous values of the state vector obtained at theend of a full cycle in steady state conditions which verifiesthe exactitude of the large-signal model whereas Table 4

shows those of the half-cycle model Both tables list resultstogetherwith instantaneous results obtainedwithMicro-CapThe value of 119879

119874119871calculated for Modes I and IV is 0727 120583s

while 120575 is 03998Figures 10 11 and 12 show the bode diagram of the

transfer functions 119867V(119911) 119879119874119871(119911) and 119885119900(119911) calculated with

the symbolic equation tool of MatLab whilst Figures 13 14and 15 show the roots locus of119867V(119911) 119879119874119871(119911) and 119885

119900(119911)

Themagnitude of119867V(119911) at low frequency converges to thesteady-state DC of V

119900minusDC119881119904 while the magnitude of 119879119874119871

(119911)

reveals the gain of the control-to-output under dynamic

14 Mathematical Problems in Engineering

120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

04120587T05120587T06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

01120587T

01120587T

02120587T

03120587T04120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T06120587T

07120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

08120587T

09120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

010203040506070809

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

010203

040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

Figure 16 Root locus plots of119867V(119911) 119879119874119871(119911) and 119885119874(119911) obtained by ranging of 120575119872 and 119877

119904

conditions and the magnitude of 119885(119911) converges to smallvalue below and above the resonant frequency determined by1radic119871

119891+ 1198732119871

119878119862119891

The poles of119867119881(119911) are conjugates complex roots whereas

there is a single zero located at minus275 119879119874119871

(119911) is steady with again lower than 0596 dB and its poles are conjugates complexroots with two steady zeros 119885

119900(119911) is steady with a gain lower

than 00682 dB and its poles are conjugates complex rootsand there are two zeros located at minus393 and 093

To design a controller is necessary to determine thebehaviour of the poles and zeros of the transfer functionswithrespect to variations of 120575119872 and 119877

119904 Figure 16 shows diverse

root locus plots of 119867119881(119911) 119879

119874119871(119911) and 119885

119900(119911) by ranging the

values of 120575119872 and 119877119904

Mathematical Problems in Engineering 15

5 Conclusion

This paper presented the mathematical derivation of asample-data small-signal model for a ZVT DC-DC con-verter The method used a piece-wise linear analysis toobtain a large-signal model which was verified with numer-ical predictions that depend on the integration step sizeto obtain high accuracy A sample-data half-cycle linearmodel was derived using the large-signal model such thata dynamic model of the converter was obtained by usinga linear approximation A comparison of the instantaneousvalues listed in Tables 3 and 4 showed that there is closecorrespondence between the derived models and the circuitsimulation especially with the half-cycle model Also Bodediagrams and a root locus analysis showed that the controlsystem may be steady if 120575 is defined within an interval of 03to 06 119877

119904within 09VA to 12 VA andM within 0044Vs

to 01 Vs Therefore the half-cycle model is more accuratethan the large-signal model and it is useful to determinea small-signal sample-data model of the DC-DC converterfor dynamic studies The presented method may help topower electronic practitioners to derive discrete transferfunctions of soft switched DC-DC converter and understandthe dynamic behaviour of power electronic systems whichserves as a basic principle to design a controller for theconverter outer loop

Conflict of Interests

The authors declare that there is no conflict of interestsregarding the publication of this paper

Acknowledgments

The authors are grateful to the National Council of Scienceand Technology of Mexico (CONACyT) the Postgraduateand Research Department (SEPI) of the School of Mechan-ical and Electrical Engineering Campus Culhuacan of theNational Polytechnic Institute (IPN) of Mexico and theTechnological Studies Superiors of Coacalco for their encour-agement and the realization of the prototype

References

[1] F J Perez-Pinal The Electric Vehicle Design Stages Considera-tions Editorial Academica Espanola Madrid Spain 2011

[2] F J Perez-Pinal C Nunez R Alvarez and M Gallegos ldquoStepby step design of the power stage of a light electric vehiclerdquoInternational Review of Electrical Engineering vol 3 no 1 pp100ndash108 2008

[3] F J Perez-Pinal J C Kota-Renteria J C Nunez-Perez andN Al-Mutawaly ldquoHybrid conversion kit applied to publictransportation a taxi case solutionrdquo International Review onModelling and Simulations vol 6 no 2 pp 554ndash559 2013

[4] J Liu and H Peng ldquoModeling and control of a power-splithybrid vehiclerdquo IEEE Transactions on Control Systems Technol-ogy vol 16 no 6 pp 1242ndash1251 2008

[5] F J Perez-Pinal N Al-Mutawaly and J C Nunez-PerezldquoImpact of plug-in hybrid electric vehicle in distributed gener-ation and smart grid a brief reviewrdquo International Review onModelling and Simulations vol 6 no 3 pp 795ndash805 2013

[6] M Yilmaz and P T Krein ldquoReview of battery charger topolo-gies charging power levels and infrastructure for plug-inelectric and hybrid vehiclesrdquo IEEE Transactions on PowerElectronics vol 28 no 5 pp 2151ndash2169 2013

[7] A Tapia-Hernandez I Araujo-Vargas M Ponce-Silva andM Ponce-Flores ldquoDesign of a ZVT DC-DC converter withstray components integration for a public-transport electricvehiclerdquo in Proceedings of the International Symposium onPower Electronics Electrical Drives Automation and Motion(SPEEDAM rsquo10) pp 478ndash483 June 2010

Submit your manuscripts athttpwwwhindawicom

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical Problems in Engineering

Hindawi Publishing Corporationhttpwwwhindawicom

Differential EquationsInternational Journal of

Volume 2014

Applied MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Probability and StatisticsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical PhysicsAdvances in

Complex AnalysisJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

OptimizationJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

CombinatoricsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Operations ResearchAdvances in

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Function Spaces

Abstract and Applied AnalysisHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of Mathematics and Mathematical Sciences

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Algebra

Discrete Dynamics in Nature and Society

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Decision SciencesAdvances in

Discrete MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014 Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Stochastic AnalysisInternational Journal of

Page 11: Research Article Sample-Data Modeling of a Zero Voltage ... · Attribution License, which permits unrestricted use, distributio n, and reproduction in any medium, provided the original

Mathematical Problems in Engineering 11

510152025

Mag

nitu

de (d

B)4590

135180225

Phas

e (de

g)101 102 103 104

Frequency (rads)

oIz)Bode Diagram (

Figure 12 Bode plot of 119885119900(119911)

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

minus1minus08minus06minus04minus02

0020406081

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 13 Root locus of119867V(119911)

Table 2 Component values used in the 250W prototype

Devices ValueStray inductance (119871

119878) 1635 uH

Filter inductor (119871119891) 528 uH

Filter capacitor (119862119891) 1218 uF

Resistive load 9216ΩTransformer turns ratio119873 0683

Table 3 Verification of the large-signal model

119883(1199051)

large-signalmodel

119883(1199051+ 119879)

large-signalmodel

119883(1199051+ 119879)

verification error

119894119871119878

minus35292 minus3529 minus35304 004119894119871119891

50171 5017 50063 02119881119900 48035 48019 48002 04

phenomena to reduce the transistor switching losses under acertain load range For instance 119871

119878should be large enough

to keep the converter operation with ZVT under a low loadcondition whilst 119873 should be small to maintain regulatedoutput voltage for a maximum input voltage The list ofparameters shown in Table 1 together with (31) defines thecomponent values that may be used to keep the DC-DCconverter operatingwith theZVTeffectwithin a load range of

Table 4 Verification of the half-cycle model

119883(1199051)

half-cyclemodel

119883(1199051+ 1198792)

half-cyclemodel

119883(1199051+ 1198792)

verification error

119894119871119878

minus3415 347 34153 0016119894119871119891

4954 4957 48431 0023119881119900 4853 4853 471245 0029

50W to 250W and the values shown in Table 2 were decidedto be appropriate for the converter design The output filtercomponents of the rectifier were determined with the outputvoltage ripple Δ119881

119874 and the filter inductor current ripple

Δ119868119874 which may be calculated by using

Δ119868119900=

2119881119900

120596119871119891

120587

2sin(cosminus1 ( 2

120587)) minus cosminus1 ( 2

120587)

Δ119881119900=

119879Δ119868119900

8119862

(32)

42 Simulation and Results The piece-wise linear model thelarge-signal model and the half-cycle model of the converterwere verified by iterative program developed in MatLab Thepiece-wise model was solved using the Runge-Kutta numeri-cal method and using a small simulation step time togetherwith 119879

119874119871and 120575 to calculate the duration of each operating

mode whereas the large-signal model and half-cycle model

12 Mathematical Problems in Engineering

Table 5 Definition of matrix for each mode of operation

Mode I

1198601

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198611

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode II

1198602

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198612

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

1

0

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode III

1198603

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198613

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

0

0

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode IV

1198604

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

minus1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198614

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

minus1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode V

1198605

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

minus1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198615

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

minus1

1

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mathematical Problems in Engineering 13

Table 5 Continued

Mode VI

1198606

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198616

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

0

1

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1minus15

minus1

minus05

0

05

1

15

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 14 Root locus of 119879119874119871(z)

Root locus

Real axis08 085 09 095 1 105 11 115 12

minus025minus02minus015minus01minus005

00050101502025

Imag

inar

y ax

is

01020304050607

0809

1120587T

Figure 15 Root locus of Z(z)

were solved by calculating 119879119874119871

and 120575 with the Newton-Raphson method Figures 6 to 9 show a comparison of theresults obtained with the piece-wise model and simulationresults obtained with Micro-Cap The waveforms plotted onthese figures are the transformer primary-side current 119894

119871119878

Figure 6 the filter inductor current 119894

119871119891

Figure 7 the outputvoltage V

119874 Figure 8 and the supply current 119894sum together with

VSAW minus ViREF Figure 9 Tables 3 and 4 show a comparison ofthe instantaneous values of the state vector obtained at theend of a full cycle in steady state conditions which verifiesthe exactitude of the large-signal model whereas Table 4

shows those of the half-cycle model Both tables list resultstogetherwith instantaneous results obtainedwithMicro-CapThe value of 119879

119874119871calculated for Modes I and IV is 0727 120583s

while 120575 is 03998Figures 10 11 and 12 show the bode diagram of the

transfer functions 119867V(119911) 119879119874119871(119911) and 119885119900(119911) calculated with

the symbolic equation tool of MatLab whilst Figures 13 14and 15 show the roots locus of119867V(119911) 119879119874119871(119911) and 119885

119900(119911)

Themagnitude of119867V(119911) at low frequency converges to thesteady-state DC of V

119900minusDC119881119904 while the magnitude of 119879119874119871

(119911)

reveals the gain of the control-to-output under dynamic

14 Mathematical Problems in Engineering

120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

04120587T05120587T06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

01120587T

01120587T

02120587T

03120587T04120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T06120587T

07120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

08120587T

09120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

010203040506070809

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

010203

040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

Figure 16 Root locus plots of119867V(119911) 119879119874119871(119911) and 119885119874(119911) obtained by ranging of 120575119872 and 119877

119904

conditions and the magnitude of 119885(119911) converges to smallvalue below and above the resonant frequency determined by1radic119871

119891+ 1198732119871

119878119862119891

The poles of119867119881(119911) are conjugates complex roots whereas

there is a single zero located at minus275 119879119874119871

(119911) is steady with again lower than 0596 dB and its poles are conjugates complexroots with two steady zeros 119885

119900(119911) is steady with a gain lower

than 00682 dB and its poles are conjugates complex rootsand there are two zeros located at minus393 and 093

To design a controller is necessary to determine thebehaviour of the poles and zeros of the transfer functionswithrespect to variations of 120575119872 and 119877

119904 Figure 16 shows diverse

root locus plots of 119867119881(119911) 119879

119874119871(119911) and 119885

119900(119911) by ranging the

values of 120575119872 and 119877119904

Mathematical Problems in Engineering 15

5 Conclusion

This paper presented the mathematical derivation of asample-data small-signal model for a ZVT DC-DC con-verter The method used a piece-wise linear analysis toobtain a large-signal model which was verified with numer-ical predictions that depend on the integration step sizeto obtain high accuracy A sample-data half-cycle linearmodel was derived using the large-signal model such thata dynamic model of the converter was obtained by usinga linear approximation A comparison of the instantaneousvalues listed in Tables 3 and 4 showed that there is closecorrespondence between the derived models and the circuitsimulation especially with the half-cycle model Also Bodediagrams and a root locus analysis showed that the controlsystem may be steady if 120575 is defined within an interval of 03to 06 119877

119904within 09VA to 12 VA andM within 0044Vs

to 01 Vs Therefore the half-cycle model is more accuratethan the large-signal model and it is useful to determinea small-signal sample-data model of the DC-DC converterfor dynamic studies The presented method may help topower electronic practitioners to derive discrete transferfunctions of soft switched DC-DC converter and understandthe dynamic behaviour of power electronic systems whichserves as a basic principle to design a controller for theconverter outer loop

Conflict of Interests

The authors declare that there is no conflict of interestsregarding the publication of this paper

Acknowledgments

The authors are grateful to the National Council of Scienceand Technology of Mexico (CONACyT) the Postgraduateand Research Department (SEPI) of the School of Mechan-ical and Electrical Engineering Campus Culhuacan of theNational Polytechnic Institute (IPN) of Mexico and theTechnological Studies Superiors of Coacalco for their encour-agement and the realization of the prototype

References

[1] F J Perez-Pinal The Electric Vehicle Design Stages Considera-tions Editorial Academica Espanola Madrid Spain 2011

[2] F J Perez-Pinal C Nunez R Alvarez and M Gallegos ldquoStepby step design of the power stage of a light electric vehiclerdquoInternational Review of Electrical Engineering vol 3 no 1 pp100ndash108 2008

[3] F J Perez-Pinal J C Kota-Renteria J C Nunez-Perez andN Al-Mutawaly ldquoHybrid conversion kit applied to publictransportation a taxi case solutionrdquo International Review onModelling and Simulations vol 6 no 2 pp 554ndash559 2013

[4] J Liu and H Peng ldquoModeling and control of a power-splithybrid vehiclerdquo IEEE Transactions on Control Systems Technol-ogy vol 16 no 6 pp 1242ndash1251 2008

[5] F J Perez-Pinal N Al-Mutawaly and J C Nunez-PerezldquoImpact of plug-in hybrid electric vehicle in distributed gener-ation and smart grid a brief reviewrdquo International Review onModelling and Simulations vol 6 no 3 pp 795ndash805 2013

[6] M Yilmaz and P T Krein ldquoReview of battery charger topolo-gies charging power levels and infrastructure for plug-inelectric and hybrid vehiclesrdquo IEEE Transactions on PowerElectronics vol 28 no 5 pp 2151ndash2169 2013

[7] A Tapia-Hernandez I Araujo-Vargas M Ponce-Silva andM Ponce-Flores ldquoDesign of a ZVT DC-DC converter withstray components integration for a public-transport electricvehiclerdquo in Proceedings of the International Symposium onPower Electronics Electrical Drives Automation and Motion(SPEEDAM rsquo10) pp 478ndash483 June 2010

Submit your manuscripts athttpwwwhindawicom

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical Problems in Engineering

Hindawi Publishing Corporationhttpwwwhindawicom

Differential EquationsInternational Journal of

Volume 2014

Applied MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Probability and StatisticsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical PhysicsAdvances in

Complex AnalysisJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

OptimizationJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

CombinatoricsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Operations ResearchAdvances in

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Function Spaces

Abstract and Applied AnalysisHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of Mathematics and Mathematical Sciences

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Algebra

Discrete Dynamics in Nature and Society

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Decision SciencesAdvances in

Discrete MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014 Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Stochastic AnalysisInternational Journal of

Page 12: Research Article Sample-Data Modeling of a Zero Voltage ... · Attribution License, which permits unrestricted use, distributio n, and reproduction in any medium, provided the original

12 Mathematical Problems in Engineering

Table 5 Definition of matrix for each mode of operation

Mode I

1198601

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198611

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode II

1198602

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198612

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

1

0

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode III

1198603

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0 minus119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198613

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 1 0

0 1 0

0

0

0

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode IV

1198604

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[

[

0 0 0

0 0 minus1

119871119891

01

119862119891

minus1

119877119862119891

]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[

[

minus1

119871119878

0

0 0

01

119862119891

]]]]]

]

[119881119904

119868119885

]

1198614

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[[[[[[[

[

1

1198730 0

1

2119873

1

20

minus1

2119873

minus1

1

2

0

0

0

]]]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mode V

1198605

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+

[[[[[[[[

[

minus1198732

(1198732119871119878+ 119871119891)

0

119873

(1198732119871119878+ 119871119891)

0

01

119862119891

]]]]]]]]

]

[119881119904

119868119885

]

1198615

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

minus1

1

119873

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Mathematical Problems in Engineering 13

Table 5 Continued

Mode VI

1198606

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198616

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

0

1

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1minus15

minus1

minus05

0

05

1

15

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 14 Root locus of 119879119874119871(z)

Root locus

Real axis08 085 09 095 1 105 11 115 12

minus025minus02minus015minus01minus005

00050101502025

Imag

inar

y ax

is

01020304050607

0809

1120587T

Figure 15 Root locus of Z(z)

were solved by calculating 119879119874119871

and 120575 with the Newton-Raphson method Figures 6 to 9 show a comparison of theresults obtained with the piece-wise model and simulationresults obtained with Micro-Cap The waveforms plotted onthese figures are the transformer primary-side current 119894

119871119878

Figure 6 the filter inductor current 119894

119871119891

Figure 7 the outputvoltage V

119874 Figure 8 and the supply current 119894sum together with

VSAW minus ViREF Figure 9 Tables 3 and 4 show a comparison ofthe instantaneous values of the state vector obtained at theend of a full cycle in steady state conditions which verifiesthe exactitude of the large-signal model whereas Table 4

shows those of the half-cycle model Both tables list resultstogetherwith instantaneous results obtainedwithMicro-CapThe value of 119879

119874119871calculated for Modes I and IV is 0727 120583s

while 120575 is 03998Figures 10 11 and 12 show the bode diagram of the

transfer functions 119867V(119911) 119879119874119871(119911) and 119885119900(119911) calculated with

the symbolic equation tool of MatLab whilst Figures 13 14and 15 show the roots locus of119867V(119911) 119879119874119871(119911) and 119885

119900(119911)

Themagnitude of119867V(119911) at low frequency converges to thesteady-state DC of V

119900minusDC119881119904 while the magnitude of 119879119874119871

(119911)

reveals the gain of the control-to-output under dynamic

14 Mathematical Problems in Engineering

120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

04120587T05120587T06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

01120587T

01120587T

02120587T

03120587T04120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T06120587T

07120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

08120587T

09120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

010203040506070809

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

010203

040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

Figure 16 Root locus plots of119867V(119911) 119879119874119871(119911) and 119885119874(119911) obtained by ranging of 120575119872 and 119877

119904

conditions and the magnitude of 119885(119911) converges to smallvalue below and above the resonant frequency determined by1radic119871

119891+ 1198732119871

119878119862119891

The poles of119867119881(119911) are conjugates complex roots whereas

there is a single zero located at minus275 119879119874119871

(119911) is steady with again lower than 0596 dB and its poles are conjugates complexroots with two steady zeros 119885

119900(119911) is steady with a gain lower

than 00682 dB and its poles are conjugates complex rootsand there are two zeros located at minus393 and 093

To design a controller is necessary to determine thebehaviour of the poles and zeros of the transfer functionswithrespect to variations of 120575119872 and 119877

119904 Figure 16 shows diverse

root locus plots of 119867119881(119911) 119879

119874119871(119911) and 119885

119900(119911) by ranging the

values of 120575119872 and 119877119904

Mathematical Problems in Engineering 15

5 Conclusion

This paper presented the mathematical derivation of asample-data small-signal model for a ZVT DC-DC con-verter The method used a piece-wise linear analysis toobtain a large-signal model which was verified with numer-ical predictions that depend on the integration step sizeto obtain high accuracy A sample-data half-cycle linearmodel was derived using the large-signal model such thata dynamic model of the converter was obtained by usinga linear approximation A comparison of the instantaneousvalues listed in Tables 3 and 4 showed that there is closecorrespondence between the derived models and the circuitsimulation especially with the half-cycle model Also Bodediagrams and a root locus analysis showed that the controlsystem may be steady if 120575 is defined within an interval of 03to 06 119877

119904within 09VA to 12 VA andM within 0044Vs

to 01 Vs Therefore the half-cycle model is more accuratethan the large-signal model and it is useful to determinea small-signal sample-data model of the DC-DC converterfor dynamic studies The presented method may help topower electronic practitioners to derive discrete transferfunctions of soft switched DC-DC converter and understandthe dynamic behaviour of power electronic systems whichserves as a basic principle to design a controller for theconverter outer loop

Conflict of Interests

The authors declare that there is no conflict of interestsregarding the publication of this paper

Acknowledgments

The authors are grateful to the National Council of Scienceand Technology of Mexico (CONACyT) the Postgraduateand Research Department (SEPI) of the School of Mechan-ical and Electrical Engineering Campus Culhuacan of theNational Polytechnic Institute (IPN) of Mexico and theTechnological Studies Superiors of Coacalco for their encour-agement and the realization of the prototype

References

[1] F J Perez-Pinal The Electric Vehicle Design Stages Considera-tions Editorial Academica Espanola Madrid Spain 2011

[2] F J Perez-Pinal C Nunez R Alvarez and M Gallegos ldquoStepby step design of the power stage of a light electric vehiclerdquoInternational Review of Electrical Engineering vol 3 no 1 pp100ndash108 2008

[3] F J Perez-Pinal J C Kota-Renteria J C Nunez-Perez andN Al-Mutawaly ldquoHybrid conversion kit applied to publictransportation a taxi case solutionrdquo International Review onModelling and Simulations vol 6 no 2 pp 554ndash559 2013

[4] J Liu and H Peng ldquoModeling and control of a power-splithybrid vehiclerdquo IEEE Transactions on Control Systems Technol-ogy vol 16 no 6 pp 1242ndash1251 2008

[5] F J Perez-Pinal N Al-Mutawaly and J C Nunez-PerezldquoImpact of plug-in hybrid electric vehicle in distributed gener-ation and smart grid a brief reviewrdquo International Review onModelling and Simulations vol 6 no 3 pp 795ndash805 2013

[6] M Yilmaz and P T Krein ldquoReview of battery charger topolo-gies charging power levels and infrastructure for plug-inelectric and hybrid vehiclesrdquo IEEE Transactions on PowerElectronics vol 28 no 5 pp 2151ndash2169 2013

[7] A Tapia-Hernandez I Araujo-Vargas M Ponce-Silva andM Ponce-Flores ldquoDesign of a ZVT DC-DC converter withstray components integration for a public-transport electricvehiclerdquo in Proceedings of the International Symposium onPower Electronics Electrical Drives Automation and Motion(SPEEDAM rsquo10) pp 478ndash483 June 2010

Submit your manuscripts athttpwwwhindawicom

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical Problems in Engineering

Hindawi Publishing Corporationhttpwwwhindawicom

Differential EquationsInternational Journal of

Volume 2014

Applied MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Probability and StatisticsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical PhysicsAdvances in

Complex AnalysisJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

OptimizationJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

CombinatoricsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Operations ResearchAdvances in

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Function Spaces

Abstract and Applied AnalysisHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of Mathematics and Mathematical Sciences

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Algebra

Discrete Dynamics in Nature and Society

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Decision SciencesAdvances in

Discrete MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014 Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Stochastic AnalysisInternational Journal of

Page 13: Research Article Sample-Data Modeling of a Zero Voltage ... · Attribution License, which permits unrestricted use, distributio n, and reproduction in any medium, provided the original

Mathematical Problems in Engineering 13

Table 5 Continued

Mode VI

1198606

[[

[

1198941015840

119871119878

1198941015840

119871119891

1198811015840

119900

]]

]

=

[[[[[[[[

[

0 0119873

(1198732119871119878+ 119871119891)

0 0 minus1

(1198732119871119878+ 119871119891)

01

119862119891

minus1

119877119862119891

]]]]]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[[

[

0 0

0 0

01

119862119891

]]]

]

[119881119904

119868119885

]

1198616

[[[[

[

119894sec1198941198631

1198941198632

119894sum

]]]]

]

=

[[[[

[

0 minus1 0

0 0 0

0

0

1

0

0

0

]]]]

]

[[

[

119894119871119878

119894119871119891

119881119900

]]

]

+[[

[

0 0

0 0

0 0

]]

]

[119881119904

119868119885

]

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1minus15

minus1

minus05

0

05

1

15

Real axis

Imag

inar

y ax

is

010203040506070809

03 120587T

1120587T01 120587T

01 120587T

02 120587T

02 120587T03 120587T

04 120587T

04 120587T

05 120587T

05 120587T

06 120587T

06 120587T

07 120587T

07 120587T

08 120587T

08 120587T

09 120587T

09 120587T1120587T

Figure 14 Root locus of 119879119874119871(z)

Root locus

Real axis08 085 09 095 1 105 11 115 12

minus025minus02minus015minus01minus005

00050101502025

Imag

inar

y ax

is

01020304050607

0809

1120587T

Figure 15 Root locus of Z(z)

were solved by calculating 119879119874119871

and 120575 with the Newton-Raphson method Figures 6 to 9 show a comparison of theresults obtained with the piece-wise model and simulationresults obtained with Micro-Cap The waveforms plotted onthese figures are the transformer primary-side current 119894

119871119878

Figure 6 the filter inductor current 119894

119871119891

Figure 7 the outputvoltage V

119874 Figure 8 and the supply current 119894sum together with

VSAW minus ViREF Figure 9 Tables 3 and 4 show a comparison ofthe instantaneous values of the state vector obtained at theend of a full cycle in steady state conditions which verifiesthe exactitude of the large-signal model whereas Table 4

shows those of the half-cycle model Both tables list resultstogetherwith instantaneous results obtainedwithMicro-CapThe value of 119879

119874119871calculated for Modes I and IV is 0727 120583s

while 120575 is 03998Figures 10 11 and 12 show the bode diagram of the

transfer functions 119867V(119911) 119879119874119871(119911) and 119885119900(119911) calculated with

the symbolic equation tool of MatLab whilst Figures 13 14and 15 show the roots locus of119867V(119911) 119879119874119871(119911) and 119885

119900(119911)

Themagnitude of119867V(119911) at low frequency converges to thesteady-state DC of V

119900minusDC119881119904 while the magnitude of 119879119874119871

(119911)

reveals the gain of the control-to-output under dynamic

14 Mathematical Problems in Engineering

120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

04120587T05120587T06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

01120587T

01120587T

02120587T

03120587T04120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T06120587T

07120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

08120587T

09120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

010203040506070809

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

010203

040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

Figure 16 Root locus plots of119867V(119911) 119879119874119871(119911) and 119885119874(119911) obtained by ranging of 120575119872 and 119877

119904

conditions and the magnitude of 119885(119911) converges to smallvalue below and above the resonant frequency determined by1radic119871

119891+ 1198732119871

119878119862119891

The poles of119867119881(119911) are conjugates complex roots whereas

there is a single zero located at minus275 119879119874119871

(119911) is steady with again lower than 0596 dB and its poles are conjugates complexroots with two steady zeros 119885

119900(119911) is steady with a gain lower

than 00682 dB and its poles are conjugates complex rootsand there are two zeros located at minus393 and 093

To design a controller is necessary to determine thebehaviour of the poles and zeros of the transfer functionswithrespect to variations of 120575119872 and 119877

119904 Figure 16 shows diverse

root locus plots of 119867119881(119911) 119879

119874119871(119911) and 119885

119900(119911) by ranging the

values of 120575119872 and 119877119904

Mathematical Problems in Engineering 15

5 Conclusion

This paper presented the mathematical derivation of asample-data small-signal model for a ZVT DC-DC con-verter The method used a piece-wise linear analysis toobtain a large-signal model which was verified with numer-ical predictions that depend on the integration step sizeto obtain high accuracy A sample-data half-cycle linearmodel was derived using the large-signal model such thata dynamic model of the converter was obtained by usinga linear approximation A comparison of the instantaneousvalues listed in Tables 3 and 4 showed that there is closecorrespondence between the derived models and the circuitsimulation especially with the half-cycle model Also Bodediagrams and a root locus analysis showed that the controlsystem may be steady if 120575 is defined within an interval of 03to 06 119877

119904within 09VA to 12 VA andM within 0044Vs

to 01 Vs Therefore the half-cycle model is more accuratethan the large-signal model and it is useful to determinea small-signal sample-data model of the DC-DC converterfor dynamic studies The presented method may help topower electronic practitioners to derive discrete transferfunctions of soft switched DC-DC converter and understandthe dynamic behaviour of power electronic systems whichserves as a basic principle to design a controller for theconverter outer loop

Conflict of Interests

The authors declare that there is no conflict of interestsregarding the publication of this paper

Acknowledgments

The authors are grateful to the National Council of Scienceand Technology of Mexico (CONACyT) the Postgraduateand Research Department (SEPI) of the School of Mechan-ical and Electrical Engineering Campus Culhuacan of theNational Polytechnic Institute (IPN) of Mexico and theTechnological Studies Superiors of Coacalco for their encour-agement and the realization of the prototype

References

[1] F J Perez-Pinal The Electric Vehicle Design Stages Considera-tions Editorial Academica Espanola Madrid Spain 2011

[2] F J Perez-Pinal C Nunez R Alvarez and M Gallegos ldquoStepby step design of the power stage of a light electric vehiclerdquoInternational Review of Electrical Engineering vol 3 no 1 pp100ndash108 2008

[3] F J Perez-Pinal J C Kota-Renteria J C Nunez-Perez andN Al-Mutawaly ldquoHybrid conversion kit applied to publictransportation a taxi case solutionrdquo International Review onModelling and Simulations vol 6 no 2 pp 554ndash559 2013

[4] J Liu and H Peng ldquoModeling and control of a power-splithybrid vehiclerdquo IEEE Transactions on Control Systems Technol-ogy vol 16 no 6 pp 1242ndash1251 2008

[5] F J Perez-Pinal N Al-Mutawaly and J C Nunez-PerezldquoImpact of plug-in hybrid electric vehicle in distributed gener-ation and smart grid a brief reviewrdquo International Review onModelling and Simulations vol 6 no 3 pp 795ndash805 2013

[6] M Yilmaz and P T Krein ldquoReview of battery charger topolo-gies charging power levels and infrastructure for plug-inelectric and hybrid vehiclesrdquo IEEE Transactions on PowerElectronics vol 28 no 5 pp 2151ndash2169 2013

[7] A Tapia-Hernandez I Araujo-Vargas M Ponce-Silva andM Ponce-Flores ldquoDesign of a ZVT DC-DC converter withstray components integration for a public-transport electricvehiclerdquo in Proceedings of the International Symposium onPower Electronics Electrical Drives Automation and Motion(SPEEDAM rsquo10) pp 478ndash483 June 2010

Submit your manuscripts athttpwwwhindawicom

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical Problems in Engineering

Hindawi Publishing Corporationhttpwwwhindawicom

Differential EquationsInternational Journal of

Volume 2014

Applied MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Probability and StatisticsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical PhysicsAdvances in

Complex AnalysisJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

OptimizationJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

CombinatoricsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Operations ResearchAdvances in

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Function Spaces

Abstract and Applied AnalysisHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of Mathematics and Mathematical Sciences

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Algebra

Discrete Dynamics in Nature and Society

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Decision SciencesAdvances in

Discrete MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014 Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Stochastic AnalysisInternational Journal of

Page 14: Research Article Sample-Data Modeling of a Zero Voltage ... · Attribution License, which permits unrestricted use, distributio n, and reproduction in any medium, provided the original

14 Mathematical Problems in Engineering

120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

04120587T05120587T06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02120575min

120575max

Mmin

Mmax

H(z) TOL(z) Z(z)

RSmin

RSmax

002040608

1

Root locusIm

agin

ary

axis

Real axis

0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T04120587T

05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

01120587T

01120587T

02120587T

03120587T04120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T06120587T

07120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

08120587T

09120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus2minus3 minus1 0 1 2 3

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

08120587T

08120587T

09120587T

09120587T

1120587T1120587T

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

1120587T01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

Root locus

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus1

minus05

0

05

1

15

1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T08 120587T

09 120587T

1120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

Imag

inar

y ax

is

minus15

minus1

minus05

0

05

1

15

010203040506070809

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T1120587T

01 120587T

02 120587T

03 120587T

04 120587T05 120587T06 120587T

07 120587T

08 120587T

09 120587T

010203040506070809

01 120587T

02 120587T

03 120587T04 120587T

05 120587T06 120587T

07 120587T

08 120587T

09 120587T

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2minus15

minus1

minus05

0

05

1

15

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15 2

1120587T

01120587T

02120587T03120587T

04120587T05120587T06120587T07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Real axis

minus02 0 02 04 06 08 1 12 14 16 18

010203040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

0 02 04 06 08 1

120587T

120587T

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

minus1minus08minus06minus04minus02

002040608

1

Root locus

Imag

inar

y ax

is

Real axis

minus1 minus08 minus06 minus04 minus02 0 02 04 06 08 1

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01 120587T

02120587T

03120587T04120587T05120587T

06120587T07120587T

08120587T

09120587T

minus1

minus08

minus06

minus04

minus02

0

02

04

06

08

1Root locus

Imag

inar

y ax

is

Real axis

minus1 minus05 0 05 1 15

1120587T

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

1120587T

010203040506070809

01120587T

02120587T

03120587T

04120587T05120587T06120587T

07120587T

08120587T

09120587T

minus1 minus08 minus06 minus04 minus02

minus1

minus08

minus06

minus04

minus02

0102

03040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

010203

040506070809

01120587T

01120587T

02120587T

02120587T

03120587T

03120587T

04120587T

04120587T

05120587T

05120587T

06120587T

06120587T

07120587T

07120587T

1120587T1120587T

08120587T

08120587T

09120587T

09120587T

Figure 16 Root locus plots of119867V(119911) 119879119874119871(119911) and 119885119874(119911) obtained by ranging of 120575119872 and 119877

119904

conditions and the magnitude of 119885(119911) converges to smallvalue below and above the resonant frequency determined by1radic119871

119891+ 1198732119871

119878119862119891

The poles of119867119881(119911) are conjugates complex roots whereas

there is a single zero located at minus275 119879119874119871

(119911) is steady with again lower than 0596 dB and its poles are conjugates complexroots with two steady zeros 119885

119900(119911) is steady with a gain lower

than 00682 dB and its poles are conjugates complex rootsand there are two zeros located at minus393 and 093

To design a controller is necessary to determine thebehaviour of the poles and zeros of the transfer functionswithrespect to variations of 120575119872 and 119877

119904 Figure 16 shows diverse

root locus plots of 119867119881(119911) 119879

119874119871(119911) and 119885

119900(119911) by ranging the

values of 120575119872 and 119877119904

Mathematical Problems in Engineering 15

5 Conclusion

This paper presented the mathematical derivation of asample-data small-signal model for a ZVT DC-DC con-verter The method used a piece-wise linear analysis toobtain a large-signal model which was verified with numer-ical predictions that depend on the integration step sizeto obtain high accuracy A sample-data half-cycle linearmodel was derived using the large-signal model such thata dynamic model of the converter was obtained by usinga linear approximation A comparison of the instantaneousvalues listed in Tables 3 and 4 showed that there is closecorrespondence between the derived models and the circuitsimulation especially with the half-cycle model Also Bodediagrams and a root locus analysis showed that the controlsystem may be steady if 120575 is defined within an interval of 03to 06 119877

119904within 09VA to 12 VA andM within 0044Vs

to 01 Vs Therefore the half-cycle model is more accuratethan the large-signal model and it is useful to determinea small-signal sample-data model of the DC-DC converterfor dynamic studies The presented method may help topower electronic practitioners to derive discrete transferfunctions of soft switched DC-DC converter and understandthe dynamic behaviour of power electronic systems whichserves as a basic principle to design a controller for theconverter outer loop

Conflict of Interests

The authors declare that there is no conflict of interestsregarding the publication of this paper

Acknowledgments

The authors are grateful to the National Council of Scienceand Technology of Mexico (CONACyT) the Postgraduateand Research Department (SEPI) of the School of Mechan-ical and Electrical Engineering Campus Culhuacan of theNational Polytechnic Institute (IPN) of Mexico and theTechnological Studies Superiors of Coacalco for their encour-agement and the realization of the prototype

References

[1] F J Perez-Pinal The Electric Vehicle Design Stages Considera-tions Editorial Academica Espanola Madrid Spain 2011

[2] F J Perez-Pinal C Nunez R Alvarez and M Gallegos ldquoStepby step design of the power stage of a light electric vehiclerdquoInternational Review of Electrical Engineering vol 3 no 1 pp100ndash108 2008

[3] F J Perez-Pinal J C Kota-Renteria J C Nunez-Perez andN Al-Mutawaly ldquoHybrid conversion kit applied to publictransportation a taxi case solutionrdquo International Review onModelling and Simulations vol 6 no 2 pp 554ndash559 2013

[4] J Liu and H Peng ldquoModeling and control of a power-splithybrid vehiclerdquo IEEE Transactions on Control Systems Technol-ogy vol 16 no 6 pp 1242ndash1251 2008

[5] F J Perez-Pinal N Al-Mutawaly and J C Nunez-PerezldquoImpact of plug-in hybrid electric vehicle in distributed gener-ation and smart grid a brief reviewrdquo International Review onModelling and Simulations vol 6 no 3 pp 795ndash805 2013

[6] M Yilmaz and P T Krein ldquoReview of battery charger topolo-gies charging power levels and infrastructure for plug-inelectric and hybrid vehiclesrdquo IEEE Transactions on PowerElectronics vol 28 no 5 pp 2151ndash2169 2013

[7] A Tapia-Hernandez I Araujo-Vargas M Ponce-Silva andM Ponce-Flores ldquoDesign of a ZVT DC-DC converter withstray components integration for a public-transport electricvehiclerdquo in Proceedings of the International Symposium onPower Electronics Electrical Drives Automation and Motion(SPEEDAM rsquo10) pp 478ndash483 June 2010

Submit your manuscripts athttpwwwhindawicom

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical Problems in Engineering

Hindawi Publishing Corporationhttpwwwhindawicom

Differential EquationsInternational Journal of

Volume 2014

Applied MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Probability and StatisticsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical PhysicsAdvances in

Complex AnalysisJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

OptimizationJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

CombinatoricsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Operations ResearchAdvances in

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Function Spaces

Abstract and Applied AnalysisHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of Mathematics and Mathematical Sciences

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Algebra

Discrete Dynamics in Nature and Society

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Decision SciencesAdvances in

Discrete MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014 Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Stochastic AnalysisInternational Journal of

Page 15: Research Article Sample-Data Modeling of a Zero Voltage ... · Attribution License, which permits unrestricted use, distributio n, and reproduction in any medium, provided the original

Mathematical Problems in Engineering 15

5 Conclusion

This paper presented the mathematical derivation of asample-data small-signal model for a ZVT DC-DC con-verter The method used a piece-wise linear analysis toobtain a large-signal model which was verified with numer-ical predictions that depend on the integration step sizeto obtain high accuracy A sample-data half-cycle linearmodel was derived using the large-signal model such thata dynamic model of the converter was obtained by usinga linear approximation A comparison of the instantaneousvalues listed in Tables 3 and 4 showed that there is closecorrespondence between the derived models and the circuitsimulation especially with the half-cycle model Also Bodediagrams and a root locus analysis showed that the controlsystem may be steady if 120575 is defined within an interval of 03to 06 119877

119904within 09VA to 12 VA andM within 0044Vs

to 01 Vs Therefore the half-cycle model is more accuratethan the large-signal model and it is useful to determinea small-signal sample-data model of the DC-DC converterfor dynamic studies The presented method may help topower electronic practitioners to derive discrete transferfunctions of soft switched DC-DC converter and understandthe dynamic behaviour of power electronic systems whichserves as a basic principle to design a controller for theconverter outer loop

Conflict of Interests

The authors declare that there is no conflict of interestsregarding the publication of this paper

Acknowledgments

The authors are grateful to the National Council of Scienceand Technology of Mexico (CONACyT) the Postgraduateand Research Department (SEPI) of the School of Mechan-ical and Electrical Engineering Campus Culhuacan of theNational Polytechnic Institute (IPN) of Mexico and theTechnological Studies Superiors of Coacalco for their encour-agement and the realization of the prototype

References

[1] F J Perez-Pinal The Electric Vehicle Design Stages Considera-tions Editorial Academica Espanola Madrid Spain 2011

[2] F J Perez-Pinal C Nunez R Alvarez and M Gallegos ldquoStepby step design of the power stage of a light electric vehiclerdquoInternational Review of Electrical Engineering vol 3 no 1 pp100ndash108 2008

[3] F J Perez-Pinal J C Kota-Renteria J C Nunez-Perez andN Al-Mutawaly ldquoHybrid conversion kit applied to publictransportation a taxi case solutionrdquo International Review onModelling and Simulations vol 6 no 2 pp 554ndash559 2013

[4] J Liu and H Peng ldquoModeling and control of a power-splithybrid vehiclerdquo IEEE Transactions on Control Systems Technol-ogy vol 16 no 6 pp 1242ndash1251 2008

[5] F J Perez-Pinal N Al-Mutawaly and J C Nunez-PerezldquoImpact of plug-in hybrid electric vehicle in distributed gener-ation and smart grid a brief reviewrdquo International Review onModelling and Simulations vol 6 no 3 pp 795ndash805 2013

[6] M Yilmaz and P T Krein ldquoReview of battery charger topolo-gies charging power levels and infrastructure for plug-inelectric and hybrid vehiclesrdquo IEEE Transactions on PowerElectronics vol 28 no 5 pp 2151ndash2169 2013

[7] A Tapia-Hernandez I Araujo-Vargas M Ponce-Silva andM Ponce-Flores ldquoDesign of a ZVT DC-DC converter withstray components integration for a public-transport electricvehiclerdquo in Proceedings of the International Symposium onPower Electronics Electrical Drives Automation and Motion(SPEEDAM rsquo10) pp 478ndash483 June 2010

Submit your manuscripts athttpwwwhindawicom

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical Problems in Engineering

Hindawi Publishing Corporationhttpwwwhindawicom

Differential EquationsInternational Journal of

Volume 2014

Applied MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Probability and StatisticsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical PhysicsAdvances in

Complex AnalysisJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

OptimizationJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

CombinatoricsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Operations ResearchAdvances in

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Function Spaces

Abstract and Applied AnalysisHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of Mathematics and Mathematical Sciences

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Algebra

Discrete Dynamics in Nature and Society

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Decision SciencesAdvances in

Discrete MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014 Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Stochastic AnalysisInternational Journal of

Page 16: Research Article Sample-Data Modeling of a Zero Voltage ... · Attribution License, which permits unrestricted use, distributio n, and reproduction in any medium, provided the original

Submit your manuscripts athttpwwwhindawicom

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical Problems in Engineering

Hindawi Publishing Corporationhttpwwwhindawicom

Differential EquationsInternational Journal of

Volume 2014

Applied MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Probability and StatisticsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Mathematical PhysicsAdvances in

Complex AnalysisJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

OptimizationJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

CombinatoricsHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Operations ResearchAdvances in

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Function Spaces

Abstract and Applied AnalysisHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of Mathematics and Mathematical Sciences

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Algebra

Discrete Dynamics in Nature and Society

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Decision SciencesAdvances in

Discrete MathematicsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014 Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Stochastic AnalysisInternational Journal of