Prolith Modeling - Frontier Homepage Powered by...

31
ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling Prolith Simulation INPUTS Database of input files Film Stack Photo resist Add a film to stack Resist thickness and Softbake mask Exp tool Focus expos ure PEB Develop CD metrology and Process latitude window Specifications

Transcript of Prolith Modeling - Frontier Homepage Powered by...

Page 1: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

1

Prolith Modeling

• Prolith Simulation INPUTS

Database of input

files

Film Stack

Photo resist

Add a film to stack

Resist thickness

and Softbake

mask

Exp tool

Focus exposure

PEB

Develop

CD metrology and Process latitude window Specifications

Page 2: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

2

Prolith Modeling

• Prolith Simulation OUTPUTS:

Aerial Image

Simulation Sets

Single run simulation photoresist profile

Develop time contours

Image in reisist

PAC conc Pre-PEB

PAC conc Post-PEB

Page 3: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

3

Prolith Modeling Resist file .res• [Version]

• 7.2 <<<Prolith Version• [Parameters]• IX500EL :Resist Name• JSR ;Resist Vendor• 1 ;Read Only• 1 ;Resist Type (0=Negative, 1=Positive)• 0 ;Resist Type (0=Conventional, 1=Chemically Amplified)• 1 ;Number of Developers

• 1 ;Dev model (1=Mack, 2=Enhanced, 3=Notch) << Mack Model for Develop<< Mack Model for Develop• PD523AD ;Developer Used << TMAH Developer• 85.000 ;Development Rmax (nm/s) < Max Develop Rate 100% exposed photoresist• 0.009 ;Development Rmin (nm/s) < Min Develop Rate unexposed photoresist• 0.060 ;Development Mth << PAC threshold value in for Mack Model• 5.800 ;Development n << Development selectivity for models. High value higher contrast

• 0.050 ;Surface Development Rate << Develop rate relative to bulk <1.0 less rate at surface

• 10.000 ;Inhibition Depth (nm) <<,the depth for transition between surface rate and bulk rate• 34.320 ;Thermal Decomp. Ea(kcal/mole) << Activation energy for PAC decomp rate• 36.800 ;Thermal Decomp. ln(Ar) (1/s) << logarithm of the Arrhenius coefficient for PAC decomp• 35.000 ;PEB Diffusivity Ea (kcal/mole) << Activation energy for PEB diffusion• 49.350 ;PEB Diffusivity Ln(Ar) (nm2/s) << logarithm of the Arrhenius coefficient for PEB

Page 4: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

4

Prolith Modeling Resist file .res

• File : I-Line JSR IX500EL Continued…….. • [Parameters]

• IX500EL :Resist Name

• ;ABC data is in the following format:

• ;wavelength A B C Unexposed n Completely Exposed n

• ; (nm) (1/um) (1/um) (cm2/mJ)

• [ABC Data]

• 365.000 0.551 0.066 0.0141 1.740 1.740 << Dills ABC and refractive indices for pre and post exposure

Page 5: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

5

Prolith Simulation Example: JSR IX965G 8500A

• 2D Simulations run on feature 0.5 x 1.0 um slot :

• The following was found: for achieving the proper CD and having a centered process:

• 0.5 um feature width: 210 mj/cm2 -0.5um focus• 1.0 um feature width: 350 mj/cm2 -0.5um focus• Further process optimization and/or mask biasing will

be required to achieve the desired CDs.

Page 6: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

6

Prolith Simulation Example: JSR IX965G 8500AProlith Settings Summary

Page 7: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

7

Prolith Simulation Example: JSR IX965G 8500AProlith settings

Page 8: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

8

Prolith Simulation Example: JSR IX965G 8500AProlith settings

[Version] 7.2 [Parameters]

IX965G ;Resist Name JSR ;Resist Vendor

1 ;Read Only1 ;Resist Tone (0=Negative, 1=Positive)0 ;Resist Type (0=Conventional, 1=Chemically Amplified)1 ;Number of Developers1 ;Dev model (1=Mack, 2=Enhanced, 3=Notch)PD523AD, 23C ;Developer Used

183.000 ;Development Rmax (nm/s)0.006 ;Development Rmin (nm/s)0.450 ;Development Mth15.000 ;Development n0.300 ;Surface Development Rate200.000 ;Inhibition Depth (nm)34.320 ;Thermal Decomp. Ea(kcal/mole)36.800 ;Thermal Decomp. ln(Ar) (1/s)35.000 ;PEB Diffusivity Ea (kcal/mole)49.350 ;PEB Diffusivity Ln(Ar) (nm2/s);ABC data is in the following format:;wavelength A B C Unexposed n Completely Exposed n ; (nm) (1/um) (1/um) (cm2/mJ)[ABC Data]

365.000 0.790 0.050 0.0120 1.700 1.700

Page 9: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

9

Prolith Simulation Example: JSR IX965G 8500AProlith settings

Process latitude window Specifications

Page 10: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

10

Prolith Simulation Example: JSR IX965G 8500AProlith settings 0.5um dimension

Page 11: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

11

Prolith Simulation Example: JSR IX965G 8500AProlith settings

• Dose to size 197 mj/cm2: 0.5um dimension

Page 12: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

12

Prolith Simulation Example: JSR IX965G 8500AProcess Window: 0.5um dimension

Page 13: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

13

Prolith Simulation Example: JSR IX965G 8500AProcess Window: 0.5um dimension

Page 14: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

14

Prolith Simulation Example: JSR IX965G 8500AProcess Window: 0.5um dimension

Page 15: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

15

Prolith Simulation Example: JSR IX965G 8500AProlith settings 1.0um dimension

Page 16: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

16

Prolith Simulation Example: JSR IX965G 8500ACD size 1.0um dimension

• 1.00um dimension Dose 197 mj/cm2� dose to achieve the 0.5um width dimension

Page 17: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

17

Prolith Simulation Example: JSR IX965G 8500A1.00um Dimension FEM

Page 18: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

18

Prolith Simulation Example: JSR IX965G 8500A1.0um length SEM Array Process Window

Page 19: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

19

Prolith Simulation Example: JSR IX965G 8500A1.0um length Process Window

Page 20: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

20

Prolith Modeling Calibration of Swing Curve : Making Simulation match actual data Procedure

• PROLITH MODELING METHODOLOGY: The following is a step by step instructions for simulation calibration of a specific photoresist using Prolith as used on a specified process layer.

• 1. Generate an actual swing curve on the process layer being characterized by varying thephotoresist thickness plus and minus approximately 1000A in 100A increments. Vary the thickness by varying the COATER spin speed RPMs. Prior to running this test, it is assumed that one has generated a plot of photoresist thickness Vs COATER RPM. Assure that the correct Cauchy values for this photoresist ( obtain these dispersion coefficient values from the vendor) are used in the Prometrix tool when the thickness are measured.

• 2. Vary the doses on each wafer ( execute an FEM routine but keep the focus constant) to assure that the nominal CD is obtained at the CD max. or CD min. point on the curve. Also runEo exposes on the wafers to obtain additional information.

• 3. Measure the CD feature of concern using the KLA 8100 CD -SEM on each wafer at 5 different exposure doses.

Page 21: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

21

Prolith Modeling Calibration of Swing Curve : Making Simulation match actual data Procedure

• 4. Plot CD at a fixed dose Vs Photoresist thickness in an Excel spreadsheet. These curves are labeled ACTUAL DATA @ XX mj/cm2 dose.

• 5. Obtain the actual film thickness and optical constants (n real refractive index and extinction coefficient k) at the actinic radiation wavelength ( i-line 365nm) for all films under thephotoresist.

• 6. Setup the Parameter tables in Prolith 6.03 or higher for the substrate ( use actual film thickness and optical constants at i-line 365nm for all films under the photoresist ; film 1 isphotoresist on top), photoresist ( obtain ABC and develop parameters from vendor), Softbaketime/ temperature and photoresist thickness, critical dimension size and pitch, stepper exposure tool (define NA, sigma, and wavelength), nominal dose and focus setting that one used to achieve the desired CD at the desired photoresist thickness (CD max for linewidth and CD min. for spacewidth ), PEB time and temperature, develop time, and feature measurement metrology. For feature measurement metrology one can set the process specification CD limits and measurement tool threshold %.

Page 22: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

22

Prolith Modeling Calibration of Swing Curve : Making Simulation match actual data Procedure

• Note: Based on my experience over the years using Prolith the AB and Development parameters Rmax and Rmin supplied by the vendor seem to be good enough to obtain very good results. Very good results mean a 5% to 8% error between simulator and actual data.

• 7. Run a Prolith simulated swing curve using the first revision setting defined in 6 above and the same thickness range and increment you used in generating the actual swing curve.

• 8. Import the Prolith simulated swing curve in the Excel spreadsheet sheet containing the actual swing curve. This is done by Holding down the CONTROL key and clicking and dragging the graph into the spreadsheet. One will need to transpose the data and change the thickness from nanometers to Angstroms and the CDs from nanometers to microns.

• 9. Add this simulated data to the actual swing curve plot.

Page 23: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

23

Prolith Modeling Calibration of Swing Curve : Making Simulation match actual data Procedure

• 10. If the phase of the actual and simulated is off adjust the refractive index of the photoresistin Prolith and re-run the simulation. If the simulated curve is to the left of the actual curve for a linewidth decrease the unexposed and exposed n refractive index values. It means that the simulated photoresist thin films interference effect is incorrect.

• 11. If the amplitude of the actual and simulated is off adjust the Dill C parameter of thephotoresist in Prolith and re-run the simulation. If the simulated curve is lower than the actual curve for a linewidth decrease the C value. It means that the simulated photoresist photospeedis too fast ( assuming a linewidth).

• 12. Repeat steps 10 and 11 until the simulated curve matches the actual curve for that substrate. Once this is done you have “calibrated” the simulator for that photoresist. One can now simulate with good confidence the effects of changing the exposure tool, the thin films under the resist, and the thin film thickness under the photoresist for example.

Page 24: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

24

Prolith Modeling Effect of Swing Curve Calibration EXAMPLE: JSR IX405 on Polysilicon

JSR IX405 SWING CURVE ON POLYSILICON ASM 5500/100 365nm Processing: SB 90C/ PEB 110C/ LDD-26W SSP 60sec

0.45

0.5

0.55

0.6

0.65

0.7

10000 10100 10200 10300 10400 10500 10600 10700 10800 10900 11000 11100 11200 11300 11400 11500 11600 11700 11800 11900 12000 12100 12200 12300 12400 12500 12600 12700 12800 12900 13000

IX405 THICKNESS A

PH

OT

OR

ES

IST

CD

mic

ron

s

0.6u CD 80mj/cm2 actual

PROLITH 6.03 0.6u 70 mj/cm2

Page 25: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

25

Prolith Simulation Example: JSR IX965G 8500A.res Photoresist file

[Version] 7.2 [Parameters]

IX965G ;Resist Name JSR ;Resist Vendor1 ;Read Only1 ;Resist Tone (0=Negative, 1=Positive)0 ;Resist Type (0=Conventional, 1=Chemically Amplified)1 ;Number of Developers1 ;Dev model (1=Mack, 2=Enhanced, 3=Notch)PD523AD, 23C ;Developer Used183.000 ;Development Rmax (nm/s)0.006 ;Development Rmin (nm/s)0.450 ;Development Mth15.000 ;Development n0.300 ;Surface Development Rate200.000 ;Inhibition Depth (nm)34.320 ;Thermal Decomp. Ea(kcal/mole)36.800 ;Thermal Decomp. ln(Ar) (1/s)35.000 ;PEB Diffusivity Ea (kcal/mole)49.350 ;PEB Diffusivity Ln(Ar) (nm2/s);ABC data is in the following format:

;wavelength A B C Unexposedn Completely Exposed n ; (nm) (1/um) (1/um) (cm2/mJ)

[ABC Data]365.0000.790 0.050 0.0120 1.700 1.700

Page 26: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

26

Prolith Modeling Effect of Dills B, C, and n on swing Curve

PROLITH Swing CURVES: n , Dill B and C effects IX965G

750770790810830850870890910930950970990

101010301050

800 820 840 860 880 900 920 940 960 980 1000

IX965G Thickness nm

CD

sp

ace

nm

CD nm n =1.70 b = 0.05c =0.012 cm2/mjCD nm n =1.60 b = 0.05 c =0.012 cm2/mjCD nm n =1.60 b = 0.05 c =0.010 cm2/mjCD nm n =1.60 b = 0.45 c =0.010 cm2/mj

CCCC

BBBB

n

Page 27: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

27

Prolith Modeling Effect of Dills B, C, and n on Swing Curve

Prolith Modeling parameters ( Swing Curve Calibration)

PROLITH JSR IX405 on Silicon

JSR IX405 on Polysilicon

4400A

JSR IX405 on Production

lot

Effect on Calibration

A 0.91 0.91 0.91 Swing ampitude &

sidewall B 0.09 0.09 0.09 Swing

ampitude & sidewall

C 0.018 0.024 0.018 Adjusts dose cal.

n unexposed 1.71 1.71 1.71 Adjusts swing phase

n exposed 1.704 1.704 1.704 Adjusts swing phase

Rmax nm/sEc 173 173 173 Dose cal. Rmin nm/sEc 0.02 0.02 0.02 Dose cal.

Dev Mth 0.38 0.38 0.38 Dose cal. Dev n 3 3 3 sidewall

Surface rate 0.3 0.3 0.3 sidewall Inhibition depth nm 200 200 200 sidewall

feature 0.6 dense line 0.7 dense line 0.6 dense line Dose used for

sizing swing curve mj/cm2

70 80 100

Page 28: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

28

Prolith Modeling: Examples Resist file .res

• File : THMR-iP3150 ;Resist Name

• TOK ;Resist Vendor

• NMD-W(2.38%) ;Developer Used• 300.000 ;Development Rmax (nm/s)• 0.015 ;Development Rmin (nm/s)• 0.310 ;Development Mth• 5.200 ;Development n• 0.300 ;Surface Development Rate • 111.000 ;Inhibition Depth (nm)• 34.320 ;Thermal Decomp. Ea(kcal/mole)• 36.800 ;Thermal Decomp. ln(Ar) (1/s)• 35.000 ;PEB Diffusivity Ea (kcal/mole)• 49.360 ;PEB Diffusivity Ln(Ar) (nm2/s)

• ;ABC data is in the following format: • ;wavelength A B C Unexposed n Completely Exposed n• ; (nm) (1/um) (1/um) (cm2/mJ)• [ABC Data]• 365.000 0.973 0.104 0.0161 1.665 1.665

Page 29: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

29

Prolith Modeling: Examples Resist file .res

• File : THMR-iP3600 ;Resist Name• TOK ;Resist Vendor• NMD-W(2.38%) ;Developer Used• 182.000 ;Development Rmax (nm/s)• 0.001 ;Development Rmin (nm/s)• 0.390 ;Development Mth• 22.500 ;Development n• 0.620 ;Surface Development Rate • 120.000 ;Inhibition Depth (nm)• 34.320 ;Thermal Decomp. Ea(kcal/mole)• 36.800 ;Thermal Decomp. ln(Ar) (1/s)• 35.000 ;PEB Diffusivity Ea (kcal/mole)• 48.810 ;PEB Diffusivity Ln(Ar) (nm2/s)

• ;ABC data is in the following format: • ;wavelength A B C Unexposed n Completely Exposed n• ; (nm) (1/um) (1/um) (cm2/mJ)• [ABC Data]• 365.000 1.010 0.102 0.0154 1.680 1.680

Page 30: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

30

Prolith Modeling: Examples Resist file .res

• File : IX170 ;Resist Name• JSR ;Resist Vendor

• NMD-3, 20C ;Developer Used• 105.784 ;Development Rmax (nm/s)• 0.003 ;Development Rmin (nm/s)• 0.250 ;Development Mth• 5.140 ;Development n• 1.000 ;Surface Development Rate • 100.000 ;Inhibition Depth (nm)• 34.320 ;Thermal Decomp. Ea(kcal/mole)• 36.800 ;Thermal Decomp. ln(Ar) (1/s)• 35.000 ;PEB Diffusivity Ea (kcal/mole)• 49.350 ;PEB Diffusivity Ln(Ar) (nm2/s)

• ;ABC data is in the following format: • ;wavelength A B C Unexposed n Completely Exposed n• ; (nm) (1/um) (1/um) (cm2/mJ)• [ABC Data]• 365.000 0.860 0.089 0.0100 1.700 1.700

Page 31: Prolith Modeling - Frontier Homepage Powered by Yahoomyplace.frontier.com/~stevebrainerd1...ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd 1 Prolith Modeling • Prolith Simulation

ECE-580-MPE Prolith_Modelling.ppt Steve Brainerd

31

Prolith Modeling: Examples Resist file .res

• File : THMR-iP5200 ;Resist Name• TOK ;Resist Vendor• NMD-W(2.38%) ;Developer Used• 200.000 ;Development Rmax (nm/s)• 0.050 ;Development Rmin (nm/s)• 0.450 ;Development Mth• 2.650 ;Development n• 0.250 ;Surface Development Rate • 72.000 ;Inhibition Depth (nm)• 34.320 ;Thermal Decomp. Ea(kcal/mole)• 36.800 ;Thermal Decomp. ln(Ar) (1/s)• 35.000 ;PEB Diffusivity Ea (kcal/mole)• 48.750 ;PEB Diffusivity Ln(Ar) (nm2/s)

• ;ABC data is in the following format: • ;wavelength A B C Unexposed n Completely Exposed n• ; (nm) (1/um) (1/um) (cm2/mJ)• [ABC Data]• 365.000 0.918 0.152 0.0153 1.655 1.655