Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT...

44
Distribution Statement “A” (Approved for Public Release, Distribution Unlimited) Progress and Prospects of Heterogeneous Integration at DARPA Daniel S. Green U.S. Defense Advanced Research Projects Agency (DARPA) Arlington, VA 2016 Semiconductor Packaging Roadmap Symposium San Jose, CA 14 November 2016

Transcript of Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT...

Page 1: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Progress and Prospects of Heterogeneous Integration at DARPA

Daniel S. Green U.S. Defense Advanced Research Projects Agency (DARPA)

Arlington, VA

2016 Semiconductor Packaging Roadmap SymposiumSan Jose, CA

14 November 2016

Page 2: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

2

Heterogeneous Integration: DARPA’s initial view of opportunity space

SiGe HBT

InP HBT

ABCS HBT

ABCS HEMT

InP HEMT

Si MOSFET

104

103

102

101

100 101 102 103 104 105 106 107 108 109 1010

Terminology: InP = indium phosphide, GaN = gallium nitride, SiGe = silicon germanium, ABCS = antimonide-based compound semiconductorHBT = heterojunction bipolar transistor, HEMT = high electron mobility transistor, CMOS = complementary metal oxide semiconductorCOSMOS = Compound Semiconductor Materials on Silicon

Number of transistors

John

son

Figu

re o

f Mer

it (G

Hz*

Volt)

GaN HEMT

GaAsMESFET

Si CMOS

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

DiverseAccessibleHeterogeneousIntegration

Page 3: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

Motivates a portfolio of investment

Parameter Why? Unit Si GaAs InP1 GaN2 COSMOS / DAHI

Electron Mobility

Carrier velocity 103 cm2/V·s 1.4 8.5 12 <1 InP

Vpeak Transit time 107 cm/s 1 2 2.5 2.5 InP / GaN

EBKVoltage swing 105 V/cm 5.7 6.4 4 40 GaN

EgCharge density eV 1.12 1.42 0.74 3.4 GaN

κ Heat removal W/cm·K 1.3 0.5 0.05 2.9 GaN / Si

Maturity Circuit complexity Excellent Good OK Limited

Si + GaN + InP(heterogeneous)

DARPA Investment ~$100M ~$600M ~$200M ~$300M ~$180M

ProgramsPortions of

GRATE, ADRT, LPE, and TEAM

MIMICSWIFT,

TFAST, THz Electronics,

SMART

GaN Title III, WBGS-RF, NEXT, MPC, NJTT

COSMOS, DAHI

Materials and device parameters favor a diversity of semiconductors

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited) 3

device materials integration

1. InGaAs channel2. SiC substrate

Page 4: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

Progress of Heterogeneous Integration at DARPA

D/A converter

100

1000

A/D converter

Transistor-scale Integration Technology

Yield Enhancement & Circuit Integration

Advanced Circuits

10

• ~10 heterogeneous interconnects (HICs)

• ≤ 5µm HIC length and pitch• ~5 HBTs, 4 CMOS • ~500 HICs

• ~400 HBTs, 3200 CMOS.

COSMOSPhase I completed

COSMOSPhase II completed

FILTER

I/2 I I 2I 4I4I4I

R-2R

D16D15D10D9D8D1D0CLK

DEGLITCHER SWITCH DAC

VOUT

4

• ~1800 HICS.• ~1000HBTs, 18000 CMOS

Differential amplifier

6-BITFOLDING

ADCS/H 6

66-BIT

FOLDING ADC

66-BIT

FOLDING ADC

66-BIT

FOLDING ADC

S/H

S/H

S/H

S/H

S/H

6SERIAL

INTERFACE

6SERIAL

INTERFACE

6SERIAL

INTERFACE

6SERIAL

INTERFACE

23 GHzCLK

OU

TPU

T D

ATA

(24

Bits

@ 5

.75

Gsp

s)

TIMINGGENERATOR CALIBRATION

AIN_A

AIN_B

# of

Het

erog

eneo

us In

terc

onne

cts

COSMOS: Demonstrated benefits of integration of completed devices.

FY07 FY08 FY09 FY10 FY11 FY12 FY13

COSMOSPhase III completed

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

1. Developed technology for intimate integration of III-Vs and Si.

2. Demonstrated world-record capabilities with heterogeneous circuits:

a. Differential amplifier gain-bandwidth

b. DAC SFDR

3. Clarified benefits of integration processes that:

a. are scalable,b. use finished

devices, and c. leverage industry

efforts.1Compound Semiconductor Materials on Silicon

COSMOS1:

integration Phase I Phase II/III

monolithic X (yield)

epi printing X (yield)

chiplet

Page 5: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

5

Diverse Accessible Heterogeneous Integration (DAHI)Foundry for Heterogeneous Integration

Heterogeneous Integration of a diverse array of devices on a common Si CMOS platform

Goal: To establish a versatile platform of heterogeneous integration that enables pervasive impact on DoD systems.

GaNHEMTs

InPHBTs

AdvancedSi CMOS

Si substrate

65 nm IBM CMOS Wafer

InP HBT Chiplets

GaN HEMT Chiplets

(first three-technology integration demonstrated in Jan 2015)

Heterogeneous technology integration in accessible foundry

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 6: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

6

DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration

65 nm IBM CMOS Wafer

InP HBT Chiplets

GaN HEMT Chiplets

(3 technology integration demonstrated in Jan 2015)

Successful integration of high performance III-V technologies with CMOS.

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Team 1

Team 1

Team 2

Yield TV

Calibration

Team 3

Team 4

Team 5

Team 6

Team 7

Team 8

Team 9

Page 7: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

7

DAHI MPW1: Excellent Yield, Successful Initial Tests

300mm diameter Si CMOS wafer (45nm node)

Successful testing identified optimal S/H circuit for ADC

(>65dB SFDR @ 2GHz)Frequency (Hz) 10 9

0 1 2 3 4 5 6 7

Rel

ativ

e Am

plitu

de (d

B)

-90

-80

-70

-60

-50

-40

-30

-20

-10

0FCLK_15 GHz, FCW_771_clk_ttune_128_dem_en_0

DAC with very low digital noise

(-70dBc)

0

20

40

60

80

R2C3

M0

R3C4

M0

R4C3

M0

R5C4

M0

R2C3

M0

R3C4

M0

R4C3

M0

R5C4

M0

R2C3

M0

R3C4

M0

R4C3

M0

R5C4

M0

R2C3

M1

R3C4

M1

R4C3

M1

R5C4

M1

R2C3

M0

R3C4

M0

R4C3

M0

R5C4

M0

R2C3

M0

R3C4

M0

R4C3

M0

R5C4

M0

AR_2 AR_2A AR_1 AR_1A AR_1B

HIC Redundancy: None HIC Redundancy: 2x

HBT

Arra

y -B

eta

at 1

mA

Beta_812_@1mA Beta_813_@1mA Beta_814_@1mA Beta_815_@1mA Beta_862_@1mA Beta_863_@1mA Beta_864_@1mA Beta_865_@1mA Beta_872_@1mA Beta_873_@1mA Beta_874_@1mA Beta_875_@1mA

High foundry integration yields; test

vehicles fully functional

99.94% HIC yield98% HBT post-integration

DAHI integration (Dec 2015): Si (45nm), InP (TF5 HBT), GaN (GaN20 HEMT)

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 8: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

8

DAHI MPW1: Dual-Band Frequency Synthesizer Demonstrates ModularityMPW1 Q/E Dual Band Frequency Synthesizer (36 and 72 GHz)

Integration of diverse device technologies enables modular functionality.

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 9: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited) 9

DAHI Alternate Flow:Wafer Bonding of InP and Si CMOS (Teledyne/Tezzaron)

130 nmSi CMOS

wafer

Cu/SiO2wafer bond

interface

250 nmInP HBT wafer

wafer bonding

Page 10: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

10

Exploring Emerging Integration Technologies

Source: Naval Research Laboratory, CS ManTech 2016Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 11: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

11

Heterogeneous Integration Platform Options

DAHI integration (post-device fabrication) provides a platform combining density, performance, heterogeneity, and ease of integrating new technologies

IntegrationScheme: Monolithic Fabrication Epitaxial Printing Chiplet Assembly Wafer Bonding

COSMOS / DAHI performer Raytheon HRL Northrop Grumman Teledyne / Tezzaron

Structure

Perf

orm

ance

Density ++ ++ + ++Speed ++ ++ + ++Thermal - - - -Low cost - - + -Maturity - - + -Roadmap* - - ++ +

*”Roadmap” metric indicates ease of integrating new technologies in the future.

InP HBT

CMOSCMOS

InP HBTInP HBT

CMOS

InP HBT

CMOS

Integration during device fabrication Integration after device fabrication

Yield Limited

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 12: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

12

End of Moore’s Law?

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Moore’s Law

Data source: Electronics Magazine, Economist.com

End of Moore’s Law means everyone is becoming low volume

Page 13: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

13

Moore’s Law INCLUDES Heterogeneous Integration

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 14: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

14

Moore’s Law INCLUDES Heterogeneous Integration

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

More Than

Moore?

MoreOf

Moore

Page 15: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

Fab cost for a DoD IC amortized over entire

29-year acquisition of JSF

Fab cost for commercial electronics amortized over

one day’s worth of iPhones

15

The Problem: Advanced Si is Expensive…

Source: “Cashing in with Chips” AlixPartnersSemiconductor R&D outlook report, 2014.

… which some commercial products can support …

0

50

100

150

200

250

300

350

400

450

130 90 65 45 32 22 16 10

ASIC

Des

ign

Cost

($M

)

Technology Node (nm)

Expensive to design at advanced nodes …

© apple.com

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

… but DoD cannot.

Page 16: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

…and IP Reuse is Common for Multicore SoCs…Av

erag

e %

of r

euse

d IP

Blo

cks

Average # of IP Blocks

2016 average:• 175 IP blocks• 80% reuse

IP Reuse is increasingly important and shows no signs of slowing

18 blocks

55 blocks

110 blocks

52 blocks

SEMICO Research Corporation, 2014

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited) 16

Page 17: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

IoT

…but Challenging for the DoD

Limited access to global pool of knowledge and talent

Semiconductor fabrication market

Trusted 1%

CHIPS is designed to expand the pool of IP and design resources

TAPO TSMC17 processes >55 processes

>50 IP blocks >8500 IP blocks

TAPO

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited) 17

Images: Apple

Page 18: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

CHIPS will develop the design tools and integration standards required to demonstrate modular electronic systems that can leverage the best of

DoD and commercial designs and technology.

What is CHIPS?

Today – Monolithic Tomorrow – Modular

18

Common Heterogeneous integration and IP reuse Strategies program

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 19: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

CHIPS will develop design tools, integration standards, and IP blocks required to demonstrate modular electronic systems that can leverage the best of DoD and commercial designs and technology.

What is CHIPS?

Today – Monolithic Tomorrow – Modular

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited) 19

CHIPS enables rapid integration of functional blocks at the chiplet level

Image: Intel

Page 20: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

LVDS

32nm_SerDes

65nm_SerDes

28nm_SerDes

28nm_SerDes

Proprietary

PCIe

HBM

WideIO

Si Photonic

1.00E-05 1.00E-04 1.00E-03 1.00E-02 1.00E-01 1.00E+00

BWD/

EPB

Interconnect Length (m)

MonoLithic

PCB

20

Interface Standards:Too Many? Not Enough? How to Compare?

What standards will allow CHIPS to bridge the gap?

𝐺𝐺𝐺𝐺𝐺𝐺𝐺𝐺/𝑚𝑚𝑚𝑚𝐸𝐸𝐸𝐸𝑒𝑒𝑟𝑟𝑔𝑔𝑦𝑦/𝐺𝐺𝑏𝑏𝑏𝑏

Too many solutions can hinder wider adoption

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

CHIPS challenge: make a usable interface standard

Page 21: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

LVDS

32nm_SerDes

65nm_SerDes

28nm_SerDes

28nm_SerDes

Proprietary

PCIe

HBM

WideIO

Si Photonic

1.00E-05 1.00E-04 1.00E-03 1.00E-02 1.00E-01 1.00E+00

BWD/

EPB

Interconnect Length (m)

MonoLithic

PCB

21

Interface Standards:Too Many? Not Enough? How to Compare?

𝐺𝐺𝐺𝐺𝐺𝐺𝐺𝐺/𝑚𝑚𝑚𝑚𝐸𝐸𝐸𝐸𝑒𝑒𝑟𝑟𝑔𝑔𝑦𝑦/𝐺𝐺𝑏𝑏𝑏𝑏

CHIPS

Convergence to a minimal set of standards is necessary

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

CHIPS challenge: make a usable interface standard

Page 22: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

Implications: CHIPS end state vs. conventional supply chain

CAD tools Pkg / TestDesign SystemsFabricationVerificationIP Blocks Architecture

Com

mer

cial

ChipletsDesign specs

Defense Emerging Distributor

? ?

Commercial

CHIP

SD

oD

22

CHIPS

Trusted sources for critical componentsDistribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Mentor Graphics

Cadence

Synopsys

Mentor Graphics

Cadence

Synopsys

Mentor Graphics

Cadence

Synopsys

NorthropRaytheon

LockheedBoeingBAE

NorthropRaytheon

LockheedBoeingBAE

NorthropRaytheon

LockheedBoeingBAE

NorthropRaytheon

LockheedBoeingBAE

NorthropRaytheon

LockheedBoeingBAE

NorthropRaytheon

LockheedBoeingBAENorthrop

Raytheon

LockheedBoeingBAE

NorthropRaytheon

LockheedBoeingBAE

AppleGoogle

MicrosoftSamsung

AppleGoogle

MicrosoftSamsung

BroadcomQualcomm

AppleTIMarvell

BroadcomQualcomm

AppleTIMarvell

SMICTSMC

GlobalFoun.IntelSamsung

ARMGlobal Foundries

TSMCARM

CadenceImagination

TSMCARM

CadenceImagination

ASE GroupTSMC

Amkor

NovatiNorthrop

US OSAT

IntelTSMC

Global Foundries

IntrinsixJariet

FlexlogixRaytheonNorthrop

HRL

TowerJazzNorthrop

HRLGlobal Foundries

Digi-KeyMouser

(Note: Companies listed are examples only.)

Page 23: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited). 23

What CHIPS Means for the DOD and industry

CHIPS modularity targets the enabling of a wide range of custom solutions

Access to Commercial IP• Memory• SerDes• Processors

Reusable function blocks• QR decomposition• Waveforms • FFT

Big Data Movement• Image processing• Machine Learning• High-speed chiplet networks

Page 24: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

Distribution Statement 24

Working with DARPA

Common Heterogeneous Integration and IP Reuse Strategies Broad Agency Announcement:

https://www.fbo.gov/spg/ODA/DARPA/CMO/DARPA-BAA-16-62/listing.html

Commercial Performer Program Announcement, DARPA-PA-17-01https://www.fbo.gov/spg/ODA/DARPA/CMO/DARPA-PA-17-01/listing.html

• What: DARPA is looking to fund and de-risk non-incremental ideas that are beyond the standard corporate R&D roadmap.

• Who: Companies that have received less than $50M in defense contracts in the past year

• How: 1. Start a conversation with a Program Manager 2. E-mail your idea to [email protected]

• When: Rolling call, open all year

Page 25: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

25

CHIPS future of heterogeneous integration

Requires a lot of pieces coming together!Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 26: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

www.darpa.mil

DISTRIBUTION E. Distribution authorized to DoD components only. Other request for this document shall be referred to DARPA.

GIGAOM.com

26

Page 27: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

27

Vision for Representative Transceiver: 4+ Device Technologies

ADC

PA DAC

Legend:Si CMOS/SiGe BiCMOSInP HBTs/HEMTsGaN HEMTsRF MEMS/High-Q passives

LNA

~~

Pre-select filterHigh-Q MEMS

Low noise InP or GaNwith Si-enabled

control

Tunable filterInP HBTs for high gain-bandwidth

Local oscillator

High-speed InP T/H + Si-based interleaved ADCs

High-speed, high Vbr InP HBTs;Si CMOS for static and dynamic

error correction

GaN for high Vbr;CMOS for digital assistance and

reconfigurability

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 28: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

28

Standard CMOS fabrication

Standard TF4/TF5InP HBT fabrication Thinning

CMOS wafer with integrated InP and GaN chiplets

CMOS wafer with HICs ready for integration

CMOS wafer with integrated GaN chiplets

HIC Interface deposition

Singulation and integrationNGAS

DAHI Chiplet Assembly Evolving

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

CMOS IBM 10LPe (65nm)IBM 12SOI (45nm)

IBM 8WL (130nm)IBM 8HP (130nm)

Standard GaN20

fabrication

Thinning / backside via

etching

Backside metal

depositionIntegration

Standard T-3 fabrication

Backside metal

deposition

Thinning / backside via

etchingHRL

NGAS

Integration

Mechanical Integration

High-Q Passives standard process

Nuvotronics

COSMOS: 130nm CMOS with InP HBTsDAHI MPW0: 65nm CMOS, Add two GaN HEMT optionsDAHI MPW1: 45nm CMOS, Add high-Q passives and InP HBT variant – fab complete (right), testing underway

(Second three-technology integration demonstrated in Dec 2015)

45nm Si CMOS Wafer

GaN HEMT Chiplets

InP HBT Chiplets

Page 29: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

TA1 Modular Digital Systems• Modularize existing digital design

via interface standard. • Critical design review for standards

at 8-month mark. • Demonstrate functional IP blocks.

• Demonstrate functional digital design.

• Cost + design cycle analysis.• Present design for Phase3.

• Demonstrate rapid upgradability. • Cost + design cycle analysis

comparing CHIPS module versus a monolithic implementation.

TA2 Modular Analog Systems• Modularize existing analog design

via interface standard. • Review design and interface at the

8-month mark.• Demonstrate interconnect

performance.

• Integrate blocks into PLIC. • Analyze against SoA for

performance, unit cost, NRE, and turnaround time.

• Develop business model for modular analog ecosystem.

• Demonstrate rapid assembly of new PLICs.

• Analyze against SoA for performance, unit cost, NRE, and turnaround time.

• Cost / development time analysis of CHIPS PLIC vs MMIC.

TA3 Supporting Technologies• Design Tools, Assembly Methods, IP in support of TA1 and/or TA2 tasks and metrics

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited) 29

PHASE 1 PHASE 2 PHASE 3Interface and IP Block Demo Module Demo with IP Blocks Rapid Module Upgrade

CHIPS Program – Program Summary

Page 30: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited) 30

• Anticipated Funding Available for Award: DARPA anticipates a funding level of approximately $70M for the CHIPS program.

• Anticipated individual awards – Multiple awards in each Technical Area are anticipated.

• Anticipated funding type - 6.2 and/or 6.3• Types of instruments that may be awarded – Procurement contract,

grant, cooperative agreement or other transaction.

What do we plan to spend? and When?

Important DatesProposers Day 21-Sep-2016BAA Release (est.) 26-Sep-2016Abstracts Due* 26-Oct-2016FAQ Deadline* 23-Nov-2016Proposals Due* 7-Dec-2016Program Kick-Off* Mar-2017

*Dates are a function of actual BAA release date.

Page 31: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

www.darpa.mil

Distribution Statement 31

Page 32: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

32

Conventional Assembly Has Attractive Features for HI … But Isn’t Keeping Up on Pitch and Performance

Source: 2003-13 ITRS, Wikipedia

micr

ons

Need to combine speed and flexibility of packaging with pitch and performance of advanced heterogeneous device technology.

• Flexible heterogeneous integration• Quick design / manufacturing turn• Coarse pitch• Lower performance

• Monolithic process• Long design / manufacturing turns• Fine pitch• Higher performance

0.001

0.01

0.1

1

10

100

1000

10000

1971

1973

1975

1977

1979

1981

1983

1985

1987

1989

1991

1993

1995

1997

1999

2001

2003

2005

2007

2009

2011

2013

2015

2017

2019

2021

2023

2025

Packaging Flip Chip

PCB

Wire Bond

Local wiring (M1) Process node

Silicon Global wiring (min)

IBM C4

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Image: IBM

Image: EETimes

Page 33: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

33

Conventional Assembly Has Attractive Features for HI … But Isn’t Keeping Up on Pitch and Performance

Source: 2003-13 ITRS, Wikipedia

micr

ons

Need to combine speed and flexibility of packaging with pitch and performance of advanced heterogeneous device technology.

• Flexible heterogeneous integration• Quick design / manufacturing turn• Coarse pitch• Lower performance

• Monolithic process• Long design / manufacturing turns• Fine pitch• Higher performance

0.001

0.01

0.1

1

10

100

1000

10000

1971

1973

1975

1977

1979

1981

1983

1985

1987

1989

1991

1993

1995

1997

1999

2001

2003

2005

2007

2009

2011

2013

2015

2017

2019

2021

2023

2025

Packaging Flip Chip

PCB

Wire Bond

Local wiring (M1) Process node

Silicon Global wiring (min)

How to get both?

IBM C4

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Image: IBM

Image: EETimes

Page 34: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

34

Diverse Accessible Heterogeneous Integration (DAHI)Foundry for Heterogeneous Integration

Heterogeneous Integration of a diverse array of devices on a common Si CMOS platform

Goal: To establish a versatile platform of heterogeneous integration that enables pervasive impact on DoD systems.

GaNHEMTs

InPHBTs

AdvancedSi CMOS

Si substrate

65 nm IBM CMOS Wafer

InP HBT Chiplets

GaN HEMT Chiplets

(first three-technology integration demonstrated in Jan 2015)

Heterogeneous technology integration in accessible foundry

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Image: Northrop Grumman

Image courtesy of University of California, Santa Barbara

Image courtesy of HRL Laboratories

Image courtesy of Globalfoundries

Page 35: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited) 35

CHIPS Summary

Important DatesProposers Day 21-Sep-2016BAA Release (est.) 26-Sep-2016Abstracts Due* 26-Oct-2016FAQ Deadline* 23-Nov-2016Proposals Due* 7-Dec-2016Program Kick-Off* Mar-2017

Questions: [email protected]

*Dates are a function of actual BAA release date.

TA1 Modular Digital Systems

TA2 Modular Analog Systems

TA3 Supporting Technologies

Page 36: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited) 36

CHIPS Program – Structure and TimingPHASE 1 PHASE 2 PHASE 3

Interface and IP Block Demo Module Demo with IP Blocks Rapid Module Upgrade

TA1 Modular Digital Systems

TA2 Modular Analog Systems

TA3 Supporting Technologies

Page 37: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited) 37

CHIPS Program - Metrics

CHIPS Program Metrics Metric Phase 1 Phase 2 Phase 3

Design level IP reuse (1) > 50% public IP blocks > 50% public IP blocks > 50% public IP blocks

Modular design (2) > 80% reused, > 50% prefabricated IP

Access to IP (3) > 2 sources of IP > 2 sources of IP > 3 sources of IP Heterogeneous integration (4) > 2 technologies > 2 technologies > 3 technologies

NRE reduction (5) > 50% >70% Turnaround time reduction (5) > 50% >70%

Performance Benchmarks (performer defined) >95% benchmark >100% benchmark

Digital Interfaces Data rate (scalable) (6) 10 Gbps 10 Gbps 10 Gbps Energy efficiency (7) < 1 pJ/bit < 1 pJ/bit < 1 pJ/bit Latency (7) ≤ 5 nsec ≤ 5 nsec ≤ 5 nsec Bandwidth density > 1000 Gbps/mm > 1000 Gbps/mm > 1000 Gbps/mm

Analog interfaces Insertion loss (across full bandwidth) < 1 dB < 1 dB < 1 dB Bandwidth ≥ 50 GHz ≥ 50 GHz ≥ 50 GHz Power Handling ≥ 20 dBm ≥ 20 dBm ≥ 20 dBm

Notes:1. Public IP is defined as IP blocks available through commercial vendors or shared among performers. 2. Reuse is defined as existing or previously designed IP that is re-implemented into the current system. Prefabricated IP is defined as IP blocks already

physically instantiated.3. Valid sources of IP must be those that are outside of the performer team.4. Various Silicon process nodes, RF passives, or compound semiconductor devices. 5. The non-recurring engineering (NRE) cost and turnaround time will be compared against a benchmark design.6. Minimum bus/lane data rate and should be capable of scaling to higher data rates.7. Performance relating to transferring data between chiplets compared against a benchmark design.

Page 38: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited) 38

TA1: Modular Digital Systems

Don’t need to start from scratch!

Arrays at Commercial Timescales (ACT)

Intelligent Computing Algorithm Development

Cortical Processor

Semiconductor Technology Advanced Research Network (STARnet)

Others: CLASS, Mobile Hotspots, MFRF, ViSAR, ELASTx, …

Unconventional Processing of Signals for Intelligent

Data Exploitation (UPSIDE)Targets modular circuits that leverage digital interfaces.

Looking for designs that:• Leverage modular interface• Reuse existing IP• Are DoD relevantIncludes:• Analog/Mixed signal circuits

with digital interface• non-DARPA designs

Page 39: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

39

TA2: Modular Analog Systems

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Seeks to realize modular pseudolithic microwave integrated circuits:• Leverage modular building blocks• Demonstrate performance into mm-Wave regime• Develop sustainable attractive business models

RF Unit Cell Modular Devices Modular Signal Blocks

Range of analog building block granularity

Balance granularity with accessibility, reusability and cycle time

Image: NRL

Page 40: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

Sample Analog IP

Sample Digital IP

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited) 40

• Design tools• Heterogeneous integration• Modular design flows

• Assembly methods• Fine pitch• Small device handling / testing• Multi-device technology processing

• IP blocks

TA3: CHIPS Supporting Technologies

Design Tools

Com

bine

d la

yout

s

TF4

CMOS

HICs

Simulation

Design

Layout

Fine pitch interconnects

Heterogeneous integration

Assembly Methods

Processor Interface MemoryImage signal SerDes Controller

Audio signal USB DRAM

Digital signal PCIe SRAM

Compression Flash

GPU

CPU

Machine Learning

Amplifiers Passives

LNA Filters

DAC / ADC PMIC

EnvelopeTracker

Transistor Unit Cell

Mixer PLL

Key challenge will be alignment to TA1 and TA2

Images: Northrop Grumman

Page 41: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited) 41

Technology NOT germane to CHIPS:• New device technologies• Wholly new circuits• Security specific processes (e.g. obscuration, split fabrication)

Non-CHIPS Developments

Focus is on making modularity work!

Page 42: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

“Printed circuit board” invented by Paul Eisler.

Early PCB demo in a

radio.

First HVM PCBs enable

proximity fuzeduring WWII.

Patent to US Army for PCB

assembly.

IPC (Institute for Printed Circuits)

founded; standards follow.

Multi-layer PCB invented.

1936 1941 1943 1956 1957 1960 1980s 1995

Surface Mount Technology on

PCBs revolutionizes manufacturing.

HDI / Microviatechnology

enables further integration.

Propelled by Standards and Modularity:Electronics Industry Built via Integration on PCBs

DoD jump-start2006

First package-on-package

standard from JEDEC

$-

$10,000

$20,000

$30,000

$40,000

$50,000

$60,000

$70,000Global PCB Revenue ($M)

Sources: Prismark, Arstechnica, USPTO, Wikipedia, IPC, SMTA

PCB industry sees steady expansion with DoD origins, standardization, and technology development.

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 43: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

Heterogeneous Integration: Bridging the Gap

DAHI creates integration capabilities beyond current advanced interconnect technologies.

DAHI MPW0

MEMS + ASIC

Processor + memory PoP

Stacked die (WB)

PoP memory

NAND/DRAM TSV

Processor + memory TSV

Image sensor TSV

2.5D Si interposer

Advanced PCB

Monolithic 3D NAND

F2F wafer-bond

DAHI MPW1

Technologies Integrated

1

4

1+(>1 Si)

3

2

100µm10µm1µm0.1µm

Interconnect PitchTSV / Wafer-scale:Immature or not well-suited for Heterogeneous Integration Interposer-based:

Scalable and flexible

Package-based:Mature and flexible, but not scalable

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited) 18

Page 44: Progress and Prospects of Heterogeneous Integration at DARPA - Green.pdf6. DAHI MPW0 CMOS + InP HBT + GaN HEMT Demonstration. 65 nm IBM CMOS Wafer. InP HBT Chiplets. GaN HEMT Chiplets

44

Looking Ahead:Enabling Rapid Heterogeneous Technology Uptake

Si substrate

InP HBTs Si CMOSGaN HEMTs

Graphene mixersPCM switches

Emerging Technologies

Platform gives ability to rapidly add technologies as they are developed

Design Advances• Modular design concepts• Interconnect standards• Advancing CMOS nodes• Integration-enabled design techniques

Revolutionary RF/mixed signal systems

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Polystrata High-Q passives