PRESS KIT - Columbia University · 2007-12-12 · table of contents isscc 2005 – executive...

213
2005 PRESS KIT

Transcript of PRESS KIT - Columbia University · 2007-12-12 · table of contents isscc 2005 – executive...

2005

PRESS KIT

ISSCC.ORG

Produced by: S3 Digital Publishing, Inc.

Lisbon Falls, Maine USA

[email protected]

!""##!""##

$!"!%&'"($!"!%&'"())(*+*&((*+*&(

!"#$ %&'#(&)'*+&),$ -+,*./-')'#$ 0*(12*'3$ 0+&4#(#&1#$ *3$ '"#

4+(#5+3'$ 6,+7),$ 4+(25$ 4+($ 8(#3#&')'*+&$ +4$ ).9)&1#3$ *&

3+,*./3')'#$ 1*(12*'3$ )&.$ 3:3'#53/+&/)/1"*8;$ !"#

0+&4#(#&1#$ +44#(3$ )$ 2&*<2#$ +88+('2&*':$ 4+($ #&6*&##(3

=+(>*&6$ )'$ '"#$ 12''*&6$ #.6#$ +4$ %0$ .#3*6&$ )&.$ 23#$ '+$

5)*&')*&$ '#1"&*1),$12((#&1:?$)&.$ '+$&#'=+(>$=*'"$ ,#).*&6

#@8#('3;

TTABLE OF CONTENTSABLE OF CONTENTS

ISSCC 2005 – EXECUTIVE SUMMARY............................................................................1

ACTIVITIES AT ISSCC 2005 ..........................................................................................................3

CONFERENCE THEME..................................................................................................................4

SIGNIFICANT RESULTS ................................................................................................................6

ISSCC 2005 – CONFERENCE OVERVIEW....................................................................9

EVENTS ........................................................................................................................................11

PAPER STATISTICS ....................................................................................................................12

PLENARY SESSION ....................................................................................................................13

TECHNICAL HIGHLIGHTS ..........................................................................................................15

DISCUSSION SESSIONS ............................................................................................................19

Short Course ................................................................................................................................20

Tutorials ........................................................................................................................................21

CIRCUIT DESIGN FORUMS

CLOCK AND FREQUENCY GENERATION FOR WIRELINE AND WIRELESS APLLICATIONS

ADVANCED DYNAMIC MEMORY DESIGN ....................................................................................22

CHARACTERIZATION OF SOLID-STATE IMAGE SENSORS

ROBUST DESIGN SOLUTIONS FOR NANOSCALE CIRCUITS:

FROM DFM THROUGH END-OF-LIFE ......................................................................................23

ATAC: AUTOMOTIVE TECHNOLOGY AND CIRCUITS ....................................................................24

ISSCC 2005 – ANALOG ............................................................................................................25

OVERVIEW ..................................................................................................................................27

FEATURED PAPERS....................................................................................................................28

Panel WHAT PAPERS WILL BE (AND WILL NOT BE) AT ISSCC 2010? ..............32

Tutorial INTEGRATED POWER MANAGEMENT ......................................................39

ISSCC 2005 – DIGITAL..............................................................................................................35

OVERVIEW ..................................................................................................................................37

FEATURED PAPERS....................................................................................................................39

Special-Topic Session WHEN PROCESSORS HIT THE POWER WALL

OR "WHEN THE CPU HITS THE FAN") ..........................................54

Tutorial INTRODUCTION TO I/O DESIGN FOR DIGITAL SYSTEMS ......................56

Forum ROBUST DESIGN SOLUTIONS FOR NANOSCALE CIRCUITS:

FROM DFM THROUGH END-OF-LIFE......................................................57

TREND CHARTS ..........................................................................................................................58

ISSCC 2005 – IMAGERS, DISPLAYS AND MEMS ................................................61

OVERVIEW ..................................................................................................................................63

FEATURED PAPERS....................................................................................................................64

Panel MOBILE IMAGING: PARADIGM SHIFT OR TECHNOLOGY BUBBLE? ......69

Tutorial RF MEMS: DEVICES, CIRCUITS, AND PACKAGING ..................................70

Forum CHARACTERIZATION OF SOLID-STATE IMAGE SENSORS ....................71

ISSCC 2005 – MEMORY ..........................................................................................................73

OVERVIEW ..................................................................................................................................75

FEATURED PAPERS....................................................................................................................76

Special-Topic Session SRAM DESIGN IN THE NANOSCALE ERA? ........................................82

Tutorial PHASE-CHANGE MEMORY ..................................................................84

Forum ADVANCED DYNAMIC-MEMORY DESIGN ..........................................85

ISSCC 2005 – SIGNAL PROCESSING ..........................................................................87

OVERVIEW ..................................................................................................................................89

FEATURED PAPERS....................................................................................................................90

Panel DRIVING MISS UBIQUITY:

WHAT APPLICATIONS WILL FILL TOMORROW'S FABS? ......................93

Tutorial DSP CIRCUIT TECHNOLOGIES FOR THE NANO-SCALE ERA ................94

ISSCC 2005 – TECHNOLOGY DIRECTIONS ............................................................95

OVERVIEW ..................................................................................................................................97

FEATURED PAPERS....................................................................................................................99

Special-Topic Session INTEGRATION IN THE 3RD DIMENSION:

OPPORTUNITIES AND CHALLENGES ......................................105

Tutorial NANOTECHNOLOGY 101 ..............................................................107

ISSCC 2005 – WIRELESS COMMUNICATIONS ....................................................109

OVERVIEW..................................................................................................................................111

FEATURED PAPERS ..................................................................................................................112

Panel RF MEMS: FACT OR STICTION? ..............................................................121

Tutorial POLAR MODULATORS FOR LINEAR WIRELESS TRANSMITTERS........122

Forum GIRAFE Forum: CLOCK AND FREQUENCY GENERATION FOR

WIRELINE AND WIRELESS APPLICATIONS..................123

ISSCC 2005 – WIRELINE COMMUNICATIONS ......................................................125

OVERVIEW ................................................................................................................................127

FEATURED PAPERS..................................................................................................................129

Special-Topic Session POWERLINE LAN: IS THERE A CONCRETE WALL

DIVIDING WIRELESS FROM WIRELINE? ........................................134

Tutorial HIGH-SPEED ELECTRICAL INTERFACES:

STANDARDS AND CIRCUITS ............................................................136

Forum ATAC: AUTOMOTIVE TECHNOLOGY AND CIRCUITS ....................137

ISSCC 2005 – PRESS-RELEASE SESSION OVERVIEWS ..........................139

CONDITIONS OF PUBLICATION ..............................................................................................140

SESSION OVERVIEWS..............................................................................................................141

ISSCC 2005 – PRESS-COPY MATERIALS ................................................................173

CONDITIONS OF PUBLICATION ..............................................................................................174

PRESS COPY ............................................................................................................................175

ISSCC 2005 – ISSCC GLOSSARY ..................................................................................211

ACRONYM LISTING ..................................................................................................................213

PREFIXES AND UNIT ABBREVIATIONS ..................................................................................216

ISSCC 2005 – CONTACT INFORMATION ..................................................................217

TECHNICAL EXPERTS ..............................................................................................................219

GENERAL CONTACTS ..............................................................................................................220

!

!""##$%&&'$

()(#*+!,($"*--./0$

!! .123432356$

!! #7895:5815$+;5<5$

!! "3=83931>82$/56?@26$

$

!"!#$%&'!()$**+,-(

"#$%&'()*+!,--.!(//##01$!2$+!'3%'$14#3!5(+*$4+!%3'6(//($2!

7!

(( !!""!!##$$%%&&''!!(())$$****++,,--(( ((

+#%&'&%&!)(+%(&))##(.//0(

!! %123456789(:4;8;<2;=()1<=6>9(?;@4164>(AB(

o! 389:;! 8<=>?><=><;! @>A;BC>D!?C>D><;>=!EF!>G?>C;D! HCIJ!>KA:!IH! ;:>! (//##!,--L!%CI9CKJ!/BEAIJJ8;;>>DM! N<K@I9O! 1898;K@O! (JK9>CDP! 636/P! K<=! 18D?@KFDO! 6>JICFO! /89<K@!%CIA>DD8<9O!+>A:<I@I9F!18C>A;8I<DO!58C>@>DD!#IJJB<8AK;8I<DO!58C>@8<>!#IJJB<8AK;8I<DQ(

!! +=C6<D;=E#54D152EF;85G<(?341H89(:4;8;<2;=()1<=6>9(?;@4164>(A(6<=((%I148=6>(?;@4164>(J/B(

o! (<HICJK@! K@@R=KF! @8<S>=! 8<;>CKA;8I<! 8<! T:8A:! A8CAB8;! >G?>C;D! >GA:K<9>! 8<HICJK;8I<! I<! ;:>8C!ABCC><;!C>D>KCA:Q(

!! !C;<5<G(F58D18853<();8853<89(:4;8;<2;=(3<()1<=6>(2I431GI(%1;8=6>9(?;@4164>(A(2I431GI(K(;C;<5<G8B(

o! U8V>!/?>A8K@R+I?8A!/>DD8I<D!

o! UIBC!%K<>@R18DABDD8I<D!

!! %;DI<5D67(L6:;4();8853<89(:4;8;<2;=(*3<=6>(2I431GI(M;=<;8=6>9(?;@4164>(N(2I431GI(OB(

o! %I4;;!?C>D><;K;8I<D!8<!;:>!%@><KCF!/>DD8I<!I<!6I<=KF!JIC<8<9(

o! PJ(?K?>C!D>DD8I<DP!E>98<<8<9!6I<=KF!KH;>C<II<!K<=!AI<;8<B8<9!;:CIB9:!5>=<>D=KF!KH;>C<II<P!8<A@B=8<9M(

JK0!C>9B@KCR@><9;:!?K?>CD!(

((QK(D:IC;!?K?>CD(

!! )3D567(R314(3<(*3<=6>(;C;<5<G(6S2;4(2I;(:6:;4(8;8853<8B(

o! 1N#W(//##!/;B=><;R1>D89<R#I<;>D;!T8<<>CD!?ID;>C!D>DD8I<(

o! +>A:<8AK@REIIS!=8D?@KF(

!! )I342(#3148;9(:4;8;<2;=(%I148=6>9(?;@4164>(J/B(

o! ?314!@8<S>=!X-RJ8<B;>!@>A;BC>D!98V><!EF!>G?>C;D!8<!;:>!H8>@=!

!"!#$%&'!()$**+,-(

"#$%&'()*+!,--.!(//##01$!2$+!'3%'$14#3!5(+*$4+!%3'6(//($2!

.!

!

##TTUU??!!,,!!UU##!!((%%RR!!**!!BB((

V!<2;45<G(2I;(U6<3;7;D243<5D(&<2;G462;=E#54D152(!46V!(

58;:!;:>!K??>KCK<A>!IH!8<;>9CK;>=!A8CAB8;D!:KV8<9!;CK<D8D;IC!=8J><D8I<D!@>DD!;:K<!Y--<JP!8<;>9CK;>=RA8CAB8;! ;>A:<I@I9F! 8D!JIV8<9! HCIJ! ;:>!J8ACI>@>A;CI<8A! >CK! 8<;I! ;:>!<K<I>@>A;CI<8A! >CKQ! +I!JKCS! ;:8D!JKZIC!;CK<D8;8I<P!;:8D!F>KC[D!#I<H>C><A>!:89:@89:;D!?K?>CD!AIV>C8<9!<>T!A8CAB8;!;>A:<8\B>D!K<=!=>V8A>D!;:K;!>J?@IF!;CK<D8D;ICDP!IC!I;:>C!A8CAB8;!>@>J><;DP!T8;:!<K<IJ>;>C!=8J><D8I<DQ!!

/BERY--R<K<IJ>;>CRDAK@>! ;CK<D8D;ICD! C>\B8C>! A8CAB8;! 8<<IVK;8I<D! ;I! K@@IT! I?>CK;8I<! B<=>C! >V>CR!=>AC>KD8<9! ?IT>CRDB??@F! VI@;K9>! IH! YQ,]! IC! @IT>CP! T:8@>! JK<BHKA;BC8<9! ?KCKJ>;>CD! KC>! =>9CK=>=!JIC>!K<=!JIC>Q! !5:8@>!=898;K@!A:8?D!T8;:!JIC>!;:K<!I<>!E8@@8I<!;CK<D8D;ICD!KC>!C>K@8^>=P!<IV>@!A8CAB8;!AI<A>?;D! BD8<9! ;:>! DBERY--<J! ;CK<D8D;ICD! KC>! ><KE@8<9! EI;:! :89:>C! ?>CHICJK<A>! K<=! @IT>CR?IT>C!=898;K@! AIJ?B;K;8I<Q! N@DI! 8<! ;:>! K<K@I9RA8CAB8;! KC>KP! :89:>C! D?>>=! K<K@I9R;IR=898;K@! AI<V>C;>CD! KC>!><KE@8<9! <>T! ;CK<DJ8DD8I<! CK;>D! K<=! K??@8AK;8I<! D>CV8A>D! HIC! EI;:! T8C>=! K<=! T8C>@>DD!AIJJB<8AK;8I<DQ! #8CAB8;! 8<<IVK;8I<D! BD8<9! DBERY--<J! ;CK<D8D;ICD! HIC! ;:>! DI@B;8I<! IH! ?CIE@>JD! 8<!AIJ?B;8<9P!J>JICFP!8JK98<9P!AIJJB<8AK;8I<D!T8@@!E>!H>K;BC>=!K;!;:>!#I<H>C><A>Q!

(<!DB??IC;!IH!;:8D!;:>J>P!;:>C>!KC>M(

L7;<64>();8853<9(W52I(2I4;;(:4;8;<26253<8(B(

o! 2K<I>@>A;CI<8AD!HIC!;:>!4E8\B8;IBD!(<HICJK;8I<!/IA8>;F(

o! NJE8><;!(<;>@@89><A>M!)89KDAK@>!1C>KJD!K<=!2K<IDAK@>!'>K@8;8>D(

o! (<<IVK;8I<!K<=!(<;>9CK;8I<!8<!;:>!2K<I>@>A;CI<8AD!3CK(

%;DI<5D67E:6:;4(8;8853<89(6H3<G82(WI5DI(86H:7;(23:5D8(5<D71=;B(

o! ]>CFR@IT! DB??@F! VI@;K9>D! K<=! X-<J! ;>A:<I@I9F! 8<! K<K@I9R;IR=898;K@! AI<V>C;>C! =>D89<!X);8853<8(O(6<=(.NY(

o! 6B@;8RAIC>!?CIA>DDIC!_(;K<8BJ"`!T8;:!D:KC>=!AKA:>!8J?@>J><;>=!T8;:!YQa,!E8@@8I<!;CK<D8D;ICD!I<!K!D8<9@>!=8>!X);8853<(J/Y(

o! 2>G;R9><>CK;8I<! JB@;8J>=8K! ?CIA>DD8<9! BD>D! JB@;8?@>! =8V>CD>! ?CIA>DDIC! AIC>D! K<=! :89:RD?>>=!D>C8K@!(W$!;>A:<8\B>D!_#3bb`!X);8853<(J/Y(

o! 2N21!U@KD:!EC>KSD!;:>!c)E!EKCC8>C!X);8853<(.Y(

o! U8CD;!,Ld6E!/'N6!X);8853<(.AY(

o! UB@@FR8<;>9CK;>=!D8<9@>RA:8?!'U!#6$/!5bN2!;CK<DA>8V>CD!!_T8;:!%*&!K<=!6N#`!X);8853<(0Y(

!"!#$%&'!()$**+,-(

"#$%&'()*+!,--.!(//##01$!2$+!'3%'$14#3!5(+*$4+!%3'6(//($2!

L!

(

o! U8CD;!4@;CKR58=>ReK<=!;CK<DA>8V>CD!C>K@8^>=!8<!D8@8AI<!X);8853<8(JJ(6<=(.QY(

o! />C1>D!T8;:!>\BK@8^K;8I<!><KE@>!EKAS?@K<>!@8<SD!K;!dQ.)EWD!X);8853<(PY(

o! #:8?R;IRA:8?!AIJJB<8AK;8I<!K;!7)EWDW?8<!8J?@>J><;>=!T8;:!'U!HC>\B><AFR=8V8D8I<!JB@;8?@>RKAA>DD!8<;>CAI<<>A;!T:8A:!><KE@>D!C>AI<H89BCKE8@8;F!8<!CIB;8<9!X);8853<(JKY!!!!

F58D18853<();8853<89(6H3<G82(WI5DI(86H:7;(23:5D8(5<D71=;B(

o! 6IE8@>!(JK98<9M!%KCK=89J!IC!+>A:<I@I9F!eBEE@>f!Z!J[(

o! 5:K;!%K?>CD!58@@!K<=!58@@!2I;!e>!K;!(//##!8<!,-Y-f!Z!.[(

o! 1C8V8<9!68DD!4E8\B8;FM!5:K;!K??@8AK;8I<D!T8@@!H8@@!;IJICCIT[D!HKEDf!Z!Q[(

o! 5:><!%CIA>DDICD!*8;!;:>!%IT>C!5K@@!_IC!g5:><!;:>!#%4!:8;D!;:>!HK<h`!Z)!.[(

o! +ITKC=!;:>!2K<IDAK@>!+CK<D8D;IC!#!*89:@89:;D!IH!,--.!/FJ?ID8BJ!I<!]b/(!+>A:<I@I9F!Z)!Q[(

o! /'N6!1>D89<!8<!;:>!2K<IDAK@>!3CK!Z)!0[(

#54D152(F;85G<(?341H8B(

o! N=VK<A>=!1F<KJ8A!6>JICF!1>D89<!!Z?.[!

o! 'IEBD;!1>D89<!/I@B;8I<D!HIC!2K<IDAK@>!#8CAB8;DM!HCIJ!!1U6!;:CIB9:!3<=RIHRb8H>!Z?Q[(

!"!#$%&'!()$**+,-(

"#$%&'()*+!,--.!(//##01$!2$+!'3%'$14#3!5(+*$4+!%3'6(//($2!

d!

)&\U&?&#+U%(,!)$]%)(

!! +U+]T\B!

o! N!,,)/WD!dE!1N#!?BD:>D!;:>!1N#RD?>>=!HCI<;8>C!ZA^N[(

o! bIT!DB??@F!VI@;K9>DP!=IT<!;I!-QL]P!KC>!=>JI<D;CK;>=!ZO^J9(.N^K[^(!

o! 1>@;KR/89JK!#I<V>C;>CD!>G;><=!;:>8C!EK<=T8=;:!E>FI<=!,-6* (̂ZO^09(.N^A[!(

!! F&\&%+]B!

o! YQae!;CK<D8D;ICD!8<;>9CK;>=!I<!K!D8<9@>!=8>(ZJ/^J[(

o! #I<D;K<;!?IT>CR=8DD8?K;8I<!_Y--5!HIC!K<!(;K<8BJ"`!JK8<;K8<>=!EF!AIIC=8<K;>=!AI<;CI@!IH!VI@;K9>!K<=!HC>\B><AF!ZJ/^J[(

o! /89<8H8AK<;!8<AC>KD>D!8<!I<RA:8?!AKA:>!J>JICF!_,dQc6e`!(ZJ/^J9(J/^P9(J/^Q[!

o! N!7,$!8<AC>KD>!8<!9CK?:8AD!?CIA>DD8<9!?>C!J5!8<!,!F>KCD!ZJ/^A[(

o! $<R=8>!?IT>C!J>KDBC>J><;!_<>V>C!E>HIC>!8J?@>J><;>=`P!;>J?>CK;BC>!J>KDBC>J><;P!K<=!JK<K9>J><;!IH!>KA:!ZJA^N[(

o! *89:RD?>>=!=898;K@!A8CAB8;D!I?>CK;8<9!K;!B?!;I!c)* (̂Z./^J9(./^P9(./^Q[!

o! #:8?R;IRA:8?!(W$!J>;:I=D!BD>=!8<R?KASK9>!K<=!I<R=8>!Z./^N9(.K^N[!

!! &*+\!,)9(*!*)9(+UF(F&)L]+-)B(

o! N! #6$/! ;>J?>CK;BC>! D><DIC! KA:8>V>D! K! ;>J?>CK;BC>! KAABCKAF! IH! %-QY&#! IV>C! ;:>!;>J?>CK;BC>!CK<9>!IH!iLL&#!;I!jY,L&#Q!ZJP^J[!

o! N!#6$/!J8ACIRD><DIC!;:K;!AK<!=>;>A;!@>DD!;:K<!Y??E!IH!@>K=!AI<A><;CK;8I<!BD>D!K!H>T!;:8<RH8@J!?ID;R?CIA>DD8<9!D;>?DQ(ZJP^Q[!

o! +:>! DJK@@>D;! ?8G>@P! F>;! K<<IB<A>=P! YQLd'JP! 8D! 8<AIC?ICK;>=! 8<! K! 7QY6?8G>@! U+R##1!I?;8J8^>=!HIC!JIE8@>!8JK98<9(ZJO^J[(

!! *!*T,-B!

o! 2N21!U@KD:!EC>KSD!c)E!EKCC8>C(Z.^J9(.^.[!

o! >1'N6!I?>CK;8<9!K;!.--6*^!CK<=IJ!AFA@>!Z.0^P[!

o! U8CD;!,Ld6E!/'N6!Z.A^.[!

o! /'N6D!I?>CK;8<9!K;!DBER-QL]!(Z.A^P9(.A^A[(

Peter Kinget

!"!#$%&'!()$**+,-(

"#$%&'()*+!,--.!(//##01$!2$+!'3%'$14#3!5(+*$4+!%3'6(//($2!

a!

(

!! )&\U+](L,T#!))&U\B!

o! bITR?IT>C!8J?B@D>RCK=8I!45e!;CK<DA>8V>C!!Z.Q^J[!

o! *89:R=K;KRCK;>!b1%#R#$U16REKD>=!45e!;CK<DA>8V>C!Z.Q^.[!

o! UB@@FR8<;>9CK;>=!'W5!ake1WYdk1]1WLdk#1!/I#(ZPJ^P[(

!! %!#RUT]T\-(F&,!#%&TU)B!

o! 1>JI<D;CK;>=!J8ACIDAI?8A!8<;>CHKA>!E>;T>><!<>BCK@!K<=!>@>A;CI<8A!DFD;>JD(ZQ^J[!

o! 636/REKD>=!K;IJ8A!A@IASD!T:8A:!KC>!a--$!DJK@@>C!;:K<!;:>!?C>D><;!KC;(ZQ^0[!

o! +:C>>R=8J><D8I<K@!8<;>9CK;8I<!DA:>J>D!BD8<9!T8C>@>DD!8<;>CAI<<>A;D!ZJQ^Q9(JQ^0[!!

o! U8CD;R;8J>!=>JI<D;CK;8I<!IH!8<;>9CK;8<9!eN5!H8@;>CD!KEIV>!e8#6$/!K<=!;:>8C!AI<<>A;8I<!;I!;:>!'U!A8CAB8;D!E>@IT^!+:8D!;>A:<8\B>!8D!BD>=!HIC!;:>!8<;>9CK;8I<!IH!K!AIJ?@>;>!5#16N!'U!

HCI<;R><=Q(Z.J^.(6<=(.J^P[!

o! U8CD;!#6$/!d-)*^!=8C>A;RAI<V>CD8I<! C>A>8V>C!H>K;BC8<9! HI@=>=!J8ACID;C8?! @8<>D! C>K@8^>=! 8<!-QY7lJ!#6$/!K<=!AI<DBJ8<9!XJ5!HCIJ!K!YQ,]!DB??@F!Z.J^A[!

o! $C9K<8A!;CK<D8D;ICD!KC>!8<;>9CK;>=!8<;I!H@>G8E@>!DAK<<>C!K<=!=8D?@KF(ZP.^.9(P.^P[!

!! M&,!]!))(#T**$U&#+%&TU)B!!

o! U8CD;!;CB@F!D8<9@>RA:8?!c-,QYY!5bN2!;CK<DA>8V>CD!8<!#6$/(Z0^.9(0^P[!

o! N!,.!)*^!%:KD>=RNCCKF!+CK<DJ8;;>C!I<!-QYc'J!#6$/!ZJJ^N[!

o! N!D8<9@>RA:8?!mBK=ReK<=!)/6W)%'/!+CK<DA>8V>C!8<!-QYc'J!!/;K<=KC=!#6$/(ZJN^A[(

o! N!Y,-<J!#6$/!1]eR+!+B<>C!Z.P^P[!

!! M&,!]&U!(#T**$U&#+%&TU)B!!

o! dQ,L)EWD! e8<KCF! N=K?;8V>! 1>A8D8I<RU>>=EKAS! 3\BK@8^>C! T8;:! U8CD;! %ID;R#BCDIC! +K?!#K<A>@@K;8I<(ZP^0[!

o! #8CAB8;!+>A:<8\B>D!HIC!K!.-)EWD!+CK<DJ8;;>C!8<!-QY7'J!#6$/(ZK^J[!

o! 7]! Y-Qa)EWD! 18HH>C><;8K@! bKD>CR18I=>! 1C8V>C! T8;:! NA;8V>! eKASR+>CJ8<K;8I<! $B;?B;! /;K9>(ZJ.^J[(

o! 'UWeKD>EK<=!U16NR(<;>CAI<<>A;!+CK<DA>8V>C!HIC!'>AI<H89BCKE@>!6B@;8?@>!NAA>DD!8<!#:8?R;IR#:8?!#IJJB<8AK;8I<!(ZJK^A[!

o! -QX.?DRCJDRZ8;;>C!,QL)*^!6B@;8?:KD>!)><>CK;IC!%bb!HIC!Y-)EWD!/>C8K@!b8<SD(Z..^J[!

!"!#$%&'!()$**+,-(

"#$%&'()*+!,--.!(//##01$!2$+!'3%'$14#3!5(+*$4+!%3'6(//($2!

c!

UUTT%%!!))((

!

!

!""##$%&&'$

#()*+,+)#+$(-+,-!+.$

!! +/0123$

!! 45607$"252832893$

!! 4:0157;$"0338<1$

!! =09>1895:$?8@>:8@>23$

!! A839B338<1$"0338<13$

!! "><72$#<B730$

!! =B2<785:3$

!! CD/5190DE#879B82EA038@1$*<7BF3$

"#$%#&$'!

! "#$%&'()*+!,--.!(//##01$!2$+!'3%'$14#3!5(+*$4+!%3'6(//($2! ! !

77!

!!""!!##$$%%&&

()("%&*+,!8/4219&:!;3<'49'&!=:!,-->?!

!! 3@ABC! D-EF@GHCI!+HCJK@LMN:! ILOB! CLHABC! CBKII! C@FIN:!PQ! @GR@S@RHLM! O@KOH@C! ITUIKCN!VKJF! CBI! %KJAKLF!#JFF@CCII:! NIKSI! CJ!FIIC! LCCIGRIINW! GIIRN! VJK! @GCKJRHOCJKQ!FLCIK@LM!@G!O@KOH@C!NUIO@LMC@INX!!

*-#*./$-0/&%/)&(0-$,&1.!2"%)3,!8/42:!;3<!=:!921!+*4'/:!;3<!7-:!,-->?!

!! (G!V@SI!O@KOH@CERIN@AG!VJKHFN:!O@KOH@C!ITUIKCN!ITOBLGAI!@GVJKFLC@JG!JG!CBI@K!OHKKIGC!KINILKOB!@G!LG!LMMERLQ!@GVJKFLM!M@GYIRECJU@O!IGS@KJGFIGCX!

($/4.&/*+!,$,,&".,!86$2X!+$!531X:!;3<'49'&!Z!+*'$4)*!D:!,-->?!

!! (5677!89:8;7<!;=>?@!UKINIGCIR!@G!CBI!%MIGLKQ!/INN@JG!LGR!ABB!;7C598C=>!D=D76@!UKINIGCIR!@G!BE!'IAHMLK!/INN@JGN:!B@ABM@ABC!CBI!MLCINC!O@KOH@C!RISIMJUFIGCNX!

-&,/),,&".!,$,,&".,!8/42:!6$2X![!+43/X:!;3<'49'&!=!+*'$4)*!\:!,-->?!

!! ;@SI! /UIO@LME+JU@O! UKINIGCLC@JGN:! @G! ]B@OB! FHMC@UMI! ITUIKCN! UKJS@RI:! M@GYIR!@GN@ABCN!LGR!PLOYAKJHGR!JG!L!NHP^IOC!JV!OHKKIGC!@FUJKCLGOIX!

!! ;JHK! %LGIMN! @G! ]B@OB! ITUIKCN! RIPLCI! L! NIMIOCIR! CJU@O! LGR! V@IMR! LHR@IGOI!_HINC@JGN!@G!L!NIF@EVJKFLM!LCFJNUBIKIX!

,"/&*+!4")%!86$219&:!;3<'49'&!Z:!,-->?!

!! $UUJKCHG@C@IN!CJ!GIC]JKY!]@CB!ITUIKCN!@G!L!]@RI!KLGAI!JV!O@KOH@C!NUIO@LMC@IN`!FIIC!OJMMILAHIN!@G!LG!@GVJKFLM!ITOBLGAI`!S@I]!JV!CBI!%JNCIK!/INN@JG!8NII!PIMJ]?!PKJ]NI!CBI!CIOBG@OLMEPJJY!ITB@P@CNa!

F",($%!,$,,&".!!86$219&:!;3<'49'&!Z:!,-->?!

!! ;@SI!19#b(//##!/CHRIGCE1IN@AGE#JGCINC!]@GGIKN!]@MM!FLYI!UJNCIK!UKINIGCLC@JGN!RHK@GA!CBI!/JO@LM!*JHKX!

,4"%(!/")%,$!8+*4'/19&:!;3<'49'&!7-:!,-->?!

!! (GCIGN@SI!LMMERLQ!OJHKNI!JG!L!N@GAMI!CJU@O:!CLHABC!PQ!]JKMREOMLNN!@GNCKHOCJKN:!OLG!NIKSI!CJ!c^HFU!NCLKCd!L!OBLGAI!@G!LG!IGA@GIIKWN!O@KOH@C!NUIO@LMCQX!

!"#$"%#&'

! "#$%&'()*+!,--.!(//##01$!2$+!'3%'$14#3!5(+*$4+!%3'6(//($2! ! !

7,!

!!""!!##$$%%&&''""''((&&''(())&&%%

!!""##$$(())))**''

!! +!898:;<!=>?=@:A!

!! ,-.!898:;<!<BCD=@@:A!@E!(//##!,--F!

!! /++!898:;<!9GG:8@:AH!=>GIBA=>JK!

o! 010!898:;<!L;ED!2E;@M!ND:;=G9H!=>GIBA=>J!!!! ,,!(>AB<@;O!898:;<!

!! 23'4>=?:;<=@O!898:;<!!

o! -.!898:;<!L;ED!@M:!P9;!39<@H!=>GIBA=>J!!!! ,1!(>AB<@;O!898:;<!

!! /.'4>=?:;<=@O!898:;<!

o! ,+!898:;<!L;ED!3B;E8:H!=>GIBA=>J!!!! +/!(>AB<@;O!898:;<!

!! /0'4>=?:;<=@O!898:;<!

!! +/'/:<<=E><H!E?:;!Q!A9O<!

%%4455##$$44((55%%!!44(())''6677!!88##**''

!! ND:;=G9<K! 2+9!

!! P9;!39<@K! +29!

!! 3B;E8:K! /+9!

55##77::44%%77(())''77!!""##$$((;;##**'' '' //1111,,'' '' //111122''

!! N>9IEJ! ! 039' ' ' 0<9!

!! 1=J=@9I! ! 0/9' ' ' 009!

!! (D9J:;<H!636<!9>A!1=<8I9O<! ! .9' ' ' <9!

!! 6:DE;O! ! <9' ' ' 019!

!! /=J>9I!%;EG:<<=>J!! ! 019' ' ' 019!

!! +:GM>EIEJO!1=;:G@=E><!! ! 009' ' ' 019!

!! 5=;:I:<<!#EDDB>=G9@=E><! ! 0<9' ' ' /19!

!! 5=;:I=>:!#EDDB>=G9@=E><! ! 039' ' ' 0+9!

!

!

"#$%#&$'!

! "#$%&'()*+!,--.!(//##01$!2$+!'3%'$14#3!5(+*$4+!%3'6(//($2! ! !

7e!

''((!!##))**++&&%%!!%%%%,,--##&&!!

GEHEI!!.*."$+$/(%".&/,!2"%!(4$!)J&K)&("),!!!!!!!!!!!!!!!&.2"%3*(&".!,"/&$(L!

-=7M7!/589N!!!"#"$%&'()*(+#*)',-%")#(-#.(/),,0#"1-%")#$2(3)'&-(

!!! 2LGJIMIOCKJG@ON! ]@MM! CKHMQ! MILR! CJ! L! HP@_H@CJHN! @GVJKFLC@JG! NJO@ICQ:! ]BIKI! IMIOCKJG@ON!

IGLPMIN!GI]!@GVJKFLC@JGEPLNIR!NIKS@OIN!VJK!OJGNHFIKN:!LGR!PIOJFIN!@GS@N@PMI!CJ!ISIKQRLQ!M@VIX!!!!!

!! +B@N! c@GS@N@PMI! N@M@OJGd! ]@MM! KI_H@KI! RKLFLC@OLMMQ! MJ]IKEUJ]IK! MJ]IKEOJNC! LGR! NFLMMIKEN@fI!IMIOCKJG@ON!CBLC!OLG!JGMQ!PI!KILM@fIR!CBKJHAB!GLGJIMIOCKJG@O!(#NX!!!

!! 2LGJIMIOCKJG@ON!RISIMJUFIGC!BLN!FLGQ! CIOBG@OLM!LGR!IOJGJF@O!OBLMMIGAINX!+BI!UK@FLKQ!CIOBG@OLM!OBLMMIGAIN!VJK!GLGJIMIOCKJG@ON!UKJRHOC!RISIMJUFIGC!LKI!CBI!UKJOINN!LGR!RIS@OI!ULKLFICIK! SLK@LC@JGNX! +BI! IOJGJF@O! K@NYN! LGR! OBLMMIGAIN! LKI! CBI! B@AB! OJNC! JV! UKJOINNECIOBGJMJAQ!RISIMJUFIGC!LGR!FLGHVLOCHK@GA!UKJRHOC@JGX!

!! +BI!JGMQ!]LQ! CJ!FLGLAI!CBI!K@NY!LGR!NHOOINNVHMMQ! @GCKJRHOI!B@ABESJMHFI!GLGJIMIOCKJG@ON!UKJRHOCN! @N! CJ!OKILCI!L! C@ABC!OJMMLPJKLC@JG!PIC]IIG!NIF@OJGRHOCJK!FLGHVLOCHKIKN:!NQNCIFE@GVKLNCKHOCHKI!UKJS@RIKN:!LGR!NIKS@OI!@GRHNCK@INX!+BI!CLMY!]@MM!RINOK@PI!BJ]!AJSIKGFIGC!OLG!UMLQ! L! YIQ! KJMI! @G! IGLPM@GA! LGR! NHUUJKC@GA! CBI! RISIMJUFIGC! UBLNIN! JV! UKJRHOCN! VJK! CBI!VHCHKI!HP@_H@CJHN!@GVJKFLC@JG!NIKS@OIN!NJO@ICQ!PLNIR!HUJG!GLGJIMIOCKJG@ONX!!!

!!

GEHAI!*3J&$.(!&.($++&1$./$O!!1&1*,/*+$!-%$*3,!*.-!!!!!!!!!!!!!!.*.",/*+$!%$*+&(&$,!

4PQR!-7!3=9:!4&#")'(5&$&-'16(7&88)92(+!:/;(<')*&$$)'(3-%6)8"&=&(>#"?&'$"%&"%(@&0?&#2(A&8B"0,(

!!! 1KILFN!JV!OJHGCMINN! @GCIMM@AIGC!IMIOCKJG@O!RIS@OIN:! CBLC!LKI!NIGN@C@SI! CJ:!LGR!LRLUC! CJ:!JHK!BHFLG!GIIRN!]@MM!PI!FLRI!UJNN@PMI!PQ!PKILY@GA!CBKJHAB!OJGNCKL@G@GA!OJFUMIT@CQ!PLKK@IKN:!@GOMHR@GAg!!MJ]EUJ]IK!NJVC]LKI!LC!CBI!CJU!JV!CBI!UKJRHOCERISIMJUFIGC!OBL@G:!LGR!GLGJNOLMIERIS@OI!_HLGCHF!UBQN@ON!LGR!ULKLFICIKESLK@LC@JG!OJGCKJM!LC!CBI!LCJF@O!MISIMX!!

!! +B@N!NCLCI!JV!PI@GA!NHKKJHGRIR!PQ!GHFIKJHN!@GCIMM@AIGC!IMIOCKJG@ON!RIS@OIN:!OLMMIR!LFP@IGC!@GCIMM@AIGOI:! ]@MM! KI_H@KI! C]JEJKRIKNEJVEFLAG@CHRIEMJ]IK! UJ]IK! R@NN@ULC@JG! CBLG! CJRLQWN!UKJOINNJKN:! LC! JGI! C]IGC@ICB! CBI! OJNCX! 9MM! MISIMN! JV! CBI! RIN@AG! B@IKLKOBQ:! VKJF! O@KOH@CN! CJ!LKOB@CIOCHKI:!LGR!NJVC]LKI:!]@MM!PI!GIIRIR!CJ!LRRKINN!CBI!MJ]EUJ]IK!@NNHIX!

!! <HC!CBIKI!@N!LG!LKOB@CIOCHKLM!ALU!@G!CBI!UJ]IKEIVV@O@IGOQ!UIKVJKFLGOI!VJK!UKJOINNJKN!#!CBIKI!@N!C]J!JKRIKN!JV!FLAG@CHRI!@FUKJSIFIGC!KI_H@KIR!@G!OJFUHCLC@JGLM!UJ]IK!IVV@O@IGOQX!5LQN!JV!LRRKINN@GA!CB@N!ALU!]@MM!PI!RINOK@PIRX!!

"#$%#&$'!

! "#$%&'()*+!,--.!(//##01$!2$+!'3%'$14#3!5(+*$4+!%3'6(//($2! ! !

7.!

!

!! 9C!CBI!NLFI!C@FI:!CBIKI!@N!L!UBQN@OLM!ALU!RHI!CJ!CBI!KILM@C@IN!JV!RIS@OIN!LGR!@GCIKOJGGIOCN!LC! CBI! GLGJNOLMI! MISIM:! NHOB!LN! ALCI! LGR! NJHKOIERKL@G! MILYLAI:! LGR! CKLGN@NCJKEULKLFICIK!SLK@LP@M@CQX!

!! (G!LRR@C@JG!CJ!cFJKIE6JJKId!CKLGN@NCJKERIGN@CQ!AKJ]CB!VJK!UJ]IKEIVV@O@IGC!OJFUHCLC@JG:!CBIKI!@N! LMNJ!L! OMLNN!JV! UKJRHOCN! CBLC!]@MM! KI_H@KI! cFJKIECBLGE6JJKId:!FJKI! CBLG! ^HNC! CKLGN@NCJKERIGN@CQ! @FUKJSIFIGC:! NHOB! LN! 636/! NCKHOCHKIN:! LGR! GI]! FLCIK@LMN! LGR! RIS@OIN:! VJK!NIGNJKN!LGR!]@KIMINN!NIGNJK!GIC]JKYNX!!

!!

GEHBI!&.."#*(&".!*.-!&.($1%*(&".!&.!(4$!!!!!!!!!!!!!.*."$+$/(%".&/,!$%*!

,P9>89!/5RP:!!4&#")'(C"1&(<'&$".&#%(-#.(D&#&'-8(!-#-B&'((E&16#)8)BF(-#.(!-#0*-1%0'"#B(D')0G2(+#%&82(H"88$I)')2(J5(

!!! /@M@OJG!CIOBGJMJAQ!LGR!NOLM@GA!KIFL@G!YIQN!CJ!UKJAKINN:!]@CB!CKLGN@NCJKN!LGR!O@KOH@C!IMIFIGCN!LMKILRQ!LC!GLGJNOLMI!R@FIGN@JGNX!(GGJSLC@JG!]@MM!LOOIMIKLCI!LN!GLGJCIOBGJMJAQ!KIGI]N!LGR!ITCIGRN!N@M@OJG!CIOBGJMJAQ!CBKJHAB!NY@MMVHM!@GCIAKLC@JG!JV!GI]!FLCIK@LMN:!UKJOINNIN:!LGR!RIS@OI!NCKHOCHKINX!!

!! *LKR]LKI!LGR!NJVC]LKI!LKI!ISJMS@GA!CJ!IGLPMI!GI]!LUUM@OLC@JGN!LGR!HNLAI!FJRIN:!PQ!JVVIK@GA!VILCHKIN!M@YI!FHMC@CLNY@GA:!ULKLMMIM!UKJOINN@GA:!FJP@M@CQ:!LGR!]@KIMINN!OJGGIOC@S@CQX!

!! 3VV@O@IGC!HNI!JV!UJ]IK!@G!GLGJIMIOCKJG@ON!]@MM!OLMM!VJK!BJM@NC@O!NJMHC@JGN!@GSJMS@GA!NQNCIFN:!O@KOH@CN:!UKJOINNIN:!RIS@OIN:!LGR!ULOYLA@GAX!

!! +BKJHAB!@GGJSLC@JG!LGR!@GCIAKLC@JG!JV!GLGJCIOBGJMJAQ:!6JJKIWN!hL]!]@MM!ITCIGR!@GCJ:!LGR!PIQJGR:!CBI!GITC!RIOLRIX!!

"#$%#&$'!

! "#$%&'()*+!,--.!(//##01$!2$+!'3%'$14#3!5(+*$4+!%3'6(//($2! ! !

7>!

!

$$!!..//##,,..))((&&//,,00//((,,00//$$%%&&

*.*+"1O!

!! 9!,,)/bN!=P!19#!UHNBIN!CBI!19#ENUIIR!VKJGC@IK!GSHTI!

!! hJ]!NHUUMQ!SJMCLAIN:!RJ]G!CJ!-X>i:!LKI!RIFJGNCKLCIR!GUHEN!ATHVIH!!

!! D-GF!CIOBGJMJAQ!IGCIKN!LGLMJA!RIN@AG:!VJKO@GA!MJ]ESJMCLAI!!CIOBG@_HIN!GUHAN!UHBN!EWHAN!ATHBN!ATHXI!!

!! 1IMCLE/@AFL!#JGSIKCIKN!ITCIGR!CBI@K!PLGR]@RCB!PIQJGR!,-6*f!GUHWN!ATHSI!!

-&1&(*+O!

!! 7XZ<!CKLGN@NCJKN!@GCIAKLCIR!JG!L!N@GAMI!R@I!GEYHEI!

!! #JGNCLGC!UJ]IK!R@NN@ULC@JG!87--5!VJK!LG!(GCLG@HF"?!FL@GCL@GIR!PQ!OJJKR@GLCIR!OJGCKJM!JV!SJMCLAI!LGR!VKI_HIGOQ!GEYHEI!

!! %IKVJKFLGOI!AJLMN!@GOKILN@GAMQ!LOB@ISIR!CBKJHAB!FHMC@UMI!OJKIN!]@CB!F@TIR!LKOB@CIOCHKIN!JG!N@GAMI!R@I!GEYHAN!EYHSN!EYHTI!

!! /@AG@V@OLGC!@GOKILNIN!@G!JGEOB@U!OLOBI!FIFJKQ!8,=X\6<?!!GEYHEN!EYHBN!EYHXI!

!! 2ITCEAIGIKLC@JG!FHMC@FIR@L!UKJOINN@GA!HN@GA!R@SIKNI!OJKIN!!LGR!(b$!CIOBG@_HIN!GEYHAN!EYHWN!EYHSN!EYHTN!EYHVI!

!! 9!e,$!@GOKILNI!@G!AKLUB@ON!UKJOINN@GA!UIK!F5!@G!,!QILKN!GEYHSI!

!! 1QGLF@O!SJMCLAI!NOLM@GA!]@CB!LRLUC@SI!VKI_HIGOQ!OJGCKJM!GESHXN!ESHWN!ESHSI!

!! $GER@I!UJ]IK!FILNHKIFIGC!8GISIK!PIVJKI!@FUMIFIGCIR?:!CIFUIKLCHKI!FILNHKIFIGC:!LGR!FLGLAIFIGC!JV!ILOB!GESHTI!

!! *@ABENUIIR!R@A@CLM!O@KOH@CN!JUIKLC@GA!LC!HU!CJ!\)*f!GAYHEN!AYHBN!AYHXI!

!! #B@UECJEOB@U!(b$!FICBJRN!HNIR!@GEULOYLAI!LGR!JGER@I!GAYHTN!AVHTI!

!! 9NQGOBKJGJHN!MJ]ESJMCLAI!RIN@AG!VJK!L!7X.Z!P@MM@JGECKLGN@NCJK!OLOBI!GASHVI!

!! #MJOY!AIGIKLCJK!CBLC!LOB@ISIN!7>$!LR^HNCLPMI!SLK@LC@JG!@G!OMJOY!KLCI!]@CB!L!OQOMI!C@FI!JV!JGMQ!e!ALCI!RIMLQN!GAVHXI!

!! *@ABENUIIR!(b$!M@GYN!HU!CJ!=X.)PbNbOB!GAVHSN!AVHUI!

!! 9!ULKLMMIM!@GCIKVLOI!CJMIKLCIN!HU!CJ!Z!P@CN!JV!NYI]!PIC]IIG!U@GN!GAVHUI!

Peter Kinget

"#$%#&$'!

! "#$%&'()*+!,--.!(//##01$!2$+!'3%'$14#3!5(+*$4+!%3'6(//($2! ! !

7=!

$$!!..//##,,..))((&&//,,00//((,,00//$$%%&1.-#$,#2!34&

&3*1$%,N!3$3,!*.-!-&,F+*L,O!

!! 9!#6$/!CIFUIKLCHKI!NIGNJK!LOB@ISIN!L!CIFUIKLCHKI!LOOHKLOQ!JV!%-X7&#!JSIK!CBI!CIFUIKLCHKI!KLGAI!JV!j>>&#!CJ!k7,>&#X!GEBHEI!

!! 9!#6$/!F@OKJENIGNJK!CBLC!OLG!RICIOC!MINN!CBLG!7UUP!JV!MILR!OJGOIGCKLC@JG!HNIN!L!VI]!CB@GEV@MF!UJNCEUKJOINN@GA!NCIUNX!GEBHXI!

!! +BI!NFLMMINC!U@TIM:!QIC!LGGJHGOIR:!7X>='F:!@N!@GOJKUJKLCIR!@G!L!eX76U@TIM!;+E##1!JUC@F@fIR!VJK!FJP@MI!@FLA@GA!GEUHEI!

!! 9! RIGNI! 76U@TIM! #6$/! @FLAI! NIGNJK:! OKILCIR! HN@GA! L! e1! VLPK@OLC@JG! CIOBG@_HI:! NBJ]N! L!UJNN@PMI!R@KIOC@JG!VJK!JUC@F@f@GA!OJFP@GLC@JGN!JV!R@VVIKIGC!CIOBGJMJA@IN!GEUHSI!

!! 9! GIHKLMEFJG@CJK@GA! NQNCIF! UKJS@RIN! L! N@AG@V@OLGC! LFJHGC! JV! RLCL! OJFUKINN@JG! 8D,l?! VJK! L!CBKIIER@FIGN@JGLM!,>=ENIGNJK!N@CIX!GBYHXI!

3$3"%LO!

!! 2921!;MLNB!PKILYN!\)P!PLKK@IK!GAHEN!AHAI!

!! 6HMC@EMISIM!2$'!;MLNB!JUIKLCIN!LC!7==6*f!GAHSI!

!! ,)P!11',!/1'96!LOB@ISIN!\--6PbNbU@G!GAWHSI!

!! I1'96!JUIKLC@GA!LC!.--6*f!KLGRJF!OQOMI!GAWHBI!

!! ;@KNC!,>=6P!/'96!GASHAI!

!! /'96N!JUIKLC@GA!LC!NHPE-X>i!!GASHBN!ASHSI!

!! 3FPIRRIR!he!#LOBI!@GOKILNIN!CJ!,.6<!GASHVI!

,&1.*+!F%"/$,,&.1O!

!! 'ILMEC@FI!B@ABERIV@G@C@JG!*X,=.!S@RIJ!IGOJRIK!GTHEI!

!! hJ]EUJ]IK!@FUHMNIEKLR@J!45<!CKLGNOI@SIKX!GAXHEI!

!! *@ABERLCLEKLCI!h1%#E#$;16EPLNIR!45<!CKLGNOI@SIKX!GAXHAI!

!! 5h92EPLNIPLGR!CKLGNOI@SIK!]b6'#!LGR!+T!PILFVJKF@GA!GAXHSI!

!! 'JPHNC!@FUKJSIRECBKJHABUHC!5h92!HN@GA!L!]@RIPLGR!LUUKJLOB!!VJK!CBI!9OOINN!%J@GCX!GAXHTI!

!! ;HMMQE@GCIAKLCIR!'b5!Zm<1b7=m1i1b>=m#1!/J#!GBEHBI!

"#$%#&$'!

! "#$%&'()*+!,--.!(//##01$!2$+!'3%'$14#3!5(+*$4+!%3'6(//($2! ! !

7Z!

$$!!..//##,,..))((&&//,,00//((,,00//$$%%&1.-#$,#2!34&

($/4."+"1L!-&%$/(&".,O!

!! 1IFJGNCKLCIR!F@OKJNOJU@O!@GCIKVLOI!PIC]IIG!GIHKLM!LGR!IMIOCKJG@O!NQNCIFN!GXHEI!

!! 636/EPLNIR!LCJF@O!OMJOYN!]B@OB!LKI!Z--$!NFLMMIK!CBLG!CBI!UKINIGC!LKC!GXHWI!

!! ;HMMQEVHGOC@JGLM!636/!AQKJNOJUI!JG!OJGSIGC@JGLM!#6$/!MJA@O!OB@U!GXHTI!

!! 9!'IOC@V@IK!(#!VJK!]@KIMINN!UJ]IK!CKLGNF@NN@JG!HU!CJ!7-FER@NCLGOI!GEXHEI!

!! +BKIIER@FIGN@JGLM!@GCIAKLC@JG!NOBIFIN!HN@GA!]@KIMINN!@GCIKOJGGIOCN!GEXHXN!EXHWI!!

!! ';! KIOI@SIK! LKOB@CIOCHKI! @GCIAKLC@GA! JGEOB@U! 636/EPLNIR! B@ABEn! @GRHOCJKN! LGR! CHGLPMI!SLKLOCJKN:!CJAICBIK!]@CB!LG!LKKLQ!JV!ULKLMMIM!OLGC@MISIK!PILFN!OJFP@G@GA!RJ]GOJGSIKN@JG!F@TIKN!

LGR!OBLGGIM!V@MCIKN!GAEHEI!

!! ;@KNCEC@FI!RIFJGNCKLC@JG!JV!@GCIAKLC@GA!<95!V@MCIKN!LPJSI!<@#6$/!LGR!CBI@K!OJGGIOC@JG!CJ!CBI!';!O@KOH@CN!PIMJ]H!+B@N!CIOBG@_HI!@N!HNIR!VJK!CBI!@GCIAKLC@JG!JV!L!OJFUMICI!5#169!';!VKJGCE

IGRX!GAEHA!=9<!AEHBI!

!! 2I]!';!OJFFHG@OLC@JG!RIS@OIN!LGR!NQNCIFN!PLNIR!JG!636/!GAEHEN!AEHAN!AEHBN!AEHWI!

!! ;@KNC! #6$/! =-)*f! R@KIOCEOJGSIKN@JG! KIOI@SIK! VILCHK@GA! VJMRIR! F@OKJNCK@U! M@GIN! KILM@fIR! @G!-X7eoF!#6$/!LGR!OJGNHF@GA!DF5!VKJF!L!7X,i!NHUUMQ!GAEHSI!

!! 2I]!OLNOLRIR!FHMC@ENCLAI!R@NCK@PHCIR!LFUM@V@IK!@G!D-GF!#6$/!LOB@ISIN!PICCIK!CBLG!ZR<!AL@G!]@CB!L!PLGR]@RCB!JV!Z-)*f!GAEHTI!

!! $KALG@O!CKLGN@NCJKN!LKI!@GCIAKLCIR!@GCJ!VMIT@PMI!NOLGGIK!LGR!R@NUMLQ!GBAHAN!BAHBI!

'&%$+$,,!/"33).&/*(&".,O!

!! ;@KNC!CKHMQ!N@GAMIEOB@U!\-,X77!5h92!CKLGNOI@SIKN!@G!#6$/!GWHAN!WHBI!

!! 9!,.!)*f!%BLNIRE9KKLQ!+KLGNF@CCIK!JG!-X7\'F!#6$/!GEEHTI!

!! 4MCKLE5@RIE<LGR!+KLGNOI@SIKN!GEEHVN!EEHUI!

!! 9!N@GAMIEOB@U!nHLRE<LGR!)/6b)%'/!+KLGNOI@SIK!@G!-X7\'F!!/CLGRLKR!#6$/!GETHSI!

!! 9!7,-GF!#6$/!1i<E+!+HGIK!GABHBI!

!! 9!#6$/!+i!+HGIKb1IFJRHMLCJK!(#!]@CB!1@A@CLM!(FLAI!'I^IOC@JG!GABHXI!

!! 9!<KJLRPLGR!,7!CJ!,=!)*f!/@)I!<@%JMLK!%9!66(#!GAUHEI!

!! 9!2J@NIE#LGOIMMLC@JG!+IOBG@_HI!@G!9OC@SI!';E#6$/!6@TIKN!GAUHVI!

"#$%#&$'!

! "#$%&'()*+!,--.!(//##01$!2$+!'3%'$14#3!5(+*$4+!%3'6(//($2! ! !

7\!

$$!!..//##,,..))((&&//,,00//((,,00//$$%%&1.-#$,#2!34&

'&%$+&.$!/"33).&/*(&".,O!

!! =X.)PbN!#6$/!/IK1IN!#JKI!]@CB!;IIRE;JK]LKR!LGR!1IO@N@JGE;IIRPLOY!3_HLM@fLC@JG!GBHAI!

!! =X,>)PbN!<@GLKQ!9RLUC@SI!1IO@N@JGE;IIRPLOY!3_HLM@fIK!]@CB!;@KNC!%JNCE#HKNJK!+LU!#LGOIMMLC@JG!GBHWI!

!! #@KOH@C!+IOBG@_HIN!VJK!L!.-)PbN!+KLGNF@CCIK!@G!-X7e'F!#6$/!GVHEI!

!! ei!7-XZ)PbN!1@VVIKIGC@LM!hLNIKE1@JRI!1K@SIK!]@CB!9OC@SI!<LOYE+IKF@GLC@JG!$HCUHC!/CLAI!GEAHEI!

!! ,-)PbN!i#/3h!1K@SIK!LGR!'IAHMLCIR!$HCUHC!(FUIRLGOI!@G!-X7e'F!#6$/!GEAHAI!

!! ';b<LNIPLGR!;169E(GCIKOJGGIOC!+KLGNOI@SIK! VJK!'IOJGV@AHKLPMI!6HMC@UMI!9OOINN! @G!#B@UECJE#B@U!#JFFHG@OLC@JG!!GEVHSI!

!! -XD.UNEKFNE^@CCIK!,X>)*f!6HMC@UBLNI!)IGIKLCJK!%hh!VJK!7-)PbN!/IK@LM!h@GYN!GAAHEI!

!! 7-7R<Ob*f!LC!76*f:!..)*f!1@VVIKIGC@LMMQE+HGIR!i#$!]@CB!.)*f!+HG@GA!'LGAI!@G!-X7,'F!/$(!#6$/!GAAHXI!

"#$%#&$'!

! "#$%&'()*+!,--.!(//##01$!2$+!'3%'$14#3!5(+*$4+!%3'6(//($2! ! !

7D!

-&,/),,&".!,$,,&".,!

+BIKI!LKI!G@GI!1@NOHNN@JG!/INN@JGN!@G!LMM:!CBKII!JG!ILOB!JV!/HGRLQ:!6JGRLQ!LGR!+HINRLQ!3SIG@GANX!$V!CBINI:!CBIKI!LKI!V@SI!/UIO@LME+JU@O!/INN@JGN:!UKJS@R@GA!@GN@ABC!LGR!PLOYAKJHGR!@G!L!CJU@OLM!LKILX!

,).-*L!!

,$E! %J]IKM@GI!h92g!(N!+BIKI!L!#JGOKICI!5LMM!1@S@R@GA!5@KIMINN!VKJF!!5@KIM@GIp!

,$A! 5BIG!%KJOINNJKN!*@C!CBI!%J]IK!5LMM!8JK!q5BIG!CBI!#%4!B@CN!CBI!VLGq?!

,$B! (GCIAKLC@JG!@G!CBI!eKR!1@FIGN@JGg!$UUJKCHG@C@IN!LGR!#BLMMIGAIN!

3".-*L!!

$E! 6JP@MI!(FLA@GAg!%LKLR@AF!/B@VC!JK!+IOBGJMJAQ!<HPPMIp!

$A! 5BLC!%LUIKN!5@MM!LGR!5@MM!2JC!<I!LC!(//##,-7-p!

,$X! +J]LKR!CBI!2LGJNOLMI!+KLGN@NCJK!E!*@ABM@ABCN!JV!,--.!/QFUJN@HF!!!!!JG!ih/(!+IOBGJMJAQ!

()$,-*L!!

,$W! /'96!1IN@AG!@G!CBI!2LGJNOLMI!3KL!

$B! ';!636/g!;LOC!JK!/C@OC@JG!

$X! ! 1K@S@GA!6@NN!4P@_H@CQg!5BLC!LUUM@OLC@JGN!]@MM!V@MM!CJFJKKJ]WN!VLPNp!

!

"#$%#&$'!

! "#$%&'()*+!,--.!(//##01$!2$+!'3%'$14#3!5(+*$4+!%3'6(//($2! ! !

,-!

%%//--**$$&&..--22**%%!!55&&E60'$.-F2(7&I'0-'F(KL2(MLLN(

!

**66&&..,,**..22,,$$&&33!!%%,,00##&&66**--77&&&&

$$!!..//##--((--00++&&$$--&&%%++%%$$!!77%%&&!

/")%,$!"JZ$/(&#$g!

! +B@N! /BJKC! #JHKNI! @N! @GCIGRIR! CJ! UKJS@RI! PJCB! IGCKQEMISIM! LGR! ITUIK@IGOIR! IGA@GIIKN! ]@CB!UKLOC@OLM! LUUKJLOBIN! CJ! CBI! RIN@AG! JV! ';! O@KOH@CKQ! @G! #6$/! LGR! <@#6$/! CIOBGJMJA@INX!#JFUMIC@GA! CBI! OJHKNI! UKJS@RIN! CBI! LCCIGRII! ]@CB! LG! JSIKLMM! UIKNUIOC@SI! JV! CBI! CIOBGJMJAQ!OJGN@RIKLC@JGN:! O@KOH@C!RIN@AG! @NNHIN!LGR!RICL@MIR!RIN@AG!NCKLCIA@IN! VJK!O@KOH@C!PH@MR@GA!PMJOYN!VJK!]@KIR!LGR!]@KIMINN!OJFFHG@OLC@JG!LUUM@OLC@JGNX!

"#$%#&$'O! !

!! +IOBGJMJAQ!$UC@JGN!VJK!';E(#N!

! ! ! ,H!,8[R9!'R9Q:!4%-#*)'.(>#"?&'$"%F!r\g--LF:!7-g--LFs!

!! 5@KIMINN!(#!<H@MR@GA!<MJOYN!@G!#6$/b<@#6$/!

! ! ! ZR59!+R9Q:!O&8*%(>#"?&'$"%F()*(E&16#)8)BF!r7-g--LF:!7,g--UFs!

!! 3VVIOCN!JV!/HPNCKLCI!JG!CBI!';!%IKVJKFLGOI!JV!/@M@OJG!(GCIAKLCIR!#@KOH@CN!

! ! ! \7997;5!":!>#"?&'$"%F()*(78)'".-!r7g--UF:!eg--UFs!

!! ;KJGCE3GR!1IN@AG!VJK!5@KIMINN!/QNCIFN!

%=9M8;!15=6DP67]:!>#"?&'$"%F()*(!"16"B-#!reg--UF:!>g--UFs!

"#$%#&$'!

! "#$%&'()*+!,--.!(//##01$!2$+!'3%'$14#3!5(+*$4+!%3'6(//($2! ! !

,7!

!!

$$22$$--**,,))((%%55&&40#.-F2(7&I'0-'F(P2(MLLN((

(E! (2+3)'9+31!%$53'!6929)3632+!%R]!\=>>762(4!4/((

(A! (2+'$14#+($2!+$!(b$!13/()2!;$'!1()(+9h!/&/+36/!(5PC]<8<7@!^=9;5RDRP>R@2(/-?"0,(Q&%9)'=$(

(B! ';!636/g!13i(#3/:!#('#4(+/!921!%9#t9)(2)!2=66R?5!*]=_82(D&)'B"-(+#$%"%0%&()*(E&16#)8)BF(

(X! %*9/3E#*92)3!636$'&!18P>8R!/=@=Q6=9<72(4E(!"1')&8&1%')#"1$(

(W! 1/%!#('#4(+!+3#*2$h$)(3/!;$'!+*3!292$/#9h3!3'9!%=[!\68@59=[P6;5]2(+#%&8(!

(S! 292$+3#*2$h$)&!7-7!!4H0,H!F58>8D!'R9Q2(4%-#*)'.(>#"?&'$"%F!

(T! %$h9'!6$14h9+$'/!;$'!h(239'!5('3h3//!+'92/6(++3'/!!*9;R98R!3R9;=>:R2(R#-8)B(O&?"1&$(

(V! *()*E/%331!3h3#+'(#9h!(2+3';9#3/g!/+9219'1/!921!#('#4(+/!!LP68]!3H!167@58@5C57:2(<!/!!

!

"#$%#&$'!

! "#$%&'()*+!,--.!(//##01$!2$+!'3%'$14#3!5(+*$4+!%3'6(//($2! ! !

,,!

..,,**..22,,$$&&33!!%%,,00##&&66--**2277%%55&&40#.-F2(7&I'0-'F(P2(MLLN&

2E! /+"/\!*.-!2%$K)$./L!1$.$%*(&".!2"%!'&%$+&.$!!

!!!*.-!'&%$+$,,!*F++&/*(&".,!!

!! (GCKJRHOC@JG!

%P<R>`!\RC5:!+#*"#&)#2(

!! ;JKFHMLC@GA!1IN@AG!#K@CIK@L!VJK!;KI_HIGOQ!)IGIKLC@JG!VJK!5@KIMINN!9UUM@OLC@JGN!

-767?!,5=7``76:!R$G&#.)$(/),,0#"1-%")#$(

!! ;JKFHMLC@GA!1IN@AG!#K@CIK@L!VJK!#MJOY!)IGIKLC@JG!VJK!5@KIM@GI!9UUM@OLC@JGN!

! (=?758?R!.=?=R:!E)$6"I-(

!! 6HMC@UBLNI!'@GA!$NO@MMLCJKN!LGR!$NO@MMLCJK!%BLNI!2J@NI!6JRIM@GA!+IOBG@_HIN!

%Ra76;!%79989Q76:!RB&'&(

!! i#$!1IN@AG!LGR!%BLNI!2J@NI!6JRIMM@GA!

*>8!4=M8[868:(/-8"*)'#"-(+#$%"%0%&()*(E&16#)8)BF(

!! /HUUMQ!LGR!/HPNCKLCI!2J@NI!@G!i#$N!

Z=9!/6=989C?b:!+!:/(

!! /QNCIF!9GLMQN@N:!/@FHMLC@JG:!1IN@AG!LGR!$UC@F@fLC@JG!JV!%hh!;KI_HIGOQ!!!!!/QGCBIN@fIKN!VJK!#JFFHG@OLC@JG!/QNCIFN!

/8C76R!#=PC576:!<6"8"G$(

!! 1IN@AG:!/@FHMLC@JG:!LGR!<LGR]@RCB!3TCIGN@JG!6ICBJRN!!!!!VJK!;KLOC@JGLME2!;KI_HIGOQ!/QGCBIN@N!

38C5=7>!F766R;;:!!-$$-160$&%%$(+#$%"%0%&()*(E&16#)8)BF(

!! /UKILR!/UIOCKHF!%hhN`!1@KIOC!6JRHMLC@JG!]@CB!5@RIPLGR!%hhN!!

&:=9!J87;;8:!4E!"1')&8&1%')#"1$(

2A! *-#*./$-!-L.*3&/!3$3"%L!-$,&1.!!

!! (GCKJRHOC@JG!

Z89]R9Q!/5P9QN!<J4E:/H(

!! *@ABE/UIIR!1'96!1IN@AG!

LRP9Q04]P9!ZP9N!4-,$0#B!

!! 1'96!(b$!(GCIKVLOI!

! (766]!+77N!!"1')#(E&16#)8)BF!

!! *@AB!%IKVJKFLGOI!3FPIRRIR!1'96!!LGR!CINC!NCKHOCHKIN!

ZR59!J=6;5:!+A!(

!! 1'96!1IN@AG!VJK!6JP@MI!9UUM@OLC@JGN!

3=6;89!J6Rb:(+#*"#&)#((

!! /HPE7i!1'96!1IN@AG! !

! ! (=?=]P?8!\=c=5=6=:!H"%-16"(

!! 1'96!@G!2LGJNOLMI!3KL!

(R[R]P?8!&@588:!H"%-16"(

"#$%#&$'!

! "#$%&'()*+!,--.!(//##01$!2$+!'3%'$14#3!5(+*$4+!%3'6(//($2! ! !

,e!

..,,**..22,,$$&&33!!%%,,00##&&66--**2277%%55&&+BHKNRLQ:!;IPKHLKQ!7-:!,-->(

2B! /4*%*/($%&d*(&".!"2!,"+&-0,(*($!&3*1$!,$.,"%,!!

!! (GCKJRHOC@JG!

*>a76;!(57Pc8@@79N!OR@4R(

!! $UC@ON!

.RaP?=_P!(76=98@58N!!-%$0$6"%-(

!! 1IS@OI!%BQN@ON!

! -=:7!,=C?7;;N!:-$%,-#(3).-=!

!! 1IN@AG!;JK!+INCLP@M@CQ!

JMR69=6!47697@N!Q)'."1(4&,"1)#.01%)'!

!! 3MIOCKJG@O!#@KOH@CN!

+89<@=]!16=9;N!4E(!"1')&8&1%')#"1$!

!! +IOBGJMJAQ!

,5RP01cR!'PPN!E4!/!

!! (/$!/IGN@C@S@CQ!!

%8C?!J=76N!RB"8&#%!

!! /CLGRLKR@fLC@JG!!

486R`P[8!,P[8N!4)#F!

2X! %"J),(!-$,&1.!,"+)(&".,!2"%!.*.",/*+$!/&%/)&(,O!!

!!!2%"3!-23!(4%")14!$.-0"20+&2$!!

!! (GCKJRHOC@JG!

.R6[=9!%R5676N!+A!!

!! 6JRIMM@GA!1;6!

/>8:7!J8;;>7@;R97:!E&S-$(+#$%'0,&#%$!

!! ih/(!'IM@LP@M@CQ!6IOBLG@NFN!E!#JGN@RIKLC@JGN!VJK!#@KOH@C!1IN@AG!

! %R9!JR>=[:!+A!!

!! $UC@F@fLC@JG!+IOBG@_HIN!VJK!'JPHNC!1IN@AG!

Z=C?!F8DD89:!+#%&8!

!! 'JPHNC!#@KOH@C!1IN@AGN:!;ML]IR!#@KOH@C!1IN@AGN!

-=:8<!1677958>>:!40#(!"1')$F$%&,$((

!! 'JPHNC!/'96!1IN@AG!

! ! 4]P9017P9!J]P9:!4-,$0#B!

!! 6HMC@E)PbN!(b$!1IN@AG!#BLMMIGAIN!@G!=>GF!LGR!<IQJGR!

1766]!(=>aR;:!R!O(

!! +JMIKLGC!9KOB@CIOCHKIN!

48@=@58Q7!*9<R:!*@CLOB@!

"#$%#&$'!

! "#$%&'()*+!,--.!(//##01$!2$+!'3%'$14#3!5(+*$4+!%3'6(//($2! ! !

,.!

..,,**..22,,$$&&33!!%%,,00##&&66--**2277%%55&&+BHKNRLQ:!;IPKHLKQ!7-:!,-->(

2W! *(*/O!*)("3"(&#$!($/4."+"1L!*.-!/&%/)&(,!!

!! (GCKJRHOC@JG!

476[=9!/=@876N!R!+4(

!! 9HCJFJC@SI!/QNCIFN!LGR!6LKYICN!

F=;68C?!+7;789;P6876N!+#*"#&)#(

!! /IF@OJGRHOCJK!+IOBGJMJA@IN!/HUUJKC!2I]!)IGIKLC@JG!*QPK@R!#LK!

! 3=@=]P?8!4=;;R68N!E)F)%-!

!! /69'+6$/:!CBI!4MC@FLCI!%J]IK:!9GLMJA:!6@TIR!/@AGLM!+IOBGJMJAQ!!VJK!9HCJFJC@SI!9UUM@OLC@JGN!

4=?0L=[!(@R8N!7'&&$1-8&!

!! (G!iIB@OMI!(FLAI!%KJOINN@GA!h/(!VJK!1K@SIK!9NN@NCLGC!/QNCIFN!

,5R689!\R]N!Q:/!

!! $SIKS@I]!LGR!#BLMMIGAIN!JV!1LCL!#JFFHG@OLC@JG!@G!CBI!iIB@OMI!

,;7`=9!FR>7<9=N!EEE&16!

!! 9!h(2!+KLGNOI@SIK:!L!#LNI!/CHRQ!JV!9HCJFJC@SI!1IN@AG!!

\R79!*DD7>;=9@N!R!+4!

!! ;HCHKI!h@ABC@GA!/QNCIFN!VJK!iIB@OMIN!!

1P9;576!+78@89QN!+P[8;7C5!

!! /FLKC!/IGNJKN!VJK!9HCJFJC@SI!9UUM@OLC@JGN!

-86?!4=[[76@C5[8<;N!+#*"#&)#!

!!

!"!#$%&

&

!! $'()'*(+&

!! ,(-./)(0&1-2()3&

!! 1-4(5&

!! 6/.7)*-5&

&

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

,6!

!"##$%&#'(!"#$"#%&"#'(!"#$%&'!()'!)&'!*)+! ! ! ! ( ( ( ( )*)+,-(

..!!!!////((00111122((3(())**))++,,--((

!456%77$88""(/9:$;'!,-./0!123456728'!#8-92:!,4./;47'!</9=/8:628'!>#(

))**++,,**--++..##

<,!=>!.-*.?./)*=(@A!B+=!( (

!! %789:;<=>?@A!B9CD@?EF9<!G=>9!BD9!8=H!B7!G7:B=IJ9!=GGJ?C=B?7@<(CDE2F(G2EHF(0IEGJ(

!! K!,,)/L<!MI!0K#!GF<D9<!BD9!0K#;<G99N!O:7@B?9:!CDEIJ(

!! P78!<FGGJH!>7JB=A9<Q!N78@!B7!-RSTQ!=:9!N9U7@<B:=B9N!CKEGF(0IELJE(!

!! V-@U!B9CD@7J7AH!9@B9:<!=@=J7A!N9<?A@Q!O7:C?@A!J78;>7JB=A9!B9CD@?EF9<(CKE0F(KEHF(G2E0F(0IEHF(0IEMJ(!

!! 09JB=;/?AU=!#7@>9:B9:<!9WB9@N!BD9?:!I=@N8?NBD!I9H7@N!,-5*X(CKE2F(0IEDJ!(

)NN+./)=.,*!()*O(A/,*,<./(.<N)/=(

!! *?AD;<G99N!0K#<!G:7>?N9! N?:9CB!<H@BD9<?<! 7O! :=N?7! <?A@=J<Q! 9@=IJ?@A!UFJB?I=@N! B:=@<U?BB9:<! =@N!Y<7OB8=:9!:=N?7Z!=:CD?B9CBF:9<!CDEMF(DEIJ!

!! 57I?J9! =GGJ?C=B?7@<! :9EF?:9! 9>9:;J789:! G789:! =@N! 9>9:;?@C:9=<?@A! I=@N8?NBD! B7! =CC7UU7N=B9!U7N9:@!I:7=NI=@N!B9CD@7J7A?9<![\)!C9JJFJ=:Q!4PK1Q!=@N!I9H7@N]!CDE2F(KE2F(G2E0F(G2EHJ!

!! T9:H;J78;>7JB=A9!B9CD@?EF9<!<FAA9<B!BD9!G7<<?I?J?BH!7O!9>9@;N99G9:!<FIU?C:7@!N9<?A@<!!CKEGF(0IELJ!

!! T9:H;J78;>7JB=A9!B9CD@?EF9<!=:9!?N9=J!O7:!I=BB9:H;7G9:=B9N!=@=J7A!N9<?A@!CKEGF(0IELJ!

!! K<! 577:9^<! J=8! N:?>9<! N?A?B=J! C?:CF?B<! B7! BD9! N99G! <FIU?C:7@! :9A?7@Q! ?UGJ9U9@B?@A! =@=J7A!OF@CB?7@<!7@!BD9!<=U9!G:7C9<<!@7N9!=JJ78<!?@B9A:=B?7@!7O!/7#<!O7:!J78;C7<B!=@N!<U=JJ;O77BG:?@B!

N9<?A@<R!CKE0F(KEHF(0IEIJ!

N)*A+(

P9:8(N:Q";#(P$RR(S"(T:&U(P$RR(*,=(S"V(:8(.!!//(01G1W(CA0J(

=B=,@.)+(

.&8"X;:8"U(N%Y";(<:&:X"7"&8!C=GJ(

(

Peter Kinget
Peter Kinget

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

,_!

!"##$%&'(DF(G2F(0I("#$%&'!)&'!*)+! ! ( ( ( ( ( ( ( )*)+,-(

(((((((((((?A)=B@A(

(

@@""##QQ%%&&##""((88%%((8899""((ZZ$$XX99((//%%##88((%%[[((AA&&"";;XX\\WW((

NN%%YY"";;((!!::]]$$&&XX((@@44RR""##((..!!!!////((00111122##()(H21<Z^(+%Y>,!@(O"R8:>!$X7:(/4;;"&8>!8"";$&X(O)/(Y$89(

)68$]"(=";7$&:8$%&($&(1EGH'7(/<,!(CDE2J(?8@/8428!A4;B8292:/47!!

)(21<!_#(TH27PV(8%(G`!_#(TG2'PV(N%Y";>!6:R:5R"(G15(N$Q"R$&"()O/(Y$89(<$&$7:R(S$:#>/4;;"&8(a:;$:8$%&(CG2EHJ(C8/.457/6D!2@!A252862!!

)(H7P(IMUS(!*@(0<Z^(/%&8$&4%4#>=$7"(O"R8:>!$X7:()O/(

Y$89(:(=;:6`$&X>)O/(b4:&8$^";($&(1EGH'7(/<,!(C0IEGJ(?8@/8428!A4;B8292:/47!

N@A!A*=(!=)=A(,?(=ZA()@=(EAFG!$1HIJG>K(

!! *?AD;O:9EF9@CH!7:!D?AD;NH@=U?C;:=@A9!=GGJ?C=B?7@<!F<F=JJH! :9EF?:9! J7B<!7O!G789:!NF9!B7!D?AD;<G99N!7:!J78;@7?<9!C?:CF?B<R!

!! %789:!<=>?@A!?<!C:FC?=J!O7:!G7:B=IJ9!=GGJ?C=B?7@<Q!=<!89JJ!=<!O7:!=CD?9>?@A!D?AD!G=C`?@A!N9@<?BHR!

*,aA+(/,*[email protected]=.,*!(

+D9<9!G=G9:<!87:`!8?BD!<9>9:=J!N?OO9:9@B!B9CD@?EF9<a(

!! %=G9:! DE2! G:7>?N9<! =! CJ9>9:! C7UI?@=B?7@! 7O! '9BF:@;B7;b9:7! C7N?@A! =@N! B?U9! ?@B9:J9=>?@A! B7!=CD?9>9!B7G!=@=J7A!G9:O7:U=@C9!=B!=!:9NFC9N!<8?BCD?@A!:=B9R!

!! %=G9:! G2EH! =N=GB<! @7B! 7@JH! I?=<! CF::9@B<Q! =<! 7BD9:<! N7Q! IFB! 9WB9@N<! BD=B! 8?BD! =! CF::9@B;U7NFJ=B?7@!B9CD@?EF9R!+D?<!J9=N<!B7!=!B7B=J!CF::9@B!>=:?=B?7@!:=@A9!7O!S----!B7!cR!

!! %=G9:! 0IEG! 9J?U?@=B9<! U7<B! C7UG=:=B7:<! F<F=JJH! @99N9N! ?@! UFJB?I?B! K0#<! ?@! 9WGJ7?B?@A! BD9!<?A@=J!<B=B?<B?C<!8?BD?@!=!/?AU=;09JB=!C7@>9:B9:R!

/B@@A*=()*O(N@,cA/=AO(!.-*.?./)*/A(

!! %789:!<=>?@Aa!!2@=IJ9<!89=:=IJ9!9J9CB:7@?C<R!

!! %789:!<=>?@Aa!!2@=IJ9<!@9WB;A9@9:=B?7@!C9JJGD7@9<!!!

!! %789:!<=>?@Aa!!'9NFC9<!<?X9!=@N!89?ADB!

!! %789:!<=>?@Aa!!d99G<!BD9!J?ADB<!7@!?@!#=J?O7:@?=e!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

,V!

!"##$%&'(!("#$%&+!!( ( ( ( ( ( ( ( (( ( ( )*)+,-(

?A)=B@A(

//001122334455666677##8899::##;;<<==>>66??@@66??AA##!!

)(GE0-!_#(G25(O)/([%;(N;"6$#$%&(!$X&:R(-"&";:8$%&(CDEGJ(#:/9486!A4;B8292:/47!!

)(GED-!_#(@"84;&>8%>d";%(-:)#(@?(O)/([%;(<4R8$QR"(*\e4$#8(,Q";:8$%&(CDE0J(12;LM499!N;/486/@/;!!

)(GEI-Z^(Ha(O$;"68>O$X$8:R(?;"e4"&6\(!\&89"#$^";(Y$89(:&(,&>

/9$Q(O)/($&(1EH2'7(!$-"(S$/<,!(CDEHJ(12;LM499!N;/486/@/;!!

)(00-!_#(D5(O)/(Y$89(.&8"X;:8"U(O$X$8:R(@:7Q(-"&";:8%;(CDEIJ(O25649!O46M25L7P!?876/6Q6!@Q45!>/L52494L6528/L!

N@A!A*=(!=)=A(,?(=ZA()@=(EAFG!$1HIJG>K(

!! /G99N!7O!MI!0LK<!J?U?B9N!B7!=I7FB!c-)/L<!

!! 0?:9CB;<H@BD9<?<!<?A@=J!O:9EF9@CH!J?U?B9N!B7!6--5*XR!

*,aA+(/,*[email protected]=.,*!(

!! %:9<=UGJ?@A! =@N! '9BF:@;B7;b9:7! <CD9U9<! =CD?9>9! D?AD! <?A@=J! EF=J?BH! =B! F@G:9C9N9@B9N!<G99N<!CDEGF(DE0J!

!! (UG:7>9N!<B=B9;7O;BD9;=:B!?@!<?A@=J!A9@9:=B?7@(CDE0F(DEHJ(!

!! 198!=JA7:?BDU!B7!C=JCFJ=B9!BD9!0K#;C7N9<!?@!=@!9@9:AH;9OO?C?9@B!8=H!CDEHJ!

!! 07FIJ9!BD9!C7@>9:<?7@!<G99N!7O!BD9!CF::9@B!=:BQ!F<?@A!=!U=?@<B:9=U!/?)9!G:7C9<<!CDEIJ!

/B@@A*=()*O(N@,cA/=AO(!.-*.?./)*/A(

!! 2@=IJ9<!N?:9CB!<H@BD9<?<!7O!UFJB?;C=::?9:!<?A@=J<!=B!D?AD9:!(fQ!=@N!9>9@!=JJ78<!'f!N?:9CB!<H@BD9<?<!CDEMF(DEIJ(

!! K@7BD9:!?UG7:B=@B!<B9G!B78=:N!Y<7OB8=:9!:=N?7Z!CDEMF(DEIJ!

!

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

\-!

!"##$%&#'(KF(0I"#$()'!*)+(( ( ( ( ( ( ( ( ( )*)+,-(

?A)=B@A(

//00112233BBCC==77DD0077@@22##8866EE@@CC33440011FFCC##::88;;AA##!!

)&(L1<Z^(Mf(,]";#:7QR"U(/:#6:U"U(O"R8:>!$X7:>N$Q"R$&"U()O/(Y$89(I2US(O@(:&U(LIUS(!?O@(CKE2J(NA!>/;52494;6528/;7P!C8/.457/6D!2@!$-./-!!

)(MH7P(/%&8$&4%4#>=$7"(/%7QR"f(O"R8:>!$X7:()O/(Y$89(0H<Z^(%[(!$X&:R(S:&UY$U89(:&U(DLELUS(!*O@(C0IEDJ(C8/.457/6D!2@!A252862!

N@A!A*=(!=)=A(,?(=ZA()@=(EAFG!$1HIJG>K(

!! /?AU=;09JB=! C7@>9:B9:<! =:9! `@78@! B7! I9! >9:H! G789:;9OO?C?9@BR!*789>9:Q! NF9! B7! BD9! :9EF?:9N!7>9:<=UGJ?@AQ!BD9H!=:9!J?U?B9N!?@!<?A@=J!I=@N8?NBD!!

*,aA+(/,*[email protected]=.,*!(

!! #7UI?@=B?7@!7O!=!<?AU=;N9JB=!8?BD!=!G?G9J?@9N!C7@>9:B9:!9UGJ7H?@A!N?A?B=J!I=C`A:7F@N!=JA7:?BDU<!CKE2JE(

!! (UG:7>9N!C7UGJ9W!@7?<9<D=G9:!C0IEDJR!

/B@@A*=()*O(N@,cA/=AO(!.-*.?./)*/A(

!! *?AD;I=@N8?NBD!<?AU=;N9JB=!C7@>9:B9:<!9@=IJ9!D?AD;<G99N!C7UUF@?C=B?7@!<9:>?C9<!J?`9!T0/PQ!4PK1! I=<9I=@NQ! =<! 89JJ! =<! N?:9CB! N?A?B?X=B?7@! 7O! J78;(f! :9C9?>9:<Q! 8D?CD! ?<! ?UG7:B=@B! O7:!

Y<7OB8=:9!:=N?7Z!CKE2F(0IEDJ!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

\c!

!"##$%&#'($"#%&"#'(#"#$()'!)&'!*)+! ( ( ( ( ( ( ( )*)+,-(

?A)=B@A(

$$GG==FF##HH66II22==<<EE<<11JJ##++==@@66??AA##::==CCEE<<11##8866AA0011==""####

++==KK<<??II00==11##LL<<DD33**<<EE@@CC1166##HH66II22==00MMNN66AA##(

(

)(+%Y>N%Y";(<4R8$>S$8(O"R8:>!$X7:(<%U4R:8%;($&(K1&7(O$X$8:R(/<,!(Y$89%48(O\&:7$6(AR"7"&8(<:869$&X(CKE0J(A4R-7!?8765Q=4867!-80!C8/.457/6D!2@!A4R-7!!

)(DDUS(O@(GE0a(GE07P(!$&XR">)7QR$[$";(O%45R">!:7QR$&X(0&U>

%;U";(O"R8:>!$X7:()O/([%;(P/O<)($&(K1&7(/<,!(CKEHJ(A4R-7!?8765Q=4867!!

)(HEH7P(G0<!_#(G15(N$Q"R$&"U()O/($&(K1&7(O$X$8:R(/<,!(CG2E0J(C8/.457/6D!2@!A252862P!A4R-7!?8765Q=4867!!

)(1E2a(?$R8";(Y$89(N++>S:#"U(=4&$&X($&(1EGL'7(/<,!(C0IELJ!S29Q=3/-!C8/.457/6D!

N@A!A*=(!=)=A(,?(=ZA()@=(EAFG!$1HIJG>K(

!! 099G;<FI;U?C:7@!#5$/!N9U=@N<!=@=J7A;C?:CF?B!7G9:=B?7@!8?BD!J789:!<FGGJH!>7JB=A9<R!

!! /7#<!?@!V-@U!#5$/!:9EF?:9!=@=J7A!C?:CF?B<!B7!C7@<FU9!9>9@!J9<<!G789:R!

*,aA+(/,*[email protected]=.,*!(

!! 09<?A@<!=CD?9>?@A!9WC9JJ9@B!O?AF:9<!7O!U9:?B!N9<G?B9!J78;>7JB=A9!7G9:=B?7@!CKE0F(0IELJ(

!! 198!=:CD?B9CBF:9!8D?CD!U7N?O?9<!BD9!)*!O99NI=C`!J77G!B7!B:F@C=B9!K0#!7FBGFB!CKE0JR(

!! 3<9!7O!=!<?@AJ9!=UGJ?O?9:!8?BD!N7FIJ9!<8?BCD?@A(CKEHJR(

!! /8?BCD9N!?@GFB!IFOO9:<!=@N!7G;=UG<!9@=IJ9!J789:!G789:!N?<<?G=B?7@!CG2E0JR(

/B@@A*=()*O(N@,cA/=AO(!.-*.?./)*/A(

!! (UGJ9U9@B=B?7@<!?@!V-@U!#5$/!?<!I9C7U?@A!=!U=?@<B:9=U!B9CD@7J7AHR!

!! K@=J7A!C?:CF?B<!I9A?@!B7!=N=GB!B7!V-!@U!CKE0F(KEHF(G2E0F(0IEHF(:&U(0IEMJR!

!! $G9:=B?7@!=B!>7JB=A9<!N78@!B7!-RSTR!C0IELJ!

Peter Kinget

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

\,!

!N:&"R'!A0!"#$(T+! ! ! ! ! ! ! ! ! ! ! )*)+,-(

OOCC==66EE##

PP99::88((NN::QQ"";;##((PP$$RRRR((SS""((TT::&&UU((PP$$RRRR((**,,==((SS""VV((::88((..!!!!////((0011GG11WW((H5:-8/U45V!:P6E#H2<FA6='!N/9/;28!J-325-625/47!>2045-625V!8C>07#,<Q6?@A<='!#8-92:!,4./;47((

,ScA/=.aA(

!! 4D=B!8?JJ!I9!BD9!D7B!B9CD@7J7AH!B7G?C<!S!H9=:<!O:7U!@78g!

!! *78!8?JJ!BD9!#7@O9:9@C9!@99N!B7!9>7J>9!B7!9OO9CB?>9JH!C=GBF:9!BD9<9!B9CD@7J7AH!<D?OB<g!

/Z)++A*-A(

!! 577:9^<!P=8!=@N!U=:`9B!N9U=@N!8?JJ!O7:C9!D?AD9:!?@B9A:=B?7@Q!D?AD9:!G9:O7:U=@C9Q!=@N!U7:9!O9=BF:9<R! (#! N9<?A@! ?<! U7>?@A! ?@C:9=<?@AJH! O:7U! =! N9>?C9;J9>9J! B7! =! <H<B9U;?@B9A:=B?7@!CD=JJ9@A9R!

!! 5$/f2+!N9>?C9!G:7G9:B?9<!N9B9:?7:=B9! O:7U!=@!=@=J7A!G9:<G9CB?>9!h! J9=`=A9!=@N! J78!7FBGFB!?UG9N=@C9!I9C7U9!<?A@?O?C=@B!=<!<FGGJH!>7JB=A9<!=:9!:9NFC9NR!!!

!! +D9! IF<?@9<<! 9@>?:7@U9@B! O7:! ?@B9A:=B9N! C?:CF?B<! ?<! CD=@A?@AiAJ7I=J! C7UG9B?B?7@Q! 9WG9@<?>9!U=<`!<9B<Q!=@N!<D7:B!G:7NFCB;J?O9!CHCJ9<Q!CJ7FN!BD9!D7:?X7@R!!'9A:9BB=IJHQ!BD9<9!C7@N?B?7@<!U=H!@7B!I9!C7@NFC?>9!B7!GFIJ?C=B?7@a!(<!?@NF<B:H!J?`9JH!B7!8?BDN:=8!O:7U!GFIJ?<D?@A!BD9?:!@98!?N9=<g!!

/,*=@,aA@!g(

!! 4?JJ!=N>=@C9N!G:7C9<<!B9CD@7J7A?9<!O7:C9!@98!9OO7:B<!?@!B:=@<?<B7:;J9>9J!Y<FIC?:CF?BZ!N9<?A@Q!7:!8?JJ!=!O98!C?:CF?B!B7G7J7A?9<!<F:>?>9!=@N!BD:?>9Q!J?U?B?@A!=N>=@C9<!U=?@JH!B7!BD7<9!=BB:?IFB=IJ9!B7!BD9!J9>9J!7O!?@B9A:=B?7@![C7::9<G7@N?@A!B7!BD9!9>7JFB?7@!7O!N?A?B=J!N9<?A@!?@!BD9!cV_-<]g!

!! (<!=JJ!U9=@?@AOFJ!?@@7>=B?7@!:9NFC9N!B7!BD9!CD7?C9!7O!<H<B9U!?@B9A:=B?7@!:9EF?:9N!B7!=CD?9>9!BD9!F<F=J! 7Ij9CB?>9<! 7O! U7:9! O9=BF:9<! =@N! D?AD9:! ?@B9A:=B?7@g! ! 4?JJ! G=G9:<! 7@! B:=@<?<B7:;J9>9J!N9<?A@!O=N9!O:7U!BD9!#7@O9:9@C9g!!

!! 4D=B!=:9!BD9!U7<B!?UG7:B=@B!B9CD@7J7AH!CD=@A9<!B7!I9!9WG9CB9N!7>9:!BD9!@9WB!S!H9=:<g!!(<!BD9!#7@O9:9@C9!<9B!FG!B7!C=GBF:9!BD9<9!CD=@A9<!9OO9CB?>9JHg!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

\\!

=48%;$:R'(H%("#$)+( ( ( ( ( ( ( ( ( ( & )*)+,-(

HHNN@@<<??00CCEE##

..&&88""XX;;::88""UU((NN%%YY"";;((<<::&&::XX""77""&&88((#12D!W-9945'!N>NS'!$B248/R'!#X#

!

,[email protected](

+9CD@7J7AH! =N>=@C9! ?@! ?@B9A:=B9N! C?:CF?B<! U9=@<! <D:?@`?@A! J?BD7A:=GDH! =@N! =@! ?@C:9=<?@A! J9>9J!!7O! ?@B9A:=B?7@R! ! /D:?@`?@A! J?BD7A:=GDH! U9=@<! :9NFC9N! <FGGJH! >7JB=A9<Q! =@N! ?@C:9=<?@A! ?@B9A:=B?7@!U9=@<! I:?@A?@A! U7:9! OF@CB?7@=J?BH! 7@B7! =! <?@AJ9! CD?GR! ! (@9>?B=IJHQ! =! C:?B?C=J! G=:B! 7O! BD9! ?@B9A:=B?7@!G:7IJ9U! ?<! BD9! A9@9:=B?7@! =@N! U=@=A9U9@B! 7O! BD9! >=:?9BH! 7O! <FGGJH! >7JB=A9<! :9EF?:9N! ?@! B7N=H^<!<H<B9U;7@;=;CD?G! G:7NFCB<R! ! +D?<! BFB7:?=J! 9WGJ7:9<! BD9! I=<?C<! 7O! U7N9:@! ?@B9A:=B9N;G789:!U=@=A9U9@B!C?:CF?B<Q!?@CJFN?@Aa!!

!! +:=N9;7OO<!I9B899@!U7N9:@!G789:;C7@>9:<?7@!B9CD@7J7A?9<!

!! (@B9A:=B9N!G789:;U=@=A9U9@B!<B:=B9A?9<!O7:!#5$/!<H<B9U<;7@;=;CD?G!

!! 198!=@N!OFBF:9!G789:!<7F:C9<!O7:!U7I?J9!C7@<FU9:!G:7NFCB<!

!NA)hA@(S.,-@)NZg(

@%\(h:RR";! ?<!T?C9!%:9<?N9@B!7O!2@A?@99:?@A!=B!/5/#! ?@!%D79@?WQ!KbQ!8D9:9!D9! ?<! :9<G7@<?IJ9! O7:!=@=J7A;B9CD@7J7AH!N9>9J7GU9@B!=@N!N9<?A@!=FB7U=B?7@R!!*9!j7?@9N!/5/#!=<!G=:B!7O!BD9!C7UG=@H^<!=CEF?<?B?7@!7O!)=?@!+9CD@7J7AH!#7:G7:=B?7@!?@!kF@9!,--,R!!%:?7:!B7!j7?@?@A!)=?@!+9CD@7J7AH!?@!,---Q!D9!8=<! BD9! O7F@N9:!=@N!N?:9CB7:! 7O!1=B?7@=J!/9U?C7@NFCB7:^<!%789:;5=@=A9U9@B!N9<?A@!C9@B9:! ?@!):=<<! T=JJ9HQ! #KR! ! f:7U! cVV,! F@B?J! cVVSQ! '7H! 8=<! =! N9<?A@;9@A?@99:?@A! U=@=A9:! O7:! /?J?C7@!/H<B9U<R! *9! <B=:B9N! D?<! C=:99:! =B! lF::;l:78@! #7:G7:=B?7@! ?@! cV_\Q! 8D9:9! D9! N9>9J7G9N! =! I:7=N!:=@A9!7O!G:7NFCB<!?@CJFN?@A!G:9C?<?7@!7G;=UG<Q!53m<Q!0K#<Q!=@N!K0#<R!!'7H!D=<!I99@!=!U9UI9:!7O!BD9!(//##!K@=J7A!/FI;#7UU?BB99!<?@C9!,--,Q!D=<!GFIJ?<D9N!9?ADB!G=G9:<Q!=@N!D7JN<!7@9!G=B9@B!?@!BD9! =:9=! 7O! =@=J7A! ?@B9A:=B9N! C?:CF?B<! =@N! <7J?N;<B=B9! CD9U?<B:HR! '7H! 9=:@9N! D?<! l/22! O:7U! BD9!3@?>9:<?BH!7O!K:?X7@=Q!=@N!?<!=!A:=NF=B9!7O!BD9!3@?>9:<?BH^<!K:?X7@=!2W9CFB?>9!%:7A:=UR!!!

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

\.!

**,,==AA!!((!

!!

!"#"$%&'

!

!! "#$%#&$'!

!! ($)*+%$,!-).$%/!

!! 0.$1&)2345.&1!0$//&56!!

!! 4+*5%&)2!

!! (5%+7!!

!! 4%$6,!89)%*/!!

!

!

!

!

!

!

!

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

67!

"#$$%&'$(!!"#$!%#$&"#$&'!!"#$%&'()&'%*&'+%,! ! ! ! ! ! ! )*+*,-.!

**""""////!!00111122!!3!!))**++**,,--..!!

"456&77%88##!/9:%;('-.//.01'23'4567.//&'89:;/&'<7=;6>?@=A&'B"!

(())**++)),,**--$$

<=",>"*+?*@*/-?,!AB"C.,"!

!! 897:!;<=>?@?;A<?!@>;BC<=;BD!A>!=!?@>CEB!D@B!DE1FEG!

!! #F@GHEBIBE!JKE;@G<ALB??@>C!MALK?BD!A>!G=<=EEBE@?J!=>D!GANB<!BMM@L@B>LO!DE1FEH!E1FIH!E1FJG!

!! /@C>@M@L=>;!@>L<B=?B?!@>!A>HLF@G!L=LFB!JBJA<O!DE1FEH!E1FIH!E1FJG!

!! 1BP;HCB>B<=;@A>!JKE;@JBD@=!G<ALB??@>C!K?@>C!D@IB<?B!LA<B?!=>D!(Q$!;BLF>@RKB?!DE1F0H!E1F2H!E1FKH!E1FLH!E1FMG!

!! SDI=>LB?!@>!%ANB<!5=>=CBJB>;T!!

+OG@L=EEOU!J@L<AG<ALB??A<?!=<B!DB?@C>BD!MA<!=!?GBL@M@L!M<BRKB>LO!;=<CB;U!EB=D@>C!;A!@>L<B=?BD!I=<@=;@A>! VB;NBB>! =IB<=CB! =>D! GB=W! GANB<! LA>?KJG;@A>U! =>D! K>LA>;<AEEBD! XK>L;@A>!;BJGB<=;K<B9!(>!=DD@;@A>U!DKB!;A!;FB!M@PBDHM<BRKB>LO!<BRK@<BJB>;U!IAE;=CB!J=<C@>!@?!<BRK@<BDU!

EB=D@>C!;A!=DD@;@A>=E!GANB<!!LA>?KJG;@A>9! +FB! (;=>@KJ!! J@L<AG<ALB??A<! MB=;K<BD! @>! ;F@?!#A>MB<B>LB! BJGEAO?! @>;BC<=;BD! LA>;<AE! JBLF=>@?J?! ;F=;! WBBG! ;FB! GANB<! D@??@G=;@A>!LA>?;=>;!=;!8--4U!NF@EB!I=<O@>C!;FB!M<BRKB>LO9!(>!;F@?!M=?F@A>U!GANB<! @?!J@>@J@YBD!VO!;FB!<BJAI=E!AM!;FB!IAE;=CB!J=<C@>U!=>D!M<BRKB>LO!@?!J=P@J@YBDU!C@IB>!;FB!GANB<HDB?@C>!;=<CB;9!

DE1FEH!EKF0H!EKFLG9!+F@?!=GG<A=LF!F=?!LK;!;FB!GANB<!D@??@G=;@A>!AM!=!897Z:H;<=>?@?;A<!DK=EH

LA<B!(;=>@KJ!!G<ALB??A<!DE1FEG!;A!A>B!;F@<D![;A!8--4!M<AJ!6--4\!

S?!=!<B?KE;U!G<ALB??A<!GANB<!DB>?@;O!F=?!M=EEB>!VBEAN!;FB!;<B>D!G<BD@L;BD!VO!! G=?;!GKVE@?FBD!G<ALB??A<!D@?LEA?K<B?!C>;;':7;'-0::>D11E'F=;9G'H70=:I'

!! 0O>=J@L!IAE;=CB!?L=E@>C!N@;F!=D=G;@IB!M<BRKB>LO!LA>;<AE!DEKFJH!EKF2H!EKFKG!

!! *@CFH?GBBD!L@<LK@;?!AGB<=;@>C!=;!KG!;A!])*Y!D01FEH!01FIH!01FJG!

!! #F@GH;AHLF@G!(Q$!JB;FAD?!K?BD!@>HG=LW=CB!=>D!A>HD@B!D01FLH!0MFLG!

!! *@CFH?GBBD!(Q$!E@>W?!KG!;A!^9.)VQ?QLF!D0MFKH!0MFNG!

!

!

!

!

!

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

6]!

"#$$%&'$(!!"#$!%#$&"#$&'!!"#$%&'()&'%*&'+%,! ! ! ! ! ! ! )*+*,-.!

-OO.*/-,*=?"!-?)!B/=?=<*/!*<O-/,!

!! 5KE;@HLA<B!JKE;@H;F<B=DBD!G<ALB??A<?!B>=VEB!F@CFB<!G=<=EEBE@?JU!=>D!@JG<AIB!=GGE@L=;@A>!G<ALB??@>C!DE1FEH!E1F0H!E1FIH!E1FJG!

!! _=<CB!A>HLF@G!L=LFB?!@JG<AIB!JBJA<O!V=>DN@D;F!MA<!̀ V@C!;@>a!=GGE@L=;@A>?!?KLF!!=?!J=??@IB!NBV!?B<IB<?U!D=;=V=?B!J=>=CBJB>;U!=>D!DBBG!?L@B>LB!DE1FEH!E1FIH!E1FJG!

!! 1BP;HCB>B<=;@A>! JKE;@JBD@=! G<ALB??A<?! ?E=?F! ;FB! ;@JB! <BRK@<BD! MA<! LA>;B>;HL<B=;@A>! =>D! D@C@;=E!JBD@=!DE1F0H!E1F2H!E1FKH!E1FLH!E1FMG!

!! (JG<AIBD!JB;FAD?! MA<! =D=G;@>C! IAE;=CB! =>D! M<BRKB>LO! B>=VEB! G<ALB??A<?! ;A! BWB! AK;!J=P@JKJ!GB<MA<J=>LB!N@;FAK;!AIB<HFB=;@>C!DEKFJH!EKF2H!EKFKG!

!! :<@>C@>C!LF@GH;AHLF@G!(Q$!JB;FAD?!A>HD@B!V<B=W?!;F<AKCF!;FB!'#!V=<<@B<!!D01FLH!0MFLG!

!! *@CFH?GBBD!(Q$!E@>W?!;=WB!?O?;BJ!V=>DN@D;F?!;A!=!F@CFB<!EBIBE!D0MFKH!0MFNG!

"OB/*-.>,=O*/!"B""*=?!

P9#'!O;&6#$$&;$!Q%8!89#!O&R#;!P:SS!T&;!UP9#'!89#!/OC!9%8$!89#!V:'WX!D"B0G!

,C,=A*-.!

*'8;&Y468%&'!8&!*Z=!)#$%['!V&;!)%[%8:S!"\$8#7$!D,0G!

@=AC<!

A&54$8!)#$%['!"&S48%&'$!V&;!?:'&$6:S#!/%;64%8$(!!

!!!@;&7!)@<!89;&4[9!B'Y>&V>.%V#!D@JG!

!

!!!!!!!!!!!!!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

6b!

"#$$%&'$(!.#$!"#$&"#$&%#$&'$!"#EJ&'$%&'%*&'+%,' ' ' ' ' ' ' )*+,-.!@B-,CAB!

//BB....!!OO;;&&66##$$$$&&;;!!VV;;&&77!!**]]<<HH!!""&&''\\HH!!,,&&$$99%%55::((!!

!!--!!QQ%%[[99>>@@;;##^̂44##''66\\!!<<44SS88%%>>//&&;;##!!""\\$$88##77>>&&''>>::>>//99%%__!!K.L;'M0M;=>'0>'G;:0./;G'.9':7;'0::0N7;G'O.P@=;'!+3(&'Q*3E&'E*3$&'E%3+&'E)3J,'

OAB"B?,!",-,B!=@!,QB!-A,!

!! )B>B<=EH%K<GA?B!G<ALB??A<?!=<B!@>BMM@L@B>;!MA<!JKE;@JBD@=!!?;<B=J@>C!NA<WEA=D?!

!! 5KE;@LF@G!?O?;BJ?!=<B!BPGB>?@IBU!E@J@;BD!VO!LF@GH;AHLF@G!LAJJK>@L=;@A>!VA;;EB>BLW?!

!! %ANB<HGB<MA<J=>LB!LA>LB<>?!=<@?B!N@;F!LA>IB>;@A>=E!JKE;@HG<ALB??A<!?O?;BJ?!

!! _ANHM<BRKB>LO!S/(#!DB?@C>?!G<AI@DB!@>?KMM@L@B>;!G<ALB??@>C!GANB<!

?=`B.!/=?,A*]C,*=?"!

!! /;<B=J@>C!G<ALB??A<!BJGF=?@Y@>C!GB<MA<J=>LB!GB<!K>@;!=<B=U!=>D!GANB<!!DLFJG!

!! *@CF!EBIBE!AM!@>;BC<=;@A>!A>HLF@GT!CB>B<=EHGK<GA?B!G<ALB??A<U!?GBL@=E@YBD!?;<B=J@>C!G<ALB??A<?U!JBJA<O!LA>;<AEEB<U!MEBP@VEB!F@CFH?GBBD!(Q$!!DE1F0G!

!! *@CFH?GBBD!L@<LK@;!BEBJB>;?T!DO>=J@L!JKE;@GE@B<!D01FIGH!/'S5!D0KFLG!

!! ^9.!)VQ?QE@>W!(Q$!MA<!F@CFHV=>DN@D;F!AMMHLF@G!LAJJK>@L=;@A>!D0MFNG!

/CAAB?,!-?)!OA=aB/,B)!"*+?*@*/-?/B!

!! 1BN!EBIBE!AM!GB<MA<J=>LB!MA<!JKE;@JBD@=!LA>;B>;!L<B=;@A>!=>D!G<ALB??@>C!DLFJHE1F0G!

!! /@>CEBHLF@G!GANB<HAG;@J@YBD!?AEK;@A>!MA<!F@CFH?GBBD!LAJGK;@>C!DE1F0G!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

.-!

"#$$%&'$(!.#$!"#$&"#$&%#$&'$!"#EJ&'$%&'%*&'+%,'' ' ' ' ' ' ' )*+*,-.!@B-,CAB!/Q*O!

!

/B..!O;&6#$$&;(!O:_#;!];#:bY&R'!!:'Y!=;[:'%c:8%&'!

!

%<ALB??A<!%=GB<!%=GB<!8-9,T `+FB!0B?@C>!=>D!(JGEBJB>;=;@A>!AM!=!c@<?;H)B>B<=;@A>!#2__!%<ALB??A<a

2JVBDDBD!/;<B=J@>C!%<ALB??A<!

%=GB<!79.T!!`S!/;<B=JH!%<ALB??@>C!3>@;!MA<!;FB!#2__!

%<ALB??A<a!

*@CF!?GBBD!(Q$!%=GB<!,]9bT!`#EALW@>C!=>D!#@<LK@;!0B?@C>!MA<!%=<=EEBE!(Q$!A>!=!c@<?;H)B>B<=;@A>!#2__!

%<ALB??A<a!

!

#@<LK@;?d!DO>=J@L!JKE;@GE@B<!%=GB<!,-96T!!`S!0AKVEBH!

%<BL@?@A>!5KE;@GE@B<!N@;F!c@>BH!)<=@>BD!#EALWH)=;@>C!/KGGA<;!MA<!=!c@<?;H)B>B<=;@A>!#2__!

%<ALB??A<a

!2JVBDDBD!/'S5!%=GB<!,^97T!!`S!^HLOLEB!cKEEOH!%@GBE@>BD!2JVBDDBD!/'S5!@>!;FB!/;<B=J@>C!%<ALB??A<!AM!=!

#2__!%<ALB??A<a!

:);5%!

857.56$6*/!

0+<3857.56$6*/!

89&.!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

.8!

"#$$%&'$(!!"#$!%#$&%!!"#$%&'()&'+E,! ! ! ! ! ! ! ! )*+*,-.!@B-,CAB!

$$

,,//0011223344$$556677008899$$::77;;$$<<66==3311>>$$2211$$55==//??$$@@==44AABB77CC22//DD$$

0011>>$$EE==;;7766$$@@==11993344AA//22==11$$'<;;':7;'9;R:':65'M0P;>'O5='M0M;='5=P09.S0:.59'09G'?=;0TG569'!Q*3Q&'Q%3Q&'Q%3E&'Q%3+&'E%3),''

OAB"B?,!",-,B!=@!,QB!-A,!

!! (>!;FB!G<ALB??A<!NA<EDU!?@YB!@?!=EN=O?!=??AL@=;BD!N@;F!F@CF!GANB<U!?A!;F=;!;A!BPGEA@;!;FB!E=<CB!>KJVB<! AM! ;<=>?@?;A<?! G<AI@DBD! VO! 5AA<Be?! E=NU! @JG<AIBD! GB<MA<J=>LB! F=?! ;<=D@;@A>=EEO!<BRK@<BD!EANHGANB<!DB?@C>9!

!! +<KEO!J=>=C@>C!GANB<!<BRK@<B?!JB=?K<@>C!GANB<U!VK;!;F@?!@?!D@MM@LKE;!MA<!F@CFHGANB<!f_/(U!=>D!F=?!>A;!VBB>!DA>B!VBMA<B!!

!! /RKBBY@>C! ;FB! JA?;! GB<MA<J=>LB! GB<! N=;;! <BRK@<B?! LA>;@>KAK?EOHI=<O@>C! IAE;=CB! =>D!M<BRKB>LOU!VK;U!@>!LA>ME@L;U!;FB!<B?KE;@>C!K>?;=VEB!LF=A;@L!VBF=I@A<!F=?!<K>!@>;A!@>?K<JAK>;=VEB!V=<<@B<?!MA<!LAJJB<L@=E!DB?@C>?U!DKB!;A!=??AL@=;BD!J=>KM=L;K<@>C!=>D!@JGEBJB>;=;@A>!@??KB?9!

?=`B.!/=?,A*]C,*=?"!

!! 3>G<BLBDB>;BD! @>;BC<=;@A>! [897,! V@EE@A>! ;<=>?@?;A<?\U! LAJV@>BD! N@;F! =D=G;@IB! GANB<!J=>=CBJB>;U!<B?KE;!@>!F@CF!GB<MA<J=>LB!=;!EAN!GANB<!EBIBE?![8--4\9!DE1FEG!

!! 0O>=J@L!M<BRKB>LO!CB>B<=;@A>!=>D!J=>=CBJB>;!;A!G<AI@DB!;FB!AG;@J=E!GANB<!BMM@L@B>LO!MA<!=!C@IB>!IAE;=CB!DEKF0H!EKFEG!

!! $>HD@B! GANB<! JB=?K<BJB>;! [>BIB<! VBMA<B! @JGEBJB>;BD\U! ;BJGB<=;K<B! JB=?K<BJB>;U! =>D!J=>=CBJB>;!AM!B=LF!DEKFLG!

!! S?O>LF<A>AK?!EANHIAE;=CB!DB?@C>!MA<!=!89.7!V@EE@A>H;<=>?@?;A<!L=LFB9!!D0KFMG!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

.,!

"#$$%&'$(!!"#$&"#$&%!!"#$%&'()&'+E,' ' ' ' ' ' ' ' )*+*,-.!@B-,CAB!/Q*O!

$$

,,//0011223344FFEE66==GG779999==66FFEE00AA7766$$$$

5566770088>>==;;11$$0011>>$$((66HH001122II00//22==11$$!!!!!!!!!!!!!

!

]

4$!

*Z

=H!

V%d

#Y!

V;

#^

4#

'6

\H!

M

P!

8&

8:S!

%ANB<!J=>=CBJB>;!O:_#;!EKFL!`%ANB<!g!

+BJGB<=;K<B!#A>;<AE!A>!=!b->J!(;=>@KJHc=J@EO!%<ALB??A<!%<ALB??A<a!

#EALW!D@?;<@VK;@A>!O:_#;!EKFE!`#EALW!

0@?;<@VK;@A>!A>!=!0K=EHLA<B!5KE;@H+F<B=DBD!(;=>@KJ!S<LF@;BL;K<B!

#EALW!CB>B<=;@A>!O:_#;!EKF0!`S!b->J!

f=<@=VEBHc<BRKB>LO!#EALW!/O?;BJ!MA<!=!%ANB<!5=>=CBD!(;=>@KJ!

+FB!'BC@?;B<!cEB!O:_#;!01F2!`+FB!5KE;@H

;F<B=DBDU!%=<@;O!%<A;BL;BDU!8,]!4A<D!

'BC@?;B<!c@EB?!A>!=!0K=EH#A<B!(;=>@KJ!S<LF@;BL;K<B!

%<ALB??A<!$IB<I@BN!

O:_#;!E1FE!`+FB!(JGEBJB>;=;@A>!AM!=!,HLA<B!5KE;@H+F<B=DBD!(;=>@KJHS<LF@;BL;K<B!%<ALB??A<a!

'

+FB!E=<CB!A>HLF@G!L=LFB!O:_#;!0KFM!`+FB!

S?O>LF<A>AK?!,.5:!$>HLF@G!_BIBE!6!#=LFB!MA<!=!0K=EH#A<B!(;=>@KJ!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

.6!

"#$$%&'$(!!"#$!%#$&"#$&%$!"#$%&'()&'%*&'+E,!! ! ! ! ! ! ! )*+*,-.!@B-,CAB!/Q*O!

$$

,,//0011223344FFEE66==GG779999==66FFEE00AA7766$$5566770088>>==;;11$$$$

0011>>$$((66HH001122II00//22==11$$!!!!!!!!!!!!!!!!

!

!

!

!

!

!

!

!

!

%<ALB??A<!$IB<I@BN!O:_#;!E1FE( `+FB!(JGEBJB>;=;@A>!AM!=!,H#A<B!5KE;@H+F<B=DBD!(;=>@KJH!

S<LF@;BL;K<B!%<ALB??A<a!

+FB!_=<CB!$>H#F@G!#=LFB!O:_#;!0KFM!`+FB!S?O>LF<A>AK?!,.5:!$>HLF@G!_BIBEH6!#=LFB!MA<!=!0K=EH#A<B!(;=>@KJ!%<ALB??A<a!

+FB!'BC@?;B<!c@EB!O:_#;!01F2!`+FB!5KE;@H;F<B=DBD!

%=<@;O!%<A;BL;BDU!8,]!4A<D!'BC@?;B<!c@EB?!A>!=!0K=EH#A<B!(;=>@KJH!S<LF@;BL;K<B!%<ALB??A<a!

#EALW!)B>B<=;@A>!O:_#;!EKF0!`S!b->J!f=<@=VEBHc<BRKB>LO!#EALW!/O?;BJ!MA<!=!%ANB<H5=>=CBD!(;=>@KJH!S<LF@;BL;K<B!%<ALB??A<a!

%ANB<!5=>=CBJB>;!O:_#;!EKFL!`S!b->J!f=<@=VEBHc<BRKB>LO!#EALW!/O?;BJ!MA<!=!%ANB<H5=>=CBD!(;=>@KJH!S<LF@;BL;K<B!%<ALB??A<a!

#EALW!0@?;<@VK;@A>!O:_#;!EKFE!`#EALW!0@?;<@VK;@A>!A>!=!0K=EH#A<B!5KE;@H+F<B=DBD!(;=>@KJH!

S<LF@;BL;K<B!%<ALB??A<a!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

..!

"#$$%&'(!!"!!"#$%,! ! ! ! ! ! ! ! ! ! ! )*+*,-.!@B-,CAB!

::77;;$$EE66==GG779999==6699$$JJ==GG3399$$==11$$EE006600BBBB77BB229944$$$$

0011>>$$EE==;;7766$$**KKKK22GG227711GGDD$$!!

,9#!*7_S#7#'8:8%&'!&V!:!0>/&;#!<4S8%>,9;#:Y#Y!*8:'%47®>

@:7%S\!O;&6#$$&;!DE1FEG!U;6/;::'#0NT0=GV'89:;/''

,9#! )#$%['! :'Y! *7_S#7#'8:8%&'! &V! :! @%;$8>+#'#;:8%&'!/B..!O;&6#$$&;!DE1F0G!84B&'<59A&'F5>7.?0''

*7_S#7#'8:8%&'! &V! :! J89>+#'#;:8%&'! EFM+Qc! )4:S>/&;#!

"O-A/!`N!<%6;&_;&6#$$&;!DE1FIG!<@9'B.N=5>A>:;1>''

/;#:8%'[! 89#! ]S4#+#'#Z.! "4_#;6&7_48#;! V;&7! .&R>O&R#;!"&/!-"*/$!DE1FJG!84B'!

OAB"B?,!",-,B!=@!,QB!-A,!CFUW'#XY4ZWBI!

!! 0B?@C>!;B=J?!LA>;@>KB!;A!?;<KCCEB!N@;F!;FB!VB?;!K?B!AM![>AN\!V@EE@A>?!AM!;<=>?@?;A<?!

!! %ANB<!DB>?@;O!=>D!;FB<J=E!LF=EEB>CB?!G<AI@DB!J=XA<!DB?@C>!LA>?;<=@>;?!

!! /KGGA<;! AM! EB=D@>CHBDCB! =GGE@L=;@A>?! <BRK@<B?! @>L<B=?@>CEO! D@IB<?B! =>D! ?GBL@=E@YBD!LAJGK;=;@A>=E!F=<DN=<B!?KGGA<;!

?=`B.!/=?,A*]C,*=?"!

!! 897!V@EE@A>!;<=>?@?;A<?!A>!D@BU!N@;F!AIB<!8!V@EE@A>!;<=>?@?;A<?!AM!L=LFB!!DE1FEG!

!! %ANB<HDB>?@;O! ?;=V@E@Y@>C! @>! F@CFHB>D! G<ALB??A<?! I@=! >AIBE! GANB<HJ=>=CBJB>;! ;BLF>@RKB?!DE1FEH!E1FIG!

!! 5KE;@;F<B=D@>C!=>D!JKE;@HLA<B!;BLF>@RKB?!G<AE@MB<=;B!DE1FEH!E1F0!E1FIH!E1FJG!

!

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

.Z!

"#$$%&'(!!"!!"#$%,! ! ! ! ! ! ! ! ! ! ! )*+*,-.!@B-,CAB!

/CAAB?,!-?)!OA=aB/,B)!"*+?*@*/-?/B!

!! 0@IB<?BHLA<B!@JGEBJB>;=;@A>?!V<@DCB!;FB!?G=LB!VB;NBB>!;FB!CB>B<=EHGK<GA?B!=>D!!0/%!DAJ=@>?!DE1F0G!

!! (>;BC<=;BD!GANB<!J=>=CBJB>;!=>D!EANHGANB<!DB?@C>!=EEAN!>BP;HCB>B<=;@A>!!?B<IB<?!;A!<BJ=@>!N@;F@>!LK<<B>;!;FB<J=E!B>IBEAGB?!DE1FEH!E1FIG!

!! 0K=EHLA<B!LF@G!MA<J?!=!VK@ED@>C!VEALW!MA<!;FB!+AGZ--!E@?;e?!M=?;B?;!LAJGK;B<!DE1FJG!

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

.^!

"#$$%&'(!!"!!"#$%,! ! ! ! ! ! ! ! ! ! ! )*+*,-.!@B-,CAB!

LL003311GG??2211HH$$::77CC//FF<<7711776600//22==11$$MM33BB//224477>>2200NN$$$$ $$

OO22PP77669922//DD$$2211$$@@==667799$$!

,9#!)#$%['!:'Y!*7_S#7#'8:8%&'!&V!:!@%;$8>+#'#;:8%&'!/B..!O;&6#$$&;!DE1F0G!84B&'<59A&'F5>7.?0'

!

-'!"&/!R%89!EFI!+8#d#S$!I)>+;:_9%6$!@4SS>O%_#S%'#!B'[%'#!V&;!/&'$47#;!-__S%6:8%&'$!DE1F2G!["8<FV'<01>@9P''

-!21!<e#;8%6#$Z$!+;:_9%6$!O;&6#$$&;!R%89!@%d#Y>O&%'8!O;&[;:77:5S#!`#;8#d!"9:Y#;!V&;!<&5%S#!-__S%6:8%&'!DE1FKG!["8<F'

!

-!2EF0!+=O"!EF1!+]Z$>)<-!"%'[S#>/9%_!<4S8%>O;&6#$$&;!*'8#[;:8%'[!f4:Y;4_S#!M>P:\!`.*P!O;&6#$$&;$!DE1FLG!'K@\.:>@'!

OAB"B?,!",-,B!=@!,QB!-A,!CFUW'#XY4ZWBI!

!! (>?=;@=VEB!DBJ=>D!LA>;@>KB?!MA<!@>L<B=?BD!JKE;@JBD@=!GB<MA<J=>LBU!@>LEKD@>C!60!C<=GF@L?9!

!! cK>D=JB>;=E!;B>?@A>!FB@CF;B>?!VB;NBB>!GB<MA<J=>LBU!B>B<COhhhU!=>D!MEBP@V@E@;O9!

!! 0/%!=GGE@L=;@A>?!DBJ=>D!J@PBDH?@C>=E!(Q$9!

?=`B.!/=?,A*]C,*=?"!

!! 0BD@L=;BD!?GBL@=EHGK<GA?BHG<ALB??A<!=<<=O?!MA<!JKE;@JBD@=!DE1F0G!

!! %B<MA<J=>LB!CA=E?! @>L<B=?@>CEO!=LF@BIBD! ;F<AKCF!JKE;@GEB!LA<B?!N@;F!J@PBD!=<LF@;BL;K<B?!A>!?@>CEB!D@B!DE1F0H!E1FKH!E1FLG!

!! S!6,P!@>L<B=?B!@>!C<=GF@L?!G<ALB??@>C!GB<!J4!@>!,!OB=<?!DE1FKG!

!

!

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

.7!

"#$$%&'(!!"!!"#$%,! ! ! ! ! ! ! ! ! ! ! )*+*,-.!@B-,CAB!

/CAAB?,!-?)!OA=aB/,B)!"*+?*@*/-?/B!

!! 5BD@=! G<ALB??A<?! =GG<A=LF@>C! ;FB! LAJGEBP@;O! AM! E=?;HCB>B<=;@A>! J@L<AG<ALB??A<?U! N@;F!LA>;@>KBD!C<AN;F!@>!A>HLF@G!LA<B?!DE1F0H!E1F2H!E1FKH!E1FLG!

!! /GBL@=E@YBD!MK>L;@A>=E!K>@;?!=EEAN!GANB<!DB>?@;@B?!;A!M=EE!=>!A<DB<!AM!J=C>@;KDB!VBEAN!CB>B<=EHGK<GA?B!LAK>;B<G=<;?!DE1F2H!E1FKG!

!! #A>;@>KBD!J=<LF!;AN=<D!CA=E!AM!F@CFH<B?AEK;@A>!I@DBA!A>!GA<;=VEB!DBI@LB?!?KLF!=?!LBEE!GFA>B?!=>D!%0S?!DE1FKG!

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

.]!

!"#$$%&'(!!%!!"#(),'' ' ' ' ' ' ' ' ' ' )*+*,-.!@B-,CAB!

$$

EE66==GG779999==66$$QQ77BBKKFFOO2299GG22AABB221177NN$$

((11FFOO2277$$QQDD99//774499$$MM001100HH77$$EE==;;7766##$$JJ6677RR337711GGDD##$$

::''YY!!,,##77__##;;::8844;;##!!))\\''::77%%66::SSSS\\$$!

-!N1'7!`:;%:5S#>@;#^4#'6\>/S&6b!"\$8#7!V&;!:!O&R#;><:':[#Y!*8:'%47g>@:7%S\!O;&6#$$&;!DEKF0G!U;6/;::'#0NT0=GV'89:;/''

)#8#;7%'%$8%6!*'8#;>/&;#!"\'69;&'%c:8%&'!R%89!O#;%&Y%6:SS\>-SS>%'>O9:$#!/S&6b%'[!V&;!.&R>O&R#;!<4S8%>/&;#!"&/$!DEKFIG!]WH!!!

O&R#;!:'Y!,#7_#;:84;#!/&'8;&S!&'!:!N1'7!*8:'%47g>@:7%S\!O;&6#$$&;!DEKFLG!U;6/;::'#0NT0=GV'89:;/'

OAB"B?,!",-,B!=@!,QB!-A,!CFUW'#XY4ZWBI!

!! SDI=>LBD!EANHIAE;=CB!#5$/!;BLF>AEAC@B?!?KMMB<!M<AJ!F@CF!LK<<B>;!LA>?KJG;@A>9!!!

!! f=<@=;@A>!DKB! ;A!J=>KM=L;K<@>CHG<ALB??!BMMBL;?!A>! ;<=>?@?;A<! MB=;K<B?U! A>HD@B! ?KGGEO! IAE;=CBU!=>D! EAL=E@YBD! ;BJGB<=;K<BU! L=K?B! DBC<=D=;@A>! AM! GANB<! =>D! M<BRKB>LO! AM! F@CFHGB<MA<J=>LB!G<ALB??@>C!L@<LK@;?9!

!! 3?B!AM!JKE;@GEB!A>HD@B!?KGGEO!IAE;=CB?U! ;A!=EEBI@=;B!GANB<!=>D! ;BJGB<=;K<B!I=<@=;@A>U!L=K?B?!D@MM@LKE;O!@>!@>;<=HD@B!LAJJK>@L=;@A>!VB;NBB>!JADKEB?!K?@>C!D@MMB<B>;!?KGGEO!IAE;=CB?9!

?=`B.!/=?,A*]C,*=?"!

!! 0O>=J@L!A>HD@B!M<BRKB>LO!=DXK?;JB>;!=?!=!MK>L;@A>!AM!@>;B<>=E!?KGGEOHIAE;=CB!;<=>?@B>;?!O@BED?!KG!;A!8-i!@>L<B=?B!@>!G<ALB??A<!M<BRKB>LO!<BE=;@IB!;A!M@PBDH?KGGEOHIAE;=CB!?O?;BJ?9!DEKF0G!

!! %B<@AD@L=EEOH=EEH@>HGF=?B! LEALW@>C! =>D! DB;B<J@>@?;@L! ?O>LF<A>AK?! VK?! N<=GGB<?! B>=VEB!LAJJK>@L=;@A>!MA<!JKE;@HLA<B!0fc/!/A#?!;F=;!<K>!=;!D@MMB<B>;!?KGGEO!IAE;=CB?9!S!J=P@JKJ!AM!

^-i!GANB<!<BDKL;@A>!@>!5%2).!DBLAD@>C!N@;F!89Z!;A!,P!;F<AKCFGK;!@?!=LF@BIBD9!DEKFIG!

!! 2JVBDDBD! MBBDV=LW! =>D! LA>;<AE! ?O?;BJ!J=P@J@YB?! GB<MA<J=>LB!NF@EB! ?;=O@>C!N@;F@>! ;=<CB;!GANB<! =>D! ;BJGB<=;K<B! LA>?;<=@>;?9! +FB! ?O?;BJ! K;@E@YB?! A>HD@B! ?B>?A<?! =>D! =>! BJVBDDBD!J@L<AHLA>;<AEEB<!;A!JB=?K<B!GANB<!=>D!;BJGB<=;K<BU!=>D!JADKE=;B!;FB!G<ALB??A<e?!IAE;=CB!=>D!

M<BRKB>LO9!DEKFLG!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

.b!

"#$$%&'(!!%!!"#(),' ' ' ' ' ' ' ' ' ' ' )*+*,-.!@B-,CAB!

/CAAB?,!-?)!OA=aB/,B)!"*+?*@*/-?/B!

!! 0O>=J@L!M<BRKB>LO!=DXK?;JB>;U!=?!=!MK>L;@A>!AM!?KGGEO!IAE;=CB!@>L<B=?B?!G<ADKL;!GB<MA<J=>LB!=>D!FBEG?!BP;B>D!5AA<Be?!_=N9!DEKF0G!

!! +FB!=V@E@;O!;A!=DXK?;!;FB!LAJJK>@L=;@A>HE@>W!G<AGB<;@B?!AM!@>;B<>=E!?BL;@A>?!AM!=!LF@G!<K>>@>C!=;!D@MMB<B>;! IAE;=CB?! B>=VEB?! EANB<! B>B<CO! LA>?KJG;@A>! =>D! GA??@VEB! @>L<B=?B?! @>! ?O?;BJ!

;F<AKCFGK;9!DEKFIG!

!! `/BEMH#A>;<AEE@>Ca! [! @9B9! =D=G;@IB! \! ;BJGB<=;K<B! =>D! GANB<! G<ALB??@>C! @>L<B=?B?! GB<MA<J=>LB! =>D!<BDKLB?!B>B<CO9!!!DEKFLG!

!!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

Z-!

"#$$%&'(!&"$!"#%*,$ $ ! ! ! ! ! ! ! ! ! )*+*,-.!@B-,CAB!

SS22HH??FFEE7766KK==66440011GG77$$TT6622//??4477//22GG$$UU1122//99$$!!

-'!M+Qc!@S&:8%'[>O&%'8!<4S8%_S\!D01FEG!84B'

!

-!)&45S#>O;#6%$%&'!<4S8%_S%#;!R%89!@%'#>+;:%'#Y!!/S&6b>!+:8%'[!"4__&;8!V&;!:!@%;$8>+#'#;:8%&'!!/B..!O;&6#$$&;!D01FIG!84B'

OAB"B?,!",-,B!=@!,QB!-A,!CFUW'#XY4ZWBI!

!! :=E=>L@>C! MEA=;@>CHGA@>;! ?GBBD! N@;F! GANB<! D@??@G=;@A>! @?! =EN=O?! =! F@CF! G<@A<@;O! @>!J@L<AG<ALB??A<!DB?@C>9!#@<LK@;!DB?@C>B<?!?;<@IB!;A!@JG<AIB!;FB?B!;NA!M@CK<B?!AM!JB<@;9!!

?=`B.!/=?,A*]C,*=?"!

!! ,!;A!]!)*Y!JKE;@GE@L=;@A>!?GBBD!N@;F!8Z-J4!;A!89]4!GANB<!D@??@G=;@A>U!<B?GBL;@IBEO9!D01FEG!

!! /@>CEBHGF=?B!LEALW@>C!K?BD!N@;F!?=JBHGAE=<@;O! EBIBEH?B>?@;@IB! E=;LFB?! ;A!J@>@J@YB!LOLEB! ;@JB9!D01FEG!!

!! :@;! <BGE@L=;@A>! @>! ;FB! G=<;@=EHG<ADKL;HCB>B<=;@A>! G<ALB??! @?! K?BD! ;A! <BDKLB! N@<B! EB>C;F?! =>D!@JG<AIB!?GBBD9!D01FIG!

/CAAB?,!-?)!OA=aB/,B)!"*+?*@*/-?/B!

!! )AAD!B>C@>BB<@>C!L=>!?;@EE!EB=D!;A!?KV?;=>;@=E!GB<MA<J=>LB!@JG<AIBJB>;?!N@;FAK;!<B?A<;@>C!;A!BPA;@L!L@<LK@;!DB?@C>?9!D01FEH!01FIG!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

Z8!

"#$$%&'(!&"$!"#%*,$ ! ! ! ! ! ! ! ! ! ! )*+*,-.!@B-,CAB!

==''>>//99%%__!!**''88##;;66&&''##6688$$$$!

'

-!I+5Z$Z69!,;:'$6#%e#;!V&;!A/>S%7%8#Y!='>/9%_!*'8#;6&''#68$!D01FLG!^9.L;=>.:A'5O'F6;9:;V'#7./.M>!

OAB"B?,!",-,B!=@!,QB!-A,!CFUW'#XY4ZWBI!

!! 3?@>C! ?;=>D=<D! <BGB=;B<?! MA<! EA>C! A>HLF@G! @>;B<LA>>BL;@A>?! F=?! V=>DN@D;F! =>D! E=;B>LO!E@J@;=;@A>?9!(>!=DD@;@A>U!?KLF!=!DB?@C>!LA?;?!GANB<!=>D!@?!?K?LBG;@VEB!;A!>A@?B!=>D!L<A??;=EW9!

?=`B.!/=?,A*]C,*=?"!

!! +<=>?J@;;B<! L=>! D<@IB! 8-JJ! AM! J@>@JKJH?@YB! N@<B! N@;FAK;! <BGB=;B<?! =;! 6)VQ?U! LA>?KJ@>C!^J4!=;!89,f!D01FLG!

!! $MMHLF@G!;BLF>@RKB?U!?KLF!=?!G<BHBJGF=?@?!K?@>C!=!?@JGEB!,H;=G!D@C@;=E!M@E;B<U!=<B!K?BD!D01FLG!

/CAAB?,!-?)!OA=aB/,B)!"*+?*@*/-?/B!

!! $>HLF@G!@>;B<LA>>BL;?!N@;FAK;!?;=>D=<D!<BGB=;B<?!J=O!=GGB=<!@>!MK;K<B!LF@G?!DKB!;A!V=>DN@D;F!=>D! GANB<! LA>?;<=@>;?9! +F@?! NA<W! G<AGA?B?! =! I@=VEB! =E;B<>=;@IB! ;A! <BGB=;B<?! K?@>C! =! ?@JGEB!

;<=>?J@;;B<Q<BLB@IB<!DB?@C>!=>D!J@>@JKJH?@YB!N@<B?!D01FLG!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

Z,!

"#$$%&'(!&'$!"#+%,$ $ ! ! ! ! ! ! ! ! ! )*+*,-.!@B-,CAB!!

SS22HH??FFEE6677GG229922==11$$@@BB==GG88$$<<7711776600//22==11$$0011>>$$OO2299//6622VV33//22==11$$

KK==66$$EE66==GG779999==6699$$0011>>$$,,WW(($$!!

-!/<="!)..>]:$#Y!E01<Qc!8&!EFM+Qc!/S&6b!+#'#;:8&;!V&;!)\':7%6!@;#^4#'6\!"6:S%'[!D0MFJG![5=;0'^9.L;=>.:A!

!

/S&6b%'[! :'Y!/%;64%8! )#$%['! V&;! O:;:SS#S! *Z=! &'! :! @%;$8>!+#'#;:8%&'!/B..!O;&6#$$&;!D0MFNG!X01?@>V'<:09O5=G'^9.L;=>.:A'

'

OAB"B?,!",-,B!=@!,QB!-A,!

!! %<ALB??A<?! >BBD! ;A! J=P@J@YB! GB<MA<J=>LB! =>D! J@>@J@YB! GANB<! D@??@G=;@A>! MA<! D@MMB<B>;!NA<WEA=D?9!S?!=!<B?KE;U!A>HLF@G!?KGGEO!IAE;=CB!=>D!M<BRKB>LO!>BBD!;A!VB!DO>=J@L=EEO!=DXK?;BD!=?!=!<B?KE;9!!!!

!! *@CF!AGB<=;@>C!M<BRKB>L@B?!<BRK@<B!EAN!;@J@>C!>A@?B!M<AJ!;FB!I=<@=VEBHM<BRKB>LO!LEALW9!

!! %<ALB??A<?! >BBD!JKE;@HC@C=VO;B?HGB<H?BLA>D! ;<=>?MB<! <=;B?! VB;NBB>! LF@G?! ;A! ?=;@?MO! AMMHLF@G!D=;=HV=>DN@D;F!<BRK@<BJB>;?9!+@J@>C!>A@?B!=>D!=E@C>JB>;!VB;NBB>!(Q$!G@>?!=<B!L<@;@L=E9!

?=`B.!/=?,A*]C,*=?"!

!! S!DO>=J@L!M<BRKB>LO!?;BG!L=>!VB!=DXK?;BD!N@;F@>!A>B!LOLEB!AM!AGB<=;@A>!!N@;FAK;!CE@;LFB?9!D0MFJG!

!! #EALW!CB>B<=;A<!;F=;!=LF@BIB?!8Z$!=DXK?;=VEB!I=<@=;@A>!@>!LEALW!<=;B!N@;F!!=!LOLEB!;@JB!AM!A>EO!6!C=;B!DBE=O?9!D0MFJG!

!! S!DO>=J@LHM<BRKB>LO!LEALW!DBJA>?;<=;B?!GB=WH;AHGB=W!K>LB<;=@>;O!AM!EB??!!;F=>!8Q8-!AM!=!EAC@LHC=;B!DBE=O9!D0MFJG!

!! 2>B<CO!GB<!V@;!;<=>?MB<<BD!@?!,-Gj9!D0MFNG!

!! S>!=CC<BC=;B!D=;=!;<=>?MB<!<=;B!;F=;!BPLBBD?!-9Z!;B<=V@;?HGB<H?BLA>D9!D0MFNG!

!! S!G=<=EEBE!@>;B<M=LB!;AEB<=;B?!KG!;A!7!V@;?!AM!?WBN!VB;NBB>!G@>?9!D0MFNG!

!

!

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

Z6!

"#$$%&'(!&'$!"#+%,! ! ! ! ! ! ! ! ! ! ! )*+*,-.!@B-,CAB!!

/CAAB?,!-?)!OA=aB/,B)!"*+?*@*/-?/B!

!! 0O>=J@L!M<BRKB>LO!?L=E@>C!B>=VEB?!,!;A!.$!GANB<!<BDKL;@A>!MA<!>BP;HCB>B<=;@A>!G<ALB??A<?9!+FB!=D=G;=VEB!M<BRKB>LO!=E?A!EB=D?!;A!8-!;A!,-i!@JG<AIBJB>;!@>!G<ALB??A<!GB<MA<J=>LB9!D0MFJG!

!! _ANHX@;;B<!LEALW!CB>B<=;@A>!=>D!D@?;<@VK;@A>!B>=VEB?!KE;<=H?FA<;HLOLEBH;@JB!!G<ALB??A<!DB?@C>?9!D0MFJH!0MFNG!

!! _AN! (Q$! GANB<! LAJV@>BD! N@;F! F@CF! ;<=>?MB<! <=;B?! B>=VEB?! MK;K<B! JKE;@H;B<=V@;?HGB<H?BLA>D!=CC<BC=;B!AMMHLF@G!D=;=!V=>DN@D;F9!D0MFNG!

!

!

!

!

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

Z.!

"_#6%:S(!Q*&'!"#Q(,! ! ! ! ! ! ! ! ! ! )*+*,-.!!!!

""OOBB//**--..>>,,==OO**//!!""BB""""**==??!!$$

--??7711$$EE66==GG779999==6699$$SS22//$$//??77$$EE==;;7766$$--00BBBB$$

XX==66$$YY--??7711$$//??77$$@@EEUU$$??22//99$$//??77$$KK0011ZZ[[$$Y=P09.S;=_'Q04$:0KKI2H76&'U;6/;::'#0NT0=G&'K5=:'H5//.9>&'HY'

H70.=_'\0479$-061=G8&'84B&'`5=T:569'U;.P7:>&']`'

=`BA`*BP!

!! %ANB<!LA>?KJG;@A>!=>D!FB=;!<BJAI=E!F=IB!VBLAJB!M@<?;HA<DB<! E@J@;B<?!AM! ;FB!!`5AA<Be?!_=Na!G<BD@L;@A>?! AM! ;FB! C<AN;F! AM! G<ALB??A<! GB<MA<J=>LB9! ! +F@?! E@J@;=;@A>! F=?! VKVVEBD! KG! M<AJ!JAV@EB! DBI@LB?! ;A! ;FB! DB?W;AGU! =>DU! >ANU! BIB>! ?B<IB<! G<ALB??A<?! =<B! @>IB?;@>C! FB=I@EO! @>!;BLF>AEACO!;F=;!J=>=CB?!=>D!<BDKLB?!GANB<!LA>?KJG;@A>9!!+FB!<B?KE;!@?!=!MK>D=JB>;=E!?F@M;!@>!FAN! L@<LK@;! DB?@C>B<?! =>D! =<LF@;BL;?! =;;BJG;! ;A! BP;<=L;! F@CFB<! GB<MA<J=>LB! M<AJ! B=LF! >BN!DB?@C>! CB>B<=;@A>9! ! 1A! =?GBL;! AM! ;FB! G<ALB??A<HDB?@C>! BLA?O?;BJ! CAB?! K>;AKLFBDT! ?@E@LA>!G<ALB??! ;BLF>AEACOU! L@<LK@;! DB?@C>U! LAJGK;B<! =<LF@;BL;K<BU! G=LW=C@>CU! =>D! LAAE@>CU! =<B! =EE!M=L@>C!MK>D=JB>;=E!?F@M;?!@>!;FB@<!JB;FADAEAC@B?!=>D!G<@A<@;@B?9!

=]aB/,*`B!

!! +F@?! /GBL@=EH+AG@L! /B??@A>! BPGEA<B?! ;FB! GANB<HLA>?KJG;@A>! G<AVEBJ! AM! EB=D@>CHBDCB!G<ALB??A<?U!=>D!GA??@VEB!;BLF>AEACO!D@<BL;@A>?!@>!=<LF@;BL;K<BU!L@<LK@;?!=>D!LAAE@>C9!

/Q-..B?+B!

!! %ANB<! LA>?KJG;@A>U! =?! =! G<@J=<O! E@J@;B<! AM! ;FB! F@?;A<@L=E! @>L<B=?B! @>! LAJGK;@>C! L=G=V@E@;OU!<BRK@<B?!=!FA?;!AM!@>>AI=;@A>?!;A!=DD<B??!@;9!

!! +FB<B! @?! >A! `?@EIB<! VKEEB;a! ?AEK;@A>! ;A! B>=VEB! ?KMM@L@B>;! GANB<! BMM@L@B>LO! ;A! G<AI@DB! F@?;A<@L!?@E@LA>H?L=E@>C! VB>BM@;?9! ! +FB! G<AVEBJ! JK?;! VB! =DD<B??BD! =;! J=>O! EBIBE?U! M<AJ! ;FB! N=O!DB?@C>B<?!=<LF@;BL;!;FB!G<ALB??A<?U!;A!;FB!L@<LK@;!LFA@LB?!J=DBU!=EA>C!N@;F!;FB!@>LA<GA<=;@A>!AM!>BN!GANB<!=>D!;FB<J=EHJ=>=CBJB>;!L=G=V@E@;@B?9!

!

!

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

ZZ!

"_#6%:S!(!Q*&$!"#Q(,! ! ! ! ! ! ! ! ! ! )*+*,-.!

",AC/,CAB!

!! Q#'Y;%b!Q:7:''H!*]<(!YQA0/20BBDF+79=BP7>$,40H21H$=K$M2G6=A6=G799=6$E=;76Z'!

! +F@?! ;=EW! G<B?B>;?! ?;=;@L! =>D! DO>=J@L! ;BJGB<=;K<B! =>D! GANB<! D@?;<@VK;@A>?U! =?! =! MK>L;@A>! AM!! LEALW! M<BRKB>LOU! LA<B! IAE;=CBU! =>D!NA<WEA=D9!+FB! @JG=L;! AM! F@CFHGANB<! DB>?@;O! <BC@A>?! =>D!! FA;!?GA;?!@>!J@L<AG<ALB??A<!L@<LK@;?!@?!D@?LK??BD9!

!

!! ,F!":b4;:%H!C'%e#;$%8\!&V!,&b\&(!YT>0A/2P7$]7G?12R379$K=6$M010H21H$E=;76$$$$@=1934A/2=1Z''

! (>!;F@?!;=EWU!=D=G;@IB!;BLF>@RKB?!=<B!BPGE=@>BD!M<AJ!;FB!I@BNGA@>;?!AM!!NF=;!;A!JA>@;A<![GANB<h!! ;BJGB<=;K<Bh\U! FAN! ;A! JA>@;A<U! NF=;! ;A! LA>;<AE! [IAE;=CBhU! M<BRKB>LOh\U! FAN! ;A! LA>;<AEU! =>D!! C<=>KE=<@;O!AM!LA>;<AE9!

!

!! ):e#!)%8c#SH!,;:'$7#8:(!YL=;FE=;76$S2H?FE76K=6401G7$T6G?2/7G/3679Z!!

! +F@?! ;=EW! N@EE! <BI@BN! =! I=<@B;O! AM! F@CFH! GB<MA<J=>LB! `?AHL=EEBDa! EANHGANB<! %#! G<ALB??A<?U!! @DB>;@MO!NF=;!;FBO!JB=>!VO!EANHGANB<U!=>D!@DB>;@MO!I=<@AK?!JBLF=>@?J?!K?BD!;A!EANB<!GANB<!! MA<!F@CFHGB<MA<J=>LB!%#HLAJG=;@VEB!?O?;BJ?9!!

!! ]%SS!):SS\H!"8:'V&;Y!C'%e#;$%8\(!YE=;76$*KK2G271/$Q/6704$T6G?2/7G/3679Z!!

! +F@?! ;=EW!DB?L<@VB?!FAN!=!GANB<HBMM@L@B>;!?;<B=J!=<LF@;BL;K<B!J@>@J@YB?!D=;=!JAIBJB>;!=>D!! @>?;<KL;@A>!AIB<FB=D!;A!C@IB!BMM@L@B>LO!LAJG=<=VEB!;A!S/(#!?AEK;@A>?9!

!

!! h#'!+&&Y$&'H!"8:'V&;Y!C'%e#;$%8\(!YT>P01G7>$@==B21H$K=6$S2H?FE76K=6401G7$)LQ,Z!!!

! +F@?! ;=EW! N@EE! @EEK?;<=;B! EAN! FB=;! ;<=>?MB<! N@;F@>! ;FB! J@L<AG<ALB??A<! LF@G! @>MEKB>LB?! L@<LK@;!! GB<MA<J=>LB!=>D!<BE@=V@E@;O!AM!F@CFHGB<MA<J=>LB!f_/(!?O?;BJ?9!!+F@?!@??KB!@?!JA;@I=;@>C!JKLF!! <B?B=<LF! A>! =DI=>LBD! LAAE@>C! ;BLF>AEAC@B?U! <=>C@>C! M<AJ! LF@GH@>;BC<=;BD! J@L<AHLF=>>BE!! LAAE@>CU! ;FB<JABEBL;<@L!J=>=CBJB>;!AM! EAL=E@YBD!FA;?GA;?U!=>D!=DI=>LBD!J=;B<@=E?!N@;F!F@CF!! ;FB<J=E!LA>DKL;@I@;O9!!!

AB/-O!

!! +F@?!?B??@A>!N@EE!G<AI@DB! @>?@CF;?! @>;A!NF=;! ;FB!V<@CF;B?;!J@>D?! @>! @>DK?;<O!=>D!=L=DBJ@=!=<B!;F@>W@>C! @>! <BC=<D! ;A!?AEI@>C! ;FB!G<AVEBJ?! ;F=;! @>L<B=?@>C!GANB<!LA>?KJG;@A>!L<B=;B?! MA<! ;FB!LAJGK;B<!@>DK?;<O9!!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

Z^!

,48&;%:S(!]&$!"#(,! ! ! ! ! ! ! ! ! ! ! )*+*,-.!

!

]]33//==662200BB$$

**''88;;&&YY446688%%&&''!!88&&!!**ZZ==!!))##$$%%[[''!!VV&&;;!!))%%[[%%88::SS!!""\\$$88##[email protected];>'a09:75M5@/5>&'H0L.@1'];:65=T>&'B0=/?5=5'B"'

'

=`BA`*BP!

!! +<=>?J@??@A>H_@>B!cK>D=JB>;=E?!

!! 2EBJB>;?!AM!+<=>?J@;;B<Q!'BLB@IB<!0B?@C>!

!! 2EBL;<A?;=;@LH0@?LF=<CBH%<A;BL;@A>!$IB<I@BN!

!! SDI=>LBD!+BLF>@RKB?![0@MMB<B>;@=E!0B?@C>U!%f+!#AJGB>?=;@A>U!$>H0@B!+B<J@>=;@A>\!

!! #EALW@>C!MA<!(Q$!0B?@C>!

!

"OB-hBA!]*=+A-OQi!

,946\Y%Y#$! j:'89&_&4S&$! <BLB@IBD! F@?! :/U! 5/! =>D! %F0! DBC<BB?! @>! 2EBL;<@L=E! 2>C@>BB<@>C! =>D!#AJGK;B<! /L@B>LB! M<AJ! ;FB! 5=??=LFK?B;;?! (>?;@;K;B! AM! +BLF>AEACO! @>! 8bb,U! 8bbZU! =>D! 8bbbU!<B?GBL;@IBEO9!c<AJ!8bbb!;A!,--8U!FB!N=?!=!LA>?KE;@>C!B>C@>BB<!N@;F!;FB!SEGF=!0BIBEAGJB>;!)<AKGU!#AJG=R! #AJGK;B<U! @>! /F<BN?VK<O! 5SU! NFB<B! FB! DB?@C>BD! ;FB! LEALWH! CB>B<=;@A>! =>D! D@?;<@VK;@A>!>B;NA<W!MA<!;FB!SEGF=!,86^.!?B<IB<!G<ALB??A<![2f7\9!/@>LB!,--8U!FB!F=?!VBB>!=!%<@>L@G=E!5BJVB<!AM!+BLF>@L=E!/;=MM!N@;F!#=I@KJ!1B;NA<W?! @>!5=<EVA<A!5SU!NFB<B!FB!LAHEB=D?!?@E@LA>!@JGEBJB>;=;@A>!AM!?BLK<@;OH! =>DH>B;NA<WH?B<I@LB?! G<ALB??A<?U! =>D! @?! G<@J=<@EO! <B?GA>?@VEB! MA<! (Q$U! LEALW@>CU! GANB<!D@?;<@VK;@A>U!=>D!G=LW=CB!DB?@C>9!'

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

Z7!

@&;47(!̂ $!"#)),! ! ! ! ! ! ! ! ! ! ! !)*+*,-.!

T>P01G7>$@26G32/9$J=634$$$$

+=V39/$O792H1$Q=B3/2=19$J=6$:01=9G0B7$@26G32/9N$J6=4$OJM$/?6=3H?$*1>F=KFL2K7!!

!

!

=5k#68%e#!#@<LK@;!=>D!DB?@C>!;BLF>@RKB?!MA<!<AVK?;!=>D!<BE@=VEB!DB?@C>?!@>!?KVH8-->J;BLF>AEAC@B?9!S;;B>D=>LB!@?! E@J@;BDU!=>D!G<BH<BC@?;<=;@A>! @?!<BRK@<BD9!+F@?!=EEHD=O!NA<W?FAG!B>LAK<=CB?!AGB>! @>;B<LF=>CB! @>!=!LEA?BD!MA<KJ9!!!!

-4Y%#'6#!!+FB!MA<KJ!@?!;=<CB;BD!=;!L@<LK@;!DB?@C>B<?!NA<W@>C!A>!;FB!=DI=>LBD!DBIBEAGJB>;!=>D!DB?@C>!AM!LF@G?!@>!b->J!=>D!^Z!>J!;BLF>AEAC@B?U!NFA!>BBD!;A!K>DB<?;=>D!FAN!L@<LK@;!=>D!DBI@LB!J=>KM=L;K<=V@E@;O!=>D!<BE@=V@E@;O!E@J@;?!N@EE!@JG=L;!;FB@<!DB?@C>?9!!!

"6&_#!^Z>J! =>D! b->J! ;BLF>AEAC@B?! =<B! GK;;@>C! ;FB! ?RKBBYB! A>! #5$/! L@<LK@;! DB?@C>U! <BRK@<@>C! L=<BMKE!DB?@C>!MA<!J=>KM=L;K<=V@E@;O![0c5\!=>D!<BE@=V@E@;O9!c<AJ!G<ADKL;!LA>LBG;!;F<AKCF!DB?@C>!;A!B>DHAMHE@MBU!;FB! >BBD! MA<! @JG<AIBD!JADBE@>C! =>D!DB?@C>!J=<C@>@>C!LA>;@>KB?! ;A! @>L<B=?B9!cA<! BP=JGEBU! DBI@LB!IAE;=CBHAGB<=;@>CH<=>CB! @?! E@J@;BD! =;! ;FB! KGGB<HB>D! VO! FA;! BEBL;<A>! BMMBL;?! =>D! C=;BH! AP@DB! M=@EK<BU!NF@EB! ;FB! EANB<!IAE;=CB!VAK>D?!=<B!LA>?;<=@>BD!VO!MK>L;@A>=E@;O!=>D!/'S5!?;=V@E@;O9!+F@?! MA<KJ!N@EE!=DD<B??!0c5U!<BE@=V@E@;OHDB?@C>!;AAE?U!DB?@C>!?;OEB?!=>D!=<LF@;BL;K<B?U!;A!G<AI@DB!F@CFHRK=E@;O!<BE@=VEB!G<ADKL;?9!!

O;&[;:7!0B?@C>!MA<!J=>KM=L;K<=V@E@;O!@?!=!L<@;@L=E!?;BG!MA<!=DI=>LBD!E@;FAC<=GFO9!/S%e#!]%88S#$8&'#!N@EE!?;=<;!;FB!

MA<KJ!VO!D@?LK??@>C!$G;@L=E!%<AP@J@;O!#A<<BL;@A>![$%#\!;BLF>@RKB?9!A&'!]&S:7!N@EE!G<AI@DB!DB;=@E?!AM! >=>AH?L=EB! <BE@=V@E@;O!JBLF=>@?J?!=>D! ;<B>D?! MA<!FA;HBEBL;<A>!BMMBL;?U!1:+(U!C=;B!AP@DB! <BE@=V@E@;O!=>D!BEBL;<AJ@C<=;@A>!GB<;@>B>;!;A!L@<LK@;!DB?@C>9!

!

a:6b!O%__%'l$!N@EE! MALK?!A>!;FB!;AAE?!=>D!;BLF>@RKB?!AM!L@<LK@;!=>=EO?@?!<BRK@<BD!;A!AG;@J@YB!L@<LK@;?!

N@;F@>!<BE@=V@E@;O!LA>?;<=@>;?9!):e%Y!+;##'9%SS!N@EE!?FAN!NF@LF!L@<LK@;!DB?@C>!?;OEB?!=<B!;FB!JA?;!<AVK?;!

=L<A??! ;FB! DBI@LB! AGB<=;@>C! E@MB9!Q\4'>+#4'!]\4'! N@EE! MALK?! A>! /'S5! <BE@=V@E@;O! =>D!JB;FAD?! ;A!LAIB<!/2'!=>D! EANHIAE;=CB!?;=V@E@;O9! (>LEKDBD!N@;F!;FB!/'S5!D@?LK??@A>!N@EE!VB!=!>BN!LBEE!=>D! ;FB!JB;FAD?!AM!;B?;@>C9!

!

*@CFH?GBBD! (Q$! DB?@C>! @??KB?! ;F=;! N@EE! VB! D@?LK??BD! @>LEKDB! ;FB! K?B! AM! EAC@L! DBI@LB?U! =>D! ;FB!

@>L<B=?@>C! DBJ=>D! MA<! F@CFB<! D=;=! <=;B?9!+#;;\! ,:S5&8! N@EE! G<B?B>;! ?AEK;@A>?! =>D!JB;FAD?! MA<! (Q$!

DB?@C>!@>!^Z>J!;BLF>AEAC@B?9!c@>=EEOU!Q%$:$9%[#!-'Y&!N@EE!G<B?B>;!=<LF@;BL;K<B?!;F=;!=<B!;AEB<=>;!;A!M=@EK<B?k@>H;@JB!@>!/'S5!=>D!EAC@L!MK>L;@A>?9!

!

+FB!MKEEHD=O!BPGB<;!=>=EO?@?!=>D!G<B?B>;=;@A>!N@EE!LA>LEKDB!N@;F!=!RKB?;@A>H=>DH=>?NB<!D@?LK??@A>9!!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

Z]!

)*+*,-.!!!

!

!/Q*O!/=<O.Bj*,i

E

E1

E11

EH111

E1H111 ENNI

ENNJ

ENN2

ENNK

ENNL

ENNM

ENNN

0111

011E

0110

011I

011J

0112

i#:;

,;:'$%$8&;!/&4'8!T<%SS%&'$X

O;&6#$$&;!,;:'$%$8&;$

<#7&;\!,;:'$%$8&;$

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

Zb!

/.=/h!@ABfCB?/i

E1

E11

E111

E1111 ENNIENNJENN2ENNKENNLENNMENNN0111011E0110011I011J0112

i#:;

/S&6b!@;#^4#'6\!T<QcX

)*+*,-.!

!

!

!

!

!

!

!

!

!

!

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

^-!

O&R#;!)#'$%8\!TPZ770X

1F11E

1F1E

1FEE ENNI

ENNJ

ENN2

ENNK

ENNL

ENNM

ENNN

0111

011E

0110

011I

011J

0112

i#:;

O&R#;!)#'$%8\!TPZ770X

)*+*,-.!

!

!!

!"#$%&'()"%"')*)+!',-#.')

!

!! "#$%#&$'!

!! ($)*+%$,!-).$%/!

!! -)0$1!!

!! 2+*3%&)1!

!! (3%+4!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

67!

!"##$%&#'(!"#$!%#$"&!"#$%&'!()'!*+,(( ( ( ( ( )*+,-.!/(*-*!(0(1)!23+4!(

))!!!!5555((66777788((9(())**++,,--..!!//((**--**!!((00((11))!!2233++44!!((!:;<%==$>>""(5?@$A'!-.!/01234!567809:'!;0<9=01!>?@0A'!-?6:3<938'!BC(

''(())**((++)),,$$

*B!CD!),E)F)5+EC(.-!G3C!( (

!! 8!#5$/! 9:;<:=>9?=:! @:A@B=! >CDE:F:@!>! 9:;<:=>9?=:!>CC?=>CG!BH!%-IJ&#!BF:=! 9D:! 9:;<:=>9?=:!=>AK:!BH!LMM&#!9B!NJ,M&#I!HIJKIL!

!! 8!#5$/!;EC=BO@:A@B=! 9D>9!C>A!P:9:C9! Q:@@! 9D>A!J<<R!BH! Q:>P!CBAC:A9=>9EBA!?@:@!>! H:S!9DEAOHEQ;!<B@9O<=BC:@@EAK!@9:<@I(HIJKML!

!! +D:!@;>QQ:@9!<ET:QU!G:9!>AAB?AC:PU!JIM6';U!E@!EACB=<B=>9:P!EA!>!7IJ5<ET:Q!V+O##0!B<9E;EW:P!HB=!;BREQ:!E;>KEAK(HINKIL(

!! 8!P:A@:!J5<ET:Q!#5$/!E;>K:!@:A@B=U!C=:>9:P!?@EAK!>!70!H>R=EC>9EBA!9:CDAEX?:U!@DBS@!>!<B@@ERQ:!PE=:C9EBA!HB=!B<9E;EWEAK!CB;REA>9EBA@!BH!PEHH:=:A9!9:CDABQBKE:@!HINKOL(

!! 8!A:?=>QO;BAE9B=EAK!@G@9:;!<=BFEP:@!>!@EKAEHEC>A9!>;B?A9!BH!P>9>!CB;<=:@@EBA!YZ,[\!HB=!>!9D=::OPE;:A@EBA>Q!,M6O@:A@B=!@E9:I!HJ7KML!

+223)5+C)BE!(+E1(-5BEB*)5()*2+5C(

!! ]BSOCB@9!>CC?=>9:!9:;<:=>9?=:!;:>@?=:;:A9!>9!9D:!QBS!<BS:=!Q:F:Q@!A::P:P!EA!;>AG!><<QEC>9EBA@!EACQ?PEAK!SE=:Q:@@!@:A@B=!A:9SB=^@!HIJKIL!

!! ]BSOCB@9!QBSO<BS:=!D:>FGO;:9>Q!;EC=BOP:9:C9B=@!<=BFEP:!>!<B@@EREQE9G!BH!=:;B9:!EA9:==BK>9EBA!HIJKML!

!! 0EKE9>Q! E;>KEAKU! HB=! @;>QQ:=! >AP! DEKD:=O=:@BQ?9EBA!C>;:=>O<DBA:@! >AP!0EKE9>Q! /9EQQ! #>;:=>@! EA! >!;?Q9EOREQQEBAOPBQQ>=!;>=^:9(HINKIL(

!! 70! H>R=EC>9EBA! <=BFEP:@! ;>AG! <B@@EREQE9E:@! HB=! 9:CDABQBKG! B<9E;EW>9EBAI! +D:! 9:CDAEX?:@!P:;BA@9=>9:P!CB?QP!>QQBS!;B=:!H?AC9EBA@!9B!R:!EA9:K=>9:P!SE9DEA!>A!E;>K:=U!;>^EAK!E9!@;>=9:=!>AP!

BH!DEKD:=!X?>QE9G(H(INKOL(

!! _>@EC! =:@:>=CD! BA! A:?=>Q! >C9EFE9G! >AP! R=>EA! B<:=>9EBAU! >E;:PU! HB=! :T>;<Q:U! >9! D:>QEAK! BH! A:=F:O=:Q>9:P!PE@:>@:@!!HJ7KML!

2+E-3(

*%;$P"()=@Q$&Q'(2@A@R$Q=(!?$S>(%A(C"<?&%P%QT(U:;;P"V(H-IL!

CGCB.)+3(

.F(*-*!'(1"W$<"#/(5$A<:$>#(0(2@<X@Q$&Q(HCJL(

FB.G*(

5?@A@<>"A$Y@>$%&(%S(!%P$RD!>@>"(!"&#%A#(HFJL(

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

6.!

!"##$%&'(!"("#$%&,( ( ( ( ( ( ( ( )*+,-.!/(*-*!(0(1)!23+4!(

( F-+CG.-(!!

--..//00..1122334411..$$55..6677886699$$(

+(5*B!(C"=Z"A@>:A"(!"&#%A([$>?(@(J+()&@<<:A@<T(%S(%7KI&5(SA%=(988&5(>%(I68&5(HIJKIL(/34D9!E12F38<29G!?D!H36:1?4I?G!

2.-!-EC(!C+C-(BF(C\-(+.C(JHK;!$-LMN;5O(

!! ]BSOCB@9! >AP! >CC?=>9:! 9:;<:=>9?=:! ;:>@?=:;:A9! >9! QBS! <BS:=! Q:F:Q@! E@! A::P:P! EA! ;>AG!><<QEC>9EBA@I!!

!! 0EHHEC?Q9G!BH!;>EA9>EAEAK!9:;<:=>9?=:!>CC?=>CG!R:99:=!9D>A!-IM&#!BF:=!9D:!;EQE9>=G!>AP!EAP?@9=E>Q!9:;<:=>9?=:!=>AK:!BH!LMM&#!9B!J,M&#I!

EB]-3(5BEC.)UGC)BE!(

!! VEF:!9E;:@!E;<=BF:;:A9!BF:=!<=EB=!>=9!HIJKIL(

!! #DE<!>=:>!BH!.IM;;,!!HIJKIL!

!! ':X?E=:;:A9!BH!`M'8!H=B;!>!,IM!9B!MIMa!@?<<QG!HIJKIL!

5G..-EC(+E1(2.B^-5C-1(!),E)F)5+E5-(

!! ]BSO<BS:=!<=:CE@EBA!9:;<:=>9?=:!@:A@EAK!?@EAK!;EC=BS>99@!BH!<BS:=(HIJKIL(

!! (P:>Q!9:;<:=>9?=:!@:A@B=!HB=!QBSOCB@9!SE=:Q:@@O@:A@B=!A:9SB=^@!HIJKIL!

(

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

6M!

!"##$%&'(!"!"#$%&,! ( ( ( ( ( ( ( )*+,-.!/(*-*!(0(1)!23+4!(

( F-+CG.-(

--::;;88<<==>>..3322??$$>>::668833::11886699$$!!

+(*$<A%#T#>"=(S%A(CA@<"(-&W$A%&="&>@P((*%&$>%A$&Q(HIJKML(E12F38<29G!?D!526:2I01P!#104?I!/3F263<P!E12F38<29G!?D!E90:!

2.-!-EC(!C+C-(BF(C\-(+.C(JHK;!$-LMN;5O(

!! *:>FG!;:9>Q@!@?CD!>@!Q:>P!>AP!;:=C?=G!C>A!C>?@:!QBAKO9:=;!D:>Q9D!<=BRQ:;@U!:F:A!>9!9=>C:!Q:F:Q@I!!

!! +D:=:! E@! >! A::P! HB=! =:;B9:QGOQBC>9>RQ:! D:>FGO;:9>Q! P:9:C9B=@! EA! >! F>=E:9G! BH! EAP?@9=E>Q! >AP!CB;;:=CE>Q!><<QEC>9EBA@I!

EB]-3(5BEC.)UGC)BE!(

!! 8!#5$/!;EC=B@:A@B=!9D>9!C>A!P:9:C9!-Ib<<R!BH!Q:>P!HIJKML!

!! 8CDE:F:@!9DE@!@:A@E9EFE9G!9DE@!RG!>PPEAK!>!H:S!9DEAOHEQ;!<B@9O<=BC:@@EAK!@9:<@!9B!@9>AP>=P!!#5$/!HIJKML!

!! (;<Q:;:A9:P!EA!-IM';!#5$/U!9D:!CDE<!BCC?<E:@!76;;,!>AP!CBA@?;:@!JM;4!!

BH!<BS:=!HIJKML!

5G..-EC(+E1(2.B^-5C-1(!),E)F)5+E5-(

!! /:A@B=! :A>RQ:@! QBSOCB@9! QBSO<BS:=! D:>FGO;:9>Q!;EC=BP:9:C9B=@! SE9D! >! <B@@EREQE9G! BH! =:;B9:!EA9:==BK>9EBA!HIJKML!

!! 8<<QEC>9EBA!9B!SE=:Q:@@O@:A@B=!A:9SB=^@!HB=!CBA9EA?B?@!:AFE=BA;:A9>Q!;BAE9B=EAK!HIJKML!

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

66!

!"##$%&'(!%!"#$(),! ( ( ( ( ( ( ( )*+,-.!/(*-*!(0(1)!23+4!(

( F-+CG.-(

55//22????..7733$$@@88;;..??##$$AA..33BB$$)

)

+( I_MK8`( JKI*( 2$a"P( FCD551( [$>?( IK8O'=( 2$a"P( !$Y"( S%A(*%;$P"(+ZZP$<@>$%&#(HINKIc!Q01G?!;4369826!

2.-!-EC(!C+C-(BF(C\-(+.C((JHK;!$-LMN;5O(

!! 89!<=:@:A9U!9D:!@;>QQ:@9!<ET:Q!@EW:!E@!,I7M';!T!,I7M';!HB=!(+O##0U!>AP!,I,M';!HB=!#5$/I!VB=!V+O##0U!E9!E@!PEHHEC?Q9!9B!=:P?C:!@;:>=!>AP!<BS:=!SD:A!9D:!A?;R:=!BH!<ET:Q@!E@!EAC=:>@:PU!>AP!E9!E@!PEHHEC?Q9!9B!>CDE:F:!>!DEKDO=:@BQ?9EBA!@;>QQO<ET:QO@EW:!##0!E;>K:=!SE9D!FEP:B!;BP:@!

!! (A!>A!V+O##0U! E9! E@!PEHHEC?Q9! 9B!=:P?C:!@;:>=!Y9D:!F:=9EC>Q! QEA:@!>9!DEKD! QEKD9! Q:F:Q@\!>AP!<BS:=!SD:A!9D:!A?;R:=!BH!<ET:Q@!E@!EAC=:>@:P!

EB]-3(5BEC.)UGC)BE!(

!! 8CDE:F:@!JIM6';!T!JIM6';!<ET:Q@!SE9D!`a!B<:=>9EBA(H(INKIL!

!! /EKAEHEC>A9QG!=:P?C:@!@;:>=!9B!O`MP_!?@EAK!>!ZO<D>@:!F:=9EC>QO9=>A@H:=!9:CDAEX?:(HINKIL!

!! %=BFEP:@!>!FEP:B!;BP:!RG!CB;REAEAK!<ET:Q@(HINKIL!

5G..-EC(+E1(2.B^-5C-1(!),E)F)5+E5-(

!! %ET:Q!<E9CD!<=BFEP:@!<B9:A9E>Q!HB=!;B=:!CB;<>C9!DEKD:=O=:@BQ?9EBA!#>;:=>!%DBA:@!>AP!0/#(HINKIL(

!! %B9:A9E>Q!9B!HB=C:!CB;<:9EAK!E;>KEAK!9:CDABQBKE:@!9B!E;<=BF:!HINKIL(

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

6`!

!"##$%&'(!%("#$(),( ( ( ( ( ( ( ( )*+,-.!/(*-*!(0(1)!23+4!(

( F-+CG.-(

--CC..$$DD8899$$@@88<<334411..$$8866$$""EE$$(

(

*"Q@Z$a"P(5*B!()=@Q"(!"&#%A(F@;A$<@>"R($&(C?A""D1$="&#$%&@P()&>"QA@>"RD5$A<:$>(C"<?&%P%QT(HINKOL!5RH!N216?41!N0S?809?823<!)

2.-!-EC(!C+C-(BF(C\-(+.C(JHK;!$-LMN;5O(

!! #BAF:A9EBA>Q! (;>K:!@:A@B=@! Y##0d#5$/\! EA!<Q>A>=! 9:CDABQBKE:@! =:X?E=:!>! 9=>P:OBHH!BH!>=:>!HB=!=:>PB?9!:Q:C9=BAEC@!SE9D!>=:>!HB=!E;>K:!@:A@EAK!

!! 70!@9>C^EAK!R>@:P!BA!HQE<OCDE<!R?;<ORBAPEAK!Q:>P@!9B!Q>=K:!<ET:Q!@EW:!>AP!Q>=K:!P>=^!C?==:A9I!

EB]-3(5BEC.)UGC)BE!(

!! 4>H:=!RBAPEAK!SE9D!@;>QQ!,';!T!,';!T!`IM';!70!FE>@(HINKOL!

!! ]BS!P>=^!C?==:A9!BH!Q:@@!9D>A!7A8(HINKOL!

5G..-EC(+E1(2.B^-5C-1(!),E)F)5+E5-(

!! 5>G! >QQBS! DEKDO<:=HB=;>AC:! @:A@B=@! SE9D! B<9E;EW:P! J--[OHEQQH>C9B=! <DB9BPEBP:@! @:<>=>9:P!H=B;!B<9E;EW:P!=:>PB?9!@9=?C9?=:@(HINKOL!

!! 5>G!>QQBS!CB;<Q:T!@EKA>Q!<=BC:@@EAK!R:DEAP!:F:=G!<ET:Q! HB=!;B9EBA!P:9:C9EBAU! H:>9?=:!:T9=>C9EBAU!>AP!>P><9EF:!PGA>;ECO=>AK:!:AD>AC:;:A9(HINKOL(

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

6b!

!"##$%&'("&("#$*+,! ( ( ( ( ( ( ( )*+,-.!/(*-*!(0(1)!23+4!(

F-+CG.-(

FF..441122??==EE223322$$GG:://0011..777788::66$$(+(C?A""D1$="&#$%&@P(E":A@PD."<%AR$&Q(*$<A%D!T#>"=([$>?()=ZP@&>@;P"(1@>@D5%=ZA"##$%&(5$A<:$>AT(HJ7KML(Q01@20!B092?104!N0S<P!E12F38<29G!?D!526:2I01!

)

2.-!-EC(!C+C-(BF(C\-(+.C((JHK;!$-LMN;5O(

!! 8F>EQ>RQ:!;BAE9B=EAK!@G@9:;@!SE9D!H?QQO>A>QBK!EAHB=;>9EBA!9=>A@;E@@EBA!<=BP?C:!>!D?K:!>;B?A9!BH! P>9>I!4DEQ:! P>9>! 9=>A@;E@@EBA! >AP! =:>QO9E;:! <=BC:@@EAK! <=:@:A9! >! RB99Q:A:C^U! R?9! BAQG! >!@;>QQ!<B=9EBA!BH!9=>A@H:==:P!P>9>!C>==E:@!=:Q:F>A9!EAHB=;>9EBA!

EB]-3(5BEC.)UGC)BE!(

!! /<E^:!P:9:C9EBA!=:CBKAEW:@!=:Q:F>A9!:F:A9@!BAQGU!=:P?CEAK!P>9>!RG!Z,[!HJ7KML(

5G..-EC(+E1(2.B^-5C-1(!),E)F)5+E5-(

!! 8@!A:?=>Q!;BAE9B=EAK!R:CB;:@!;B=:!:HHECE:A9U!QBAK:=!>AP!;B=:!CB;<Q:T!H=>;:@!C>A!R:!;BAE9B=:P!>AP!@EKA>QO<=BC:@@:P!HJ7KML(

!!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

6Z!

2@&"P'!)!$"#$T+,! ! ! ! ! ! ! ! )*+,-.!/(*-*!(0(1)!23+4!(!!

*%;$P"()=@Q$&Q'(2@A@R$Q=(!?$S>(%A((C"<?&%P%QT(U:;;P"V((

L8I012U38V!D:HI$J:K?.1'!#I24319!H36:1?4?I23<'!Q0190!W4080!W042D?8120'!EQ#!W:028V!L.I$M41K83N'!QH!5268?343698?126<'!;@21SX8I:'!Q6?9401@!!

BU^-5C)]-(

!! 0:9:=;EA:!EH!;BREQ:!E;>KEAK!E@!D:=:!9B!@9>GU!B=!E@!E9!e?@9!>AB9D:=!9:CDABQBKG!R?RRQ:I!

!! (H! ;BREQ:! E;>KEAK! E@! D:=:! 9B! @9>GU! P:9:=;EA:! 9D:! f^EQQ:=! ><<QEC>9EBAg! B=! ><<QEC>9EBA@! 9D>9! SEQQ!:A>RQ:!:F:=GBA:!EA!9D:!F>Q?:!CD>EA!9B!<=BHE9I!

!! 0:9:=;EA:!SD>9!^:G!9:CDABQBKE:@!SEQQ!R:!=:X?E=:P!9B!:A>RQ:!9D:!f^EQQ:=!><<QEC>9EBA@gI!

!

5\+33-E,-(

!! +D:!E;>K:!X?>QE9G!9D>9!C?@9B;:=@!D>F:!CB;:!9B!>CC:<9!EA!BA:O9E;:O?@:!HEQ;!C>;:=>@!E@!X?EC^QG!CBQQEPEAK!SE9D!9D:!CB@9!=:X?E=:;:A9@!HB=!;BREQ:!E;>KEAKI!

!! +D:!CBA9EA?:P!=:P?C9EBA!EA!<ET:Q!@EW:U!9B!;::9!CB@9!>AP!@EW:!=:X?E=:;:A9@U!E@!D>FEAK!>!P:9=E;:A9>Q!:HH:C9!BA!E;>K:!X?>QE9GI!

!! ]:A@!>AP!<>C^>KEAK!9:CDABQBKE:@!;?@9!R:!P:F:QB<:P!9B!>CDE:F:!9D:!E;>K:!X?>QE9G!>AP!CB@9!=:X?E=:P!RG!C?@9B;:=@I!!

!

5BEC.B]-.!4(

!! 4EQQ!;BREQ:!E;>KEAK!@?=FEF:!9D:!CB@9OX?>QE9G!9=>P:BHH@!=:X?E=:P!RG!C?@9B;:=@h!

!! (@!9D:=:!>!=:>QE@9EC!;EAE;?;!<ET:Q!@EW:!>AP!;EAE;?;!C>;:=>!CB@9h!

!! 4EQQ!;BREQ:!E;>KEAK!=:<Q>C:!QBSO:AP!PEKE9>Q!C>;:=>@!>AP!BA:O9E;:O?@:!HEQ;!C>;:=>@!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

`-!

C:>%A$@P'(-"("#$(,( ( ( ( ! ! ! ! )*+,-.!/(*-*!(0(1)!23+4!!

(

--4433::118822??$$

.F(*-*!'(1"W$<"#/(5$A<:$>#/(@&R(2@<X@Q$&Q((Y088?A:!#G0U2'!73?8I20!R1<929X93!?D!H36:1?4?IG'!#940190'!7#!

!

B]-.])-b(

'V! 525/! P:FEC:@U! EACQ?PEAK! ;EC=B;:CD>AEC>Q! @SE9CD:@U! =:@BA>9B=@U! 70! DEKDOi! EAP?C9B=@! >AP!;EC=B;>CDEA:P! >A9:AA>@U! C>A! :A>RQ:! ?Q9=>OQBSO<BS:=! SE=:Q:@@! >=CDE9:C9?=:@! EA! ?Q9=>O@;>QQ!;EC=B@G@9:;@I! ! *EKDOi! ;EC=B;:CD>AEC>Q! =:@BA>9B=@! EA! 9D:! a*V! >AP! 3*V! =>AK:! D>F:! R:CB;:!>F>EQ>RQ:U!>AP!S>H:=OQ:F:QO<>C^>K:P!525/!@SE9CD:@!D>F:!P:;BA@9=>9:P!=:QE>RQ:!B<:=>9EBA!HB=!REQQEBA@!BH!CGCQ:@I!+DE@!9?9B=E>Q!<=:@:A9@!>A!EA9=BP?C9EBA!9B!'V!525/!P:FEC:@U!=:FE:S@!9D:!Q>9:@9!>PF>AC:@!EA!9D:!HE:QPU!>AP!PE@C?@@:@!9D:E=!;>A?H>C9?=EAKU!<:=HB=;>AC:U!>AP!<>C^>KEAKI!

(

!2-+c-.(U)B,.+2\4(

F@AA%X?(+T@Y$! E@! >A! 8@@E@9>A9! %=BH:@@B=! EA! 9D:! /CDBBQ! BH! 2#2! >9! ):B=KE>! +:CDI! *:! =:C:EF:P! DE@!_/22!H=B;!9D:!3AEF:=@E9G!BH!+:D=>A!EA!JZZ.U!>AP!9D:!5/22!>AP!%DI0!P:K=::@!H=B;!9D:!3AEF:=@E9G!BH!5ECDEK>AU! EA! JZZ`! >AP! ,---U! =:@<:C9EF:QGI! *E@! =:@:>=CD! EA9:=:@9@! >=:! EA! 9D:! >=:>@! BH! ;EC=BdA>ABO:Q:C9=B;:CD>AEC>Q! =:@BA>9B=@U!'V!525/U!a]/(!>A>QBK! (#@U!525/!EA:=9E>Q!@:A@B=@U!>AP!<>C^>KEAKI!*:!E@!>!,--.!=:CE<E:A9!BH!9D:!1/V!#8'22'!>S>=PU!9D:!,--.!'ECD>=P!5I!_>@@!$?9@9>APEAK!+:>CD:=!8S>=PU!>AP!9D:!):B=KE>!+:CD!#BQQ:K:!BH!2AKEA::=EAK!#?99EAKO!2PK:!':@:>=CD!8S>=P!HB=!,--JO,--,I!*:! D>@! @:=F:P! BA! 9D:! 9:CDAEC>Q! <=BK=>;! CB;;E99::@! BH! (//##U! >AP! 9D:! 525/! >AP! /:A@B=@!CBAH:=:AC:@I!

!

!

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

`J!

F%A:=j!J"!"#$*),! ! ! ! ! ! ! ! )*+,-.!/(*-*!(0(1)!23+4!(

$$

$$

5?@A@<>"A$Y@>$%&(%S(!%P$RD!>@>"()=@Q"(!"&#%A#(!!

B;d"<>$W"(/BQEPO@9>9:! E;>K:!@:A@B=@!>=:!CB;<Q:T!P:FEC:@U!>! H>C9! 9D>9! E@!:@<:CE>QQG!><<>=:A9!SD:A! E9!CB;:@!9B!9D:! E@@?:! BH! 9DB=B?KD! CD>=>C9:=EW>9EBAI! ! 4E9D! 9D:! EA9=BP?C9EBA! BH! #5$/! E;>K:! @:A@B=@U! >RB?9! >!P:C>P:! >KBU! 9DE@! :F>Q?>9EBA! >AP! 9:@9EAK! <=BC:@@! R:C>;:! :F:A!;B=:! CB;<QEC>9:PU! R:C>?@:!#5$/!E;>K:!@:A@B=@!D>F:!>A!EAC=:>@:P!H?AC9EBA>QE9G!BAOCDE<I!!+DE@!E@!EA!CBA9=>@9!9B!##0!E;>K:=@!SDECD!>=:!QE;E9:P!9B!>A!>A>QBK!<ET:Q!;>9=ET!EA!CB;REA>9EBA!SE9D!>!@E;<Q:!B?9<?9!>;<QEHE:=!BAOCDE<I!!(A!9D:!C>@:!BH!9D:!##0!CE=C?E9U!>AG!>PPE9EBA>Q!H?AC9EBA>QE9G!E@!QBC>9:P!BHHOCDE<I!!!

+:R$"&<"(VB=!#5$/!E;>K:=@U!RB9D!9D:!>A>QBK!CE=C?E9=G!>AP!PEKE9>Q!CE=C?E9=G!>=:!EA9:K=>9:P!BA!9D:!@>;:!E;>KEAK!CDE<U!SE9D!<DB9BA@!>@!9D:!EA<?9!@EKA>Q!>AP!<=BC:@@:P!PEKE9>Q!RE9@!>@!9D:!B?9<?9I!!4D:=:!H?QQOH?AC9EBA>Q!9:@9EAK! BH! 9D:! CB;<BA:A9! E@! A::P:PU! 9D:! :F>Q?>9EBA! >AP! 9:@9EAK! PE@CE<QEA:! :ACB;<>@@:@! B<9EC>QU!>A>QBKOCE=C?E9U!>AP!PEKE9>QOCE=C?E9!9:@9EAKI!!

!<%Z"((A!;>AG!C>@:@U! E;>K:!@:A@B=@!>=:!H>R=EC>9:P!EA!#5$/!<=BC:@@:@!9D>9!>=:!EA!@B;:!S>G!9S:>^:P!9B!=:@?Q9! EA! R:99:=! E;>KEAK! <:=HB=;>AC:I! ! +D:! B<9E;EW>9EBA! BH! 9D:@:! #5$/! <=BC:@@:@! EAFBQF:@!@:;ECBAP?C9B=! <DG@EC@U! @:;ECBAP?C9B=@! <=BC:@@EAKU! >AP! CD>=>C9:=EW>9EBA! ^ABSODBSI! ! ! 8QQ! BH! 9D:@:!PEHH:=:A9!<>=>;:9:=@!A::P!9B!R:!CD>=>C9:=EW:P!9D=B?KDB?9!9D:!H>R=EC>9EBA!<=BC:@@I!!

2A%QA@=(+>^:A!>QQ! 9BK:9D:=U! 9D:!X?>QE9G!>AP!<:=HB=;>AC:!BH!##0!>AP!#5$/! E;>K:!@:A@B=@! =:QG!BA!>!F:=GO:T9:A@EF:!;?Q9EOPE@CE<QEA>=G!CD>=>C9:=EW>9EBA!>C9EFE9GI!!(9!E@!9D:!EA9:A9EBA!BH!9DE@!HB=?;!9B!KEF:!>A!EAOP:<9D!BF:=FE:S!BH!9D:!E@@?:@!>@@BCE>9:P!SE9D!9D:!PEHH:=:A9!<>=9@!BH!9D:!E;>K:O@:A@B=!:F>Q?>9EBA!<=BC:@@I!!2>CD!9>Q^!EA!9D:!VB=?;!>PP=:@@:@!9D:!CD>=>C9:=EW>9EBA!BH!>!@EAKQ:!PE@CE<QEA:!SE9DEA!9D:!HE:QP!BH!@BQEPO@9>9:!E;>KEAKj!B<9EC@U!P:FEC:!<DG@EC@U!:Q:C9=EC>Q!CE=C?E9=GU!P:@EKA! HB=! 9:@9>REQE9GU! @:;ECBAP?C9B=! 9:CDABQBKGU! @:A@E9EFE9GU! >AP! @9>AP>=PEW>9EBA! BH! 9:@9EAKI! ! +D:!B=K>AEWEAK!CB;;E99::!D>@!EAFE9:P!^:G!@<:CE>QE@9@!EA!:>CD!BH!9D:@:!HE:QP@!9B!CBA9=ER?9:!9B!9DE@!VB=?;I!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

`,!

EEBBCC--!!((!

!

!"!#$%&

!

!! "#$%#&$'!

!! ($)*+%$,!-).$%/!

!! 0.$1&)2345.&1!0$//&56!!

!! 4+*5%&)2!

!! (5%+7!

!

!

!

!

!

!

!

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

67!

"#$$%&'$(!!"#!$"#!%!!"#$%&'()&'(*+! )*)+,-!

..""""////!!00111122!!3!!))**))++,,--!!

"456&77%88##!/9:%;(',-./01023'4-.5&'67839-':;<5=1&'>5215&'?-8-@!

&&''(())''**((++##

)+"<=".>?.@./A?<!,*"BC<"! !

!! 1810!9:;<=!>?@;A<!B)>!>;??C@?!D0EFG!0E0H!

!! 5D:ECF:@G@:!1$'!9:;<=!HI@?;E@<!;E!JKK5*L!D0EIH!

!! ,)>!00',!/0'85!;M=C@G@<!B--5>N<NICO!D02EIH!

!! @0'85!HI@?;ECOP!;E!.--5*L!?;OQHR!MSM:@!D02EJH!

!! 9C?<E!,7K5>!/'85!D0IE0H!

!! /'85<!HI@?;ECOP!;E!<D>F-T7U!!D0IEJG!0IEIH!

!! 2R>@QQ@Q!VW!#;M=@!COM?@;<@<!EH!,.5X!D0IEKH!

ALLC./A<.+?"!A?M!*/+?+)./!.)LA/<!

!! 9:;<=!R@RH?S!I;<<@<!0'85!CO!>CE!Q@O<CES!D0EFG!0E0H!

!! *CP=@?!Q@O<CES!R@@E<!<EH?;P@!Q@R;OQ<!HY!QCPCE;:!M;R@?;<!;OQ!5%W!I:;S@?<!D0EFG!0E0H!

!! @0'85!?@I:;M@<!@/'85!CO!#5$/FMHRI;EC>:@!I?HM@<<!D02EJH!

!! *CP=FQ@O<CES!0'85!A@@I<!I;M@!ZCE=!00',!>;OQZCQE=!D02EIH!

!! /'85!<=;EE@?<!J--5>!>;??C@?!D0IE0G!0IEKH!

!! /E;>C:CES!C<<D@<!<H:G@Q!YH?!D:E?;F:HZFGH:E;P@!/'85!D0IEJG!0IEIH!

"L*/.AC=<+L./!"*"".+?!

",A)!M#$%N'!%'!89#!?:'&$6:O#!*;:!D"*2H!

<B<+,.AC!

L9:$#=/9:'N#!)#7&;P!D<QH!

@+,B)!

ARS:'6#R!MP':7%6=)#7&;P!M#$%N'!D@0H!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

6K!

"#$$%&'(!!!!"#$%+! ! ! ! ! ! ! ! ! ! ! )*)+,-!@*A<B,*!

,,--..//00##112233445566##77448899--22//##7722:://;;<<66##!!

A!FQI770!K>5!?A?M!@O:$9!)#7&;P!%'!T1'7!/)+"!

<#69'&O&NP!D0EFH!>5/A3B-C'4-@D3/2'

&

A'!K>5!)4O8%=C#S#O!?A?M!@O:$9!)#7&;P!%'!IJ'7!/)+"!L;&6#$$!D0E0H!4-</0@E!

L,*"*?<!"<A<*!+@!<U*!A,<!F>G6'#HIJK6:L!

!! *CP=@<EFQ@O<CES!1810!9:;<=!5@RH?S!?@IH?E@Q!EH!Q;E@!=;<!.)>!M;I;MCES!

!! %?@<@OEFQ;S!1810!9:;<=!5@RH?S!E@M=OH:HPS!C<![-OR!

!! 9;<E@<E!Z?CE@!I@?YH?R;OM@!YH?!;!RD:ECF:@G@:!1810!9:;<=!?@IH?E@Q!EH!Q;E@!C<!,TW5XN<!

?+V*C!/+?<,.WB<.+?"!

!! 9;>?CM;ECHO!CO!6-OR!#5$/!E@M=OH:HPS!D0EFH!

!! 9;>?CM;ECHO!CO!KWOR!#5$/!E@M=OH:HPS!D0E0H!

!! 8M=C@G@<!K5XN<!Z?CE@!I@?YH?R;OM@!D0EFH!

!! 8M=C@G@<!.T.5XN<!Z?CE@!I@?YH?R;OM@!D0E0H!

/B,,*?<!A?M!L,+X*/<*M!".>?.@./A?/*!

!! 5D:ECFV@G@:! E@M=OC\D@<!HYY@?!;!<CPOCYCM;OE! COM?@;<@! CO!>CE!Q@O<CES! YH?!IH?E;>:@!@:@ME?HOCM<! !D0EF!0E0H!

!! *CP=F<I@@Q!I?HP?;RRCOP!YH?!QCPCE;:!M;R@?;<!;OQ!;DQCH!I:;S@?<T!D0EF!0E0H!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

66!

"#$$%&'(!!!!"#$%+! ! ! ! ! ! ! ! ! ! ! )*)+,-!@*A<B,*!

==##>>22??##@@5522..AA<<00554488BB00##;;::##>>44::CC''44--..<<;;--22CC112233445566##

DD2255EE445533..::FF22##!!

A!Y1'7!2F0)5!FII)UZ!)4O8%=C#S#O=/#OO!@O:$9![%89!FE2)WP8#\$!L;&N;:77%'N!D0EIH!M@.;7'

&

L,*"*?<!"<A<*!+@!<U*!A,<!!F>G6'#HIJK6:L!

!! 9;<E@<EF'@;Q!1$'!5D:ECFV@G@:!9:;<=!5@RH?S];E!J,75*L^!?@IH?E@Q!EH!Q;E@!!

!! %?@<@OEFQ;S!1$'!5D:ECFV@G@:!9:;<=!5@RH?S!C<!CO!JW-OR!E@M=OH:HPST!

!! 9;<E@<EF4?CE@!1$'!5D:ECFV@G@:!9:;<=!5@RH?S!];E!,--_XN<^!!?@IH?E@Q!EH!Q;E@T!

?+V*C!/+?<,.WB<.+?"!

!! JKK5*L!MHOECODHD<F>D?<E!HI@?;ECHO!YH?!Y;<E!?@;Q!E=?HDP=IDET!D0EIH!

!! 8M=C@G@<!JT75XN<!Z?CE@!I@?YH?R;OM@!D0EIH!

!! #;I;>:@!HY!MHOMD??@OE!JKK5*L!?@;Q!;OQ!JT75XN<!Z?CE@T!D0EIH!

!! 9;>?CM;E@Q!CO![-OR!#5$/!E@M=OH:HPS!D0EIH!

/B,,*?<!A?M!L,+X*/<*M!".>?.@./A?/*!

!! /CPOCYCM;OE!COM?@;<@!CO!Y?@\D@OMS!YH?!Y;<E!QC?@MEFMHQ@!@`@MDECHOT!D0EIH!

!! /CPOCYCM;OE!CRI?HG@R@OE!CO!Z?CE@!E=?HDP=IDE!YH?!MHRRDOCM;ECHO<!!;OQ!RD:ECR@QC;!;II:CM;ECHO<T!!D0EIH!

!! 5D:ECF:@G@:!E@M=OC\D@<!HYY@?!:HZ@<E!>CE!MH<E!YH?!R@RH?S!<D><S<E@R<!!?@\DC?COP!Y;<E!MHQ@!@`@MDECHO!;OQ!Y;<E!OHOFGH:;EC:@!Q;E;!<EH?;P@T!D0EIH!

!

!

!

!

!

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

6B!

"#$$%&'(!!$!!"#()+! ! ! ! ! ! ! ! ! ! ! )*)+,-!@*A<B,*!

,,..//<<22//<<##))..::GG4433CCHH66FF--22##((339922GGGG22GG##77))==11##!!

A!Q11)UZ!,:'R&7=/P6O#!M4:O=L&;8!.'8#;O#:S#R!M,A)![%89!"8;%]#R=<;#'69!/:]:6%8&;!D02EJH!:-./0/A3.-'67;N.=3N'M@90/.=3-7'

L,*"*?<!"<A<*!+@!<U*!A,<!!F>G6'#HIJK6:L!

!! WJ,5*L!?;OQHRFMSM:@!0'85!Z;<!;OOHDOM@Q!;E!(//##!,--.T!

!! @0'85!I?HM@<<!Q@QCM;E@Q!EH!:;?P@!M@::!M;I;MCE;OM@!HY!,-Y9T!!

?+V*C!/+?<,.WB<.+?"!

!! .--5*L!?;OQHRFMSM:@!0'85!ZCE=!QD;:FIH?E!COE@?:@;G@Q!HI@?;ECHO!D02EJH!

!! /@O<@F<CPO;:F:H<<FMHRI@O<;ECOP!E@M=OH:HPC@<!YH?!7Y9!M@::!D02EJH!

!! #5$/FMHRI;EC>:@!/E?CI@QF+?@OM=!#;I;MCEH?!]/+#^!M@::!D02EJH!

/B,,*?<!A?M!L,+X*/<*M!".>?.@./A?/*!

!! .--5*L!';OQHRF#SM:@!'85!Q@GCM@!?@I:;M@<!/'85!D02EJH!

!! (OM?@;<@<!R;`CRDR!@R>@QQ@QFR@RH?S!M;I;MCES!D02EJH!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

6[!

"#$$%&'(!!$!!"#()+! ! ! ! ! ! ! ! ! ! ! )*)+,-!@*A<B,*!

,,;;55//<<##HH4433332255FF;;..----66##'';;..99--22##!!II99##77))==11##!!

A'!K11)5\$\]%'!0>5!MM,0!"M,A)!B$%'N!:'!K1'7!<;%]O#=!)#8:O!<#69'&O&NP!D02EIH!4-</0@E'

L,*"*?<!"<A<*!+@!<U*!A,<!F>G6'#HIJK6:L!

!! .)>!Z;<!;OOHDOM@Q!!;E!(//##!,--J!

!! #=CI!;?@;<!?@IH?E@Q!=;G@!>@@O!I?H=C>CECG@:S!:;?P@!!

?+V*C!/+?<,.WB<.+?"!

!! #?@;ECG@!D<@!HY!E?CI:@!R@E;:!@O;>:@<!QC@F<CL@!?@QDMECHO!D02EIH!

!! #=CI!HDE:CO@!HIECRCL@Q!EH!YCE!COQD<E?SF<E;OQ;?Q!]a202#^!I;MA;P@!D02EIH!

!! $OFM=CI!M:HMA!QHD>:COP!YH?!E@<EFMH<E!?@QDMECHO!D02EIH!

/B,,*?<!A?M!L,+X*/<*M!".>?.@./A?/*!

!! #HRR@?MC;::SFGC;>:@!,)>!0'85!Q@GCM@!D02EIH!

!! (OM?@;<@<!R;`CRDR!<S<E@R!R@RH?S!D02EIH!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

B-!

"#$$%&'(!!%!!"#(*+! ! ! ! ! ! ! ! ! ! ! )*)+,-!@*A<B,*!

JJ..55BB22//<<##KK))==11##22LL2255##5522MM4455<<22GG##!!

A!02I)5!"P'69;&'&4$=W4;$8!MM,!",A)![%89!U%#;:;69%6:O!W%8=C%'#!A;69%8#684;#!^&;!)&5%O#!A]]O%6:8%&'$!D0IE0H!4-</0@E!

L,*"*?<!"<A<*!+@!<U*!A,<!!F>G6'#HIJK6:L!

!! *CP=FQ@O<CES!/'85!:CRCE@Q!>S!M@::!<CL@!;OQ!:@;A;P@FCOQDM@Q!IHZ@?!QC<<CI;ECHOT!

!! 0@M?@;<COP!M@::! ?@;Q!MD??@OE!=;<!ID<=@Q!MH?@!;?M=CE@MED?@<! COEH! CO@YYCMC@OE!=C@?;?M=CM;:F>CE:CO@!<E?DMED?@<T!

?+V*C!/+?<,.WB<.+?"!

!! ,7K5>! /'85! D<@<! -TJKbR,! ],79,^! <E;MA@Q! <COP:@FM?S<E;:! <C:CMHO! E=COFYC:R! E?;O<C<EH?! M@::!

COE?HQDM@Q!;E!E=@!UV/(!/SRIH<CDR!CO!aDO@!,--.T!D0IE0H!

!! 3<@! HY! <E;MA@Q! <COP:@FM?S<E;:! <C:CMHO! E=COFYC:R! E?;O<C<EH?! ;<! :HM;:! MH:DRO! <@:@ME! @:CRCO;E@<!CO@YYCMC@OMS!HY!=C@?;?M=CM;:F>CE:CO@!;?M=CE@MED?@T!D0IE0H!

!! J6R8!HI@?;ECOP!MD??@OE!;E!J.-5*L!>D?<E!HI@?;ECHO!R;A@<!M=CI!<DCE;>:@!YH?!RH>C:@!;II:CM;ECHO<!D0IE0H!

/B,,*?<!A?M!L,+X*/<*M!".>?.@./A?/*!

!! VHZ@?!MH<E!;OQ!=CP=@?!I@?YH?R;OM@! YH?!RH>C:@!;II:CM;ECHO<c!<DM=!;<!M@::!I=HO@<c!%08<c!@EMT!D0IE0H!

!! ';C<@Q!>;??C@?! EH! I@O@E?;ECHO!>S!/'85! dZ;OO;>@e!E@M=OH:HPC@<! <DM=!;<!J+J#!;OQ!W+!I<@DQHF/'85T!!D0IE0H!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

BJ!

"#$$%&'(!!%!!"#(*+! ! ! ! ! ! ! ! ! ! ! )*)+,-!@*A<B,*!

NNOO##;;::FF5522..//22##;;::##**<<..::;;8833PP##JJNN##HH..FF0022##KK;;QQ22##

!!

<9#!A$P'69;&'&4$!0Q)W!+'=/9%]!C#S#O=J!/:69#!^&;!:!M4:O=/&;#!.8:'%47

_!L;&6#$$&;!D0IEKH!

G;O7;..'#-N2-=9C'M@.;7'

L,*"*?<!"<A<*!+@!<U*!A,<!!F>G6'#HIJK6:L!

!! *CP=@?FY?@\D@OMS!HI@?;ECHO!I:;M@<!P?@;E@?!Q@R;OQ<!HO!@R>@QQ@Q!R@RH?SF!<S<E@R<T!

!! 5D:ECFMH?@!;?M=CE@MED?@!;:<H!?@\DC?@<!:;?P@?!R@RH?ST!

!! (OM?@;<COP!R@RH?S!<CL@!<E?@<<@<!IHZ@?FQC<<CI;ECHO!:CRCE<T!

!! (OM?@;<COP!M;M=@!<CL@!RD<E!A@@I!DI!ZCE=!Y?@\D@OMS!Q@R;OQ<!HY!E=@!I?HM@<<H?T!

?+V*C!/+?<,.WB<.+?"!

!! ,.5X!VW!M;M=@!C<!:;?P@<E!@R>@QQ@Q!R@RH?S!@G@?!?@IH?E@Q!D0IEKH!

!! VHZ@?!MH?@FGH:E;P@!HI@?;ECHO!:CRCE<!,.5X!M;M=@!IHZ@?!EH!.T,4!D0IEKH!

!! #=;OP@! EH!;<SOM=?HOHD<!R@RH?S!HI@?;ECHO!?@QDM@<!VW!M;M=@!ICI@:CO@! Y?HR!B! EH!7!MSM:@<!HY!:;E@OMS!D0IEKH!

/B,,*?<!A?M!L,+X*/<*M!".>?.@./A?/*!

!! *CP=@?FI@?YH?R;OM@!<@?G@?!;OQ!#%3!;II:CM;ECHO<!D0IEKH!

!! 0@O<CES!<DIIH?E<!QD;:FMH?@!;?M=CE@MED?@<!D0IEKH!

!

! ! !

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

B,!

"]#6%:O(!K($#!"#P%+! ! ! ! ! ! ! ! ! ! )*)+,-!

!

!!

""LL**//..AACC==<<++LL..//!!""**""""..++??!

##KK))==11##7722//;;BB::##;;::##<<0022##>>..::44//FF..--22##((55..####

I=E-@3Q;=R''K522G0.5#>.<.5.R.:&':541/&',[email protected]&'S-@-9-'SA-3=R'' =-2S.:G25#K089.<&'T3=-E;'K5E3N&'U=;<5@.&'S"''

+V*,V.*`!

!! /'85!2OPCO@@?<!CO![-OR!Y;M@!@OH?RHD<!M=;::@OP@<!EH!A@@I!DI!ZCE=!I@?YH?R;OM@!;OQ!IHZ@?!?@\DC?@R@OE<T!

!! (OOHG;ECG@!MC?MDCE!Q@<CPO!!;OQ!I?HM@<<!E@M=OC\D@<!;QQ?@<<!E=@<@!C<<D@<c!;OQ!@O;>:@!E=@!IHE@OEC;:!HY!YDED?@!/'85<T!

+WX*/<.V*!

!! +H!@`IH<@!E=@!M?CECM;:!>HEE:@O@MA<!CO!E=@!Q@<CPO!HY!/'85<!;E![-OR!;OQ!>@:HZT!!

!! +H!=CP=:CP=E!MD??@OE!?@<@;?M=!HO!E=@!CRI;ME!HY!/2'!HO![-OR!/'85<T!!

!! +H!=CP=:CP=E!COQD<E?C;:!;II?H;M=@<!EH!?@<H:GCOP!:@;A;P@!;OQ!I@?YH?R;OM@!C<<D@<!CO![-OR!/'85<T!!

!! +H!=CP=:CP=E!E=@!O@@Q!YH?!R;OQ;EH?S!E@<E!HY!![-OR!@R>@QQ@Q!/'85<!EH!CRI?HG@!SC@:QT!

/UACC*?>*!

!! +=@!M=;::@OP@<!CO!@R>@QQ@Q!/'85!Q@<CPO!;E![-OR!COM:DQ@f!

(OM?@;<@Q!<E;OQ>S!:@;A;P@c!;OQ!P;E@!:@;A;P@T!

5;COE;COCOP!I@?YH?R;OM@!;<!(HON(HYY!Q@M?@;<@<T!

(RI?HGCOP!CRRDOCES!EH!?;QC;ECHOFCOQDM@Q!@G@OE<!M;D<@Q!>S!:HZ@?!HI@?;ECOP!GH:E;P@!;OQ!?@QDM@Q!OHQ@!M;I;MCE;OM@<T!

(RI;ME!HY!QHI;OE!UE!G;?C;ECHOE!

(RI;ME!HY!/'85!SC@:Q!;E![-ORT!

!

!

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

BW!

"]#6%:O(!"*2#!"#P%+! ! ! ! ! ! ! ! ! ! )*)+,-!

!

"<,B/<B,*!

!! S5@.;@./f!!

+=@! YC?<E! EZH! E;:A<!ZC::! =CP=:CP=E! E=@! CRI;ME! HY! :@;A;P@!;OQ!<HYE! @??H?<!HO!/'85<g!+=@! YCO;:! EZH!E;:A<!ZC::!;QQ?@<<!E=@!Q@<CPO!QCYYCMD:EC@<!;OQ!E@<E!<H:DECHO<!?@\DC?@Q!EH!CRI?HG@!SC@:Q!;OQ!\D;:CES!HY!/H#<!<=CII@QT!

!! 48;-2;=/'-@9'48;N3-7.3;/'

!! "&&'!)&&'!X4'NG!":7$4'N(!TK)=1#J2.A.B2C)2G8F<;4:#U2F0:;V82/W!

8!QC<MD<<CHO!HY!E=@!:@;A;P@!R@M=;OC<R<!;OQ!E=@!CRI;ME!HY!:@;A;P@!HO!/'85!YDOMECHO;:CESc!YH::HZ@Q!>S!;II?H;M=@<!>S!Z=CM=!EH!MHOE?H:!:@;A;P@!;OQ!?@QDM@!IHZ@?!MHO<DRIECHOT!

!! ,&5#;8!W:47:''!<#a:$!.'$8;47#'8$(!TK4E<#(5545#).<2/#;:#K)=1W!

8! QC<MD<<CHO! HY! E=@! E=?@@! QHRCO;OE! ?;QC;ECHOFCRI;ME! R@M=;OC<R<! ?@<IHO<C>:@! YH?! <COP:@F!@G@OE!@YY@ME<!CO!;QG;OM@Q!E@M=OH:HPC@<g!E=@!<M;:COP!E?@OQ<!YH?!<HYE!@??H?!<@O<CECGCESg!;OQ!E=@!;II:CM;ECHO<!E=;E!?@\DC?@!RCECP;ECHO!<E?;E@PC@<!YH?!/2'T!

!! U:;&OR!L%O&!.W)(!TK)=1#72/;B:#*//82/#;:#<02#XY:3#(5.W!

8! QC<MD<<CHO! HY! =HZ! <HR@! HY! E=@! @R@?PCOP! E?@OQ<! ]COM:DQCOP! COM?@;<@Q! (HON(HYYc! P;E@!:@;A;P@c! ;OQ! ?;OQHR! QHI;OE! Y:DMED;ECHO<^! ;?@! Q?CGCOP! M=;OP@<! CO! Q@<CPO! <ES:@! ;OQ!;?M=CE@MED?@!HY!/'85<!;E![-OR!;OQ!>@:HZT!

!! -#;S:'8!b&;%:'!V%;:N#!C&N%6(!TU2/<#K4-8<;4:/#<4#*3M54L2#K)=1#Z;2-GW!

8!QC<MD<<CHO!;OQ!;O;:S<C<!HY! E=@! CRI;ME!HY![-OR!Y;>?CM;ECHO!HO!/'85!SC@:Qc!;OQ!I?@<@OE!@R>@QQ@Q!E@<E!<H:DECHO<!?@\DC?@Q!EH!PD;?;OE@@!E=@!\D;:CES!HY!<=CII@Q!/H#<T!

!

,*/AL!

!! +H! @QDM;E@! ;EE@OQ@@<! MHOM@?OCOP! M?CECM;:! C<<D@<! I?@G@OECOP! /'85<! Y?HR! <M;:COPc! ;OQ!MHO@?OCOP!I?@<@OE!;II?H;M=@<!EH!<H:DECHO<T!!

!! +H! =CP=:CP=E! E=@! IHE@OEC;:! CRI;ME! HY! E=@! I?H>:@Rc! ;OQ! COGCE@! ;EE@OQ@@<! EH! I;?ECMCI;E@! CO! E=@!QC<MD<<CHO!HO!E=@!M?@;ECHO!HY!RH?@!COOHG;ECG@!<H:DECHO<T!!

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

B.!

<48&;%:O(!<Q!!"#P+! ! ! ! ! ! ! ! ! ! ! )*)+,-!!

UU88<<4455;;..--##

LL99::$$##==//99::''NN##!!))##77&&;;PP!!V30735'S-/-E=-@9;&'4>':3N=5;7;N.=5@3N/&':37-@&'M.-71'

'

+V*,V.*`!

!! %=;<@FM=;OP@!R@RH?S!]%#5^!MHOM@IE<!

!! 5@RH?S!M@::<!;OQ!@:@ME?CM;:!M=;?;ME@?C<ECM<!

!! /M;:COP!I@?<I@MECG@<!

!! 0@<CPO!;<I@ME<!!

!! 5$/!G<T!Xa+!YH?!;??;S!<@:@MECHO!

!

"L*Ac*,!W.+>,ALU-!

>%4O%&!/:$:N;:'R#!P?;QD;E@Q!CO!2:@ME?HOCM!2OPCO@@?COP!CO!J[66!Y?HR!E=@!3OCG@?<CES!HY!%;QHG;c!(E;:ST!*@!hHCO@Q!/+!5CM?H@:@ME?HOCM<c!5C:;OHc!!;<!;!Q@<CPO@?!HY!!2%'$5<!;OQ!22%'$5<c!;OQ!E=@O!=@!:@Q!E=@!!Q@<CPO!;OQ!@OPCO@@?COP!E@;R!E=;E!Q@G@:HI@Q!E=@!YC?<E!P@O@?;ECHO<!HY!!9:;<=!5@RH?C@<!;E!/+T!*@!C<!I?@<@OE:S!E=@!0C?@MEH?!HY!'i0!HY!E=@!5@RH?SF%?HQDME<!)?HDI!;E!/+!5CM?H@:@ME?HOCM<c!YHMD<COP!HO!;QG;OM@Q! 9:;<=! Q@<CPO! YH?! @R>@QQ@Q! ;II:CM;ECHO<c! ;<<@<<R@OE! ;OQ! Q@G@:HIR@OE! HY! QC<?DIECG@!@R@?PCOP!R@RH?C@<c!#80c!;OQ!Q@<CPO!R@E=HQH:HPST!!)CD:CH!C<!;!R@R>@?!HY!E=@!(//##!5@RH?S!/D>F#HRRCEE@@T!

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

B7!

@&;47f!@0!!"#$)+! ! ! ! ! ! ! ! ! ! ! !)*)+,-!

,,&&))[[11####

ARS:'6#R!MP':7%6=)#7&;P!M#$%N'!!!

+5d#68%S#!+=C<! ;::FQ;S! YH?DR! C<! Q@QCM;E@Q! EH! E=@! Q@<CPO! ;OQ! ;?M=CE@MED?@! HY! 0'85<! Q@G@:HI@Q! EH! R@@E! E=@! ?@\DC?@R@OE<! HY!EHRH??HZj<!Q@R;OQCOP!;II:CM;ECHO<!;OQ!QCG@?<@!@OGC?HOR@OE<T!8EE@OQ;OM@!C<!:CRCE@Qc!;OQ!I?@F?@PC<E?;ECHO!C<!?@\DC?@QT!+=C<!;::FQ;S!@G@OE!@OMHD?;P@<!HI@O!COE@?M=;OP@!CO!;!M:H<@Q!YH?DRT!!!

!

A4R%#'6#!!+;?P@E!I;?ECMCI;OE<!;?@!MC?MDCE!Q@<CPO@?<!COGH:G@Q!CO!E=@!Q@G@:HIR@OE!HY!O@`EFP@O@?;ECHO!0'85<T!!/DM=!COQCGCQD;:<!O@@Q!EH!DOQ@?<E;OQ!Z=CM=!YDOMECHO;:CEC@<!;?@!?@\DC?@Q!CO!E=@!YDED?@c!;OQ!=HZ!E=@C?!?@\DC?@R@OE<!M;O!>@!R@E!CO!;!Q@<CPOT!!

!

"6&]#!'@M@OE:Sc! 0'85! =;<! @`I;OQ@Q! Y?HR! ;! R@?@! MHRRHQCESFI?HQDME! COEH! RH?@! <I@MC;:CL@Q! Q@GCM@<! ;Q;IE@Q! EH! RD:ECI:@!;II:CM;ECHO!@OGC?HOR@OE<T!VHZFIHZ@?!;OQ!=CP=F<I@@Q!@OGC?HOR@OE<!?@\DC?@!DOC\D@!Q@<CPO!<H:DECHO<!EH!<H:G@!E=@C?!<I@MCYCM!M=;::@OP@<T!/DMM@<<YD:!Q@<CPO@?<!ZC::!?@\DC?@!Q@E;C:@Q!AOHZ:@QP@!HY!E=@<@!<H:DECHO<T!(O!E=C<!YH?DRc!;!<H:CQ!>;<@!HY!0'85!Q@<CPO!ZC::!>@!PCG@Oc!;OQ!@`I;OQ@Q!>S!E@M=OC\D@<!Z=CM=!@O;>:@!E=@!?@\DC?@Q!=CP=F>;OQZCQE=!H?!:HZFIHZ@?!>@=;GCHD?T!

!

L;&N;:7!+=@!YH?DR!ZC::!>@PCO!ZCE=!;!I?@<@OE;ECHO!>S!X%'P&'N!/94'NG!Y?HR!%$/+2#*c!_H?@;c!Z=H!ZC::!?@GC@Z!CRIH?E;OE!P@O@?;:!;<I@ME<! HY! 0'85! Q@<CPOT! XDC:QCOP! HO! E=@<@! >;<CM<c! -&4'N=UP4'! X4'G! Y?HR! /;R<DOPc! ZC::! YHMD<! HO! =CP=F<I@@Q!;II:CM;ECHO<!Z=CM=!R@;OZ=C:@! @`E@OQ! COEH! E=@!)*L! ?@PCR@T! $OFM=CI! M:HMAFP@O@?;ECHO! C<! E=@! A@S! EH! ;! <DMM@<<YD:! =CP=F<I@@Q! Q@<CPOc! ;OQ!0VVN%VV! MC?MDCE<!ZC::! MHO<@\D@OE:S! >@! ;! YHMD<! HY! =C<! I?@<@OE;ECHOT!#C?MDCE<! ;OQ!;II:CM;ECHO<!ZC::! >@!QC<MD<<@Q!Y?HR!>;<CM!EH!;QG;OM@Q!E@M=OH:HPC@<T!

(O!;QQCECHOc!=CP=F<I@@Q!Q@<CPO<!?@\DC?@!I?@MC<@!;OQ!M:@;?:SFQ@YCO@Q!(N$FCOE@?Y;M@<T!+=@<@!ZC::!>@!QC<MD<<@Q!CO!E=@!E;:A!>S!<#;;P! C##c! 5CM?HO! +@M=OH:HPSc! (N$F! ;OQ! I?HEHMH:FHIECRCL;ECHO! HY! 0'85<! M;Oc! =HZ@G@?c! HO:S! >@! I@?YH?R@Q! Z=C:@!MHO<CQ@?COP!P@O@?;:!0'85!MHO<E?;COE<T!+=@!E;:A!ZC::c!E=@?@YH?@c!;:<H!@`E@OQ!EH!E=@!<S<E@R!@OGC?HOR@OE!;OQ!CE<!CRI;ME!HO!(N$FCOE@?Y;M@<T!

!+=@!O@`E! E;:Ac!>S!X&9'!W:;89G! Y?HR!(X5c!ZC::! YHMD<!HO!E=@!@R>@QQ@Q!D<@!HY!0'85<T!V;?P@c!HOFQC@!/'85!M;M=@<!;?@!?@;M=COP! E=@C?! :CRCE<! ;<! C<!R;Q@!H>GCHD<!>S! E=@! ?C<COP!ODR>@?!HY!/'85!ID>:CM;ECHO<! <E?DPP:COP!ZCE=! :@;A;P@!;OQ!/2'!:CRCE;ECHO<T! *@?@c! =CP=FI@?YH?R;OM@! @0'85! C<! ;O! ;EE?;MECG@! ?@I:;M@R@OEc! ;OQ! E=@! ?@:@G;OE! Q@<CPO! E@M=OC\D@<! ZC::! >@!I?@<@OE@QT!/I@MC;:!09+!;OQ!X(/+!E@M=OC\D@<!O@@Q!EH!>@!@RI:HS@Q!YH?!@R>@QQ@Q!R@RH?C@<c!Z=CM=!ZC::!;:<H!>@!MHG@?@QT!

+=@!?@R;COCOP!I;?E!HY!E=@!9H?DR!ZC::!>@!Q@QCM;E@Q!EH!:HZFIHZ@?!;II:CM;ECHO<T!%HZ@?F?@QDMECHO!M;O!>@!;M=C@G@Q!>S!GH:E;P@!?@QDMECHO! H?! >S! M=;OP@<! CO! E=@! ;?M=CE@MED?@T! +=@! YC?<E! I;?Ec! I?@<@OE@Q! >S! ):;8%'! W;&aG! Y?HR! (OYCO@HOc! ZC::! MHG@?!;?M=CE@MED?;:! <H:DECHO<T! *@?@c! <E?;E@PC@<! ;?@! Q@<M?C>@Q! EH! RCOCRCL@! ?@Y?@<=c! <E;OQF>Sc! ;OQ! :@;A;P@! MD??@OE<T! 9D?E=@?!M=;OP@<! EH! <E;OQ;?Q! Q@GCM@<!ZC::! >@! QC<MD<<@Q!Z=CM=! @O;>:@! HIECRCL@Q! D<@! HY!0'85<! CO! IHZ@?F<@O<CECG@! ;OQ! <I;M@FMHO<E?;COE@Q!RH>C:@!;II:CM;ECHO<T!

+=@! O@`E! E;:A! >S!<:e:P4e%! c:[:9:;:G! Y?HR!*CE;M=Cc! ZC::! YHMD<! HO! E=@! <I@MC;:! M=;::@OP@<! CRIH<@Q! HO!0'85<! >S! E=@!O@M@<<CES! EH! :HZ@?!HI@?;ECOP!GH:E;P@<!Z=C:@!<E;SCOP!HO!E=@!<=?COAFI;E=!YH?! E=@!R@RH?S!M@::T!'@M@OE!;QG;OM@<!CO!<D>FJU!0'85<!ZC::!>@!I?@<@OE@Q!Z=CM=!I?HRC<@!EH!@O;>:@!E=@<@!Q@GCM@<!ZCE=!E=@C?!:HZc!;G;C:;>:@!R@RH?SFM@::!M=;?P@T!

+=@!:;<E!E;:A!>S!<&7&P4e%!.$9%%!COE?HQDM@<!YDED?@!M=;::@OP@<!YH?!0'85!E@M=OH:HPS!CO!E=@!O;OH<M;:@!I?HM@<<!P@O@?;ECHOT!!9?HR!;O!HI@?;ECHO;:F<E;>C:CES!IHCOEFHYFGC@Zc!0'85!=;<!>@EE@?!<M;:;>C:CES!E=;O!/'85T!!*HZ@G@?c!E=@!<E;OQ;?Q!J+J#!ESI@!0'85!=;<!<@?CHD<!QCYYCMD:EC@<! CO!R;COE;COCOP!;Q@\D;E@! ?@E@OECHO! ECR@!;OQ!<EH?;P@!M;I;MCE;OM@c!;<! E=@!I?HM@<<!<M;:@<T!/@G@?;:!OHOFJ+J#!;II?H;M=@<!YH?!<M;:;>:@!0'85!;?@! COE?HQDM@Qc!;:HOP!ZCE=!O@Z!Q@GCM@!<E?DMED?@<T! ! (OG@<ECP;ECHO!HY!;!R@RH?S!M@::!D<COP!HO:S!J-!@:@ME?HO<!I@?!>CE!C<!QC<MD<<@QT!!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

BK!

??++<<**""!!!

!!

!"#$%&'()*+,!!"$#'

!

!! "#$%#&$'!

!! ($)*+%$,!-).$%/!

!! -)0$1!!

!! 2+*3%&)1

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

67!

!"##$%&#'(!"#$%"#&'(!"#$%&'(%&'%$)'' ' ' ' ' ' !)*+,-(./012!!)+*(

))!!!!1111((33444455((6((!!))**++,,--((..//001122!!!!))++**((

!789%::$;;""(1<=$>''*+,-+'.+//&'012+/'3,/45671,4/&'8+99+/&'0:(

(())**++)),,**--##

?0!@A!)*+)B)1,+@(/2!C-@!( (

!! '89:;<=>8!?=@?;A8B=C=<=DC!*E,F.!G=A8D!8CHDA8I(DEFGH!

!! JDK;LDK8I!=>LM:N8;I9A=D!34O!<I9CNH8=G8IE!D3IFGH!

!! *=@?;A9<9;I9<8!J0%#;#$P05;Q9N8A!34O!<I9CNH8=G8IE!D3IF3H!

!! 4JR1;Q9N8Q9CA!<I9CNH8=G8I!KS5'#!9CA!+T!Q89>BDI>=C@(D3IFJH!

!! 'DQMN<!=>LIDG8A;<?IDM@?LM<!4JR1!MN=C@!9!K=A8Q9CA!9LLID9H?!BDI!<?8!RHH8NN!%D=C<E(D3IFEH!

!! PM::U;=C<8@I9<8A!'S4!VWO0SXFW0Y0SZFW#0!/D#(DKGFKH(

,..-)1,@)0+!(,+L(210+0?)1()?.,1@(

!! *=@?;A8B=C=<=DC!A=@=<9:;G=A8D!A=NHN![O0\!*0;0Y0]\!*0!H9>8I9\!0YO;*E!DEFGH!

!! *=@?;<?IDM@?LM<!:DK;LDK8I!N?DI<;I9C@8!K=I8:8NN!HD>>MC=H9<=DCNE!D3IFGM(3IF3H!

!! 'DQMN<!>M:<=>8A=9;N<I89>=C@;H9L9Q:8!4JR1!9LL:=H9<=DCNE!D3IFJH!

!! 'DQMN<;K=I8:8NN;C8<KDI^!?D<NLD<!L8IBDI>9CH8!9CA!C8<KDI^!>9C9@8>8C<E!D3IFEH!

!! 5M:<=;BDI>9<!?=@?;I9<8!:DK;HDN<!>9NN;N<DI9@8!ND:M<=DCNE!DKGFKH!

.,+2-(

L>$N$&O(?$##(C8$P7$;Q'(R<=;(=SST$9=;$%&#(U$TT(V$TT(;%:%>>%UW#(V=8#X(D2IH!

@C@0/),-(

L!.(1$>97$;(@"9<&%T%O$"#(V%>(;<"(+=&%#9=T"(2>=!_@5H(

(

(

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

7-!

!"##$%&#'(!(!"#$%)( ( ( ( ( ( ( ( ( !)*+,-(./012!!)+*(

B2,@C/2(

++..//0011223344..##553366771188..9933::33;;33<<::##55==$$>>%%##))33??..<<##!!

,(GFK@0.!(YF3JIZ,[1(!$&OT"A1<$S(2&9%\">(V%>(YL@[(,SST$9=;$%&#(DEFGH(;+4<=,+9'0+<>+,'?,<@15/<4AB'CD<E'37E9171,4+4<=,'C1,415&'F<@=41GB'CD<,'H=,I'J+KD<,1'3,-6/45<+9B'J1-<+01G'

./2!2+@(!@,@2(0B(@Y2(,/@(L0MN'#OPQRNJS(

!! +?8!C8K!*E,F.SRY#!G=A8D!N<9CA9IA!LIDG=A8N!<?8!Q8N<!G=A8D!`M9:=<U!BDI!*0;0Y0!9CA!D<?8I!*0;G=A8D!9LL:=H9<=DCNE!!

!! 1D! N=C@:8;H?=L! =>L:8>8C<9<=DCN! DB! 9C! *E,F.SRY#! G=A8D! 8CHDA8I! ?9G8! LI8G=DMN:U! Q88C!I8LDI<8AE!

+0[2-(10+@/)]C@)0+!(

!! +?8!KDI:AaN!B=IN<!N=C@:8;H?=L!I89:;<=>8!G=A8D!8CHDA8I!BDI!<?8!*E,F.SRY#!N<9CA9IAE!DEFGH(

!! %IDG=A8N! I89:;<=>8! 8CHDA=C@! BDI! X,6-$V,-;L=T8:!*0! G=A8DN! 9<! X-65*b! [&3Y.,-\! X,6-TV,-\!c-BLN\!X!I8B8I8CH8!BI9>8\!N89IH?!I9C@8!*_;F.\dFceSY_;c,\dcXe]!DEFGH!

!! /MLLDI<N! BM::;HD>LI8NN=DC! <DD:N! =C! Q9N8:=C8! LIDB=:8\! =CH:MA=C@! >M:<=L:8! I8B8I8CH8! BI9>8N\!G9I=9Q:8!Q:DH^!N=b8N\!9::! =C<I9;LI8A=H<=DC!>DA8N\!0#+S*+SfS(fS(*+S(0#+\!#RYJ#\!A8Q:DH^=C@\!

9CA!I9<8;A=N<DI<=DC;DL<=>=b8A!>DA8!A8H=N=DC!DEFGH!

!! ,-.6;L9I9::8:;=C<8@8I! >D<=DC;8N<=>9<=DC! 9IH?=<8H<MI8! 8:=>=C9<8N! 6Fg! DB! <?8! 8T<8IC9:! >8>DIU!9HH8NN8N! [I8AMH=C@! BID>! XEX.)QU<8NSN! <D! -EXF)QU<8NSN]! 9CA! 66g! DB! <?8! DC;H?=L! /'R5!

9HH8NN8N![I8AMH=C@!BID>!XcE.X)QU<8NSN!<D!XEFc)QU<8NSN]!DEFGH!

!! %DK8I!A=NN=L9<=DC!=N!V6Z>4!9<!XE6Y!9CA!X-65*b!BDI!*0+Y!X,6-$V,-;L=T8:!G=A8DN\!9CA!H?=L!HDI8!N=b8!=N!cXEV,>>,!=C!-EX6'>!#5$/!<8H?CD:D@UE!DEFGH!

1C//2+@(,+L(./0^21@2L(!)*+)B)1,+12(

!! *=@?;A8B=C=<=DC!A=@=<9:;G=A8D!A=NH![O0\!*0;0Y0]\!*0!H9>8I9\!A=@=<9:;G=A8D!QID9AH9N<=C@!BDI!?9CA?8:A!<8I>=C9:N![0YO;*]E!DEFGH(

(

(

(

(

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

7X!

!"##$%&#'($%(!"#(%)( ( ( ( ( ( ( ( !)*+,-(./012!!)+*(

B2,@C/2(

@@00;;AA//11--33??..11BB//::??##CC@@--BBDD##**:://EE00..FF##GG<<HH..00##--33AA..00..FFFF##

GG..;;II<<AAJJFF##!!

,(GF3[(JFE:R():S7T#"A/=\$%(CR](]=#"8=&\(@>=&#9"$N">(D3IFGH(;+4<=,+9'0+<>+,'?,<@15/<4A''

,(I_4?8Z#(-L.1A10BL?A]=#"\(CR](]=#"8=&\(@>=&#9"$N">(D3IF3H(;+4<=,+9'CD<+='06,I'?,<@15/<4A!

./2!2+@(!@,@2(0B(@Y2(,/@(L0MN'#OPQRNJS(

!! 34O!N<9CA9IA![N!9I8]!MCA8I!A8G8:DL>8C<!

!! +KD!HD>L8<=C@!NUN<8>!HDCH8L<Nh!$P05!9CA!(>LM:N8;I9A=D!

!! 29I:U!LID<D<UL8N!=C!=CAMN<IU!9CA!9H9A8>=9!

!! 1D<8!<?8!NL8H=9:!8G8C=C@!N8NN=DC!9CA!L9C8:!9<!(//##!,--.!iii!

+0[2-(10+@/)]C@)0+!(

!! JDK;LDK8I![V!>4]!<I9CNH8=G8I!D3IFGH!

!! P=IN<!A=@=<9:!Q9N8Q9CA!LIDH8NNDIN!BDI!34O!I8LDI<8A!9<!(//##!D3IFGM(3IF3H(

!! *=@?;NL88A![.6-!5QSN]!<I9CNH8=G8I!D3IF3H!

1C//2+@(,+L(./0^21@2L(!)*+)B)1,+12(

!! /?DI<;A=N<9CH8![L8INDC9:;9I89!9CA!=C<I9;IDD>!C8<KDI^]!?=@?;A9<9;I9<8![j-EZ!)QSN]!HD>>MC=H9<=DC!D3IFGH'

!! 4=I8:8NN!HDCC8H<=G=<U!BDI!HD>LM<8I!L8I=L?8I9:N!9CA!HDCNM>8I;8:8H<IDC=HN!8`M=L>8C<!D3IFGH('

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

7,!

!"##$%&'($%(!"#(%)( ( ( ( ( ( ( ( ( !)*+,-(./012!!)+*(

B2,@C/2(

KKLLFF7733::66##;;77..##--MMNNGG##**::HH..00<<OO..##!!

,(R-,+(!%1(V%>([$\"%(,SST$9=;$%&#()&9T7\$&O(]"=:(B%>:$&O(=&\(?=`$:7:A/=;$%(1%:8$&$&O(D3IFJH("4D15=/'

'

,(G_4?!Z#(GJ3?8Z#(R$\"8=&\(@<>""A1<=&&"T(]=#"8=&\(=&\(?,1(.>%9"##%>(V%>(_43FGG=Z8ZO(D3IFEH(N,I<7B'J30!

./2!2+@(!@,@2(0B(@Y2(,/@(L0MN'#OPQRNJS(

!! 1DC;=A89:=<=8N! DB! K=I8:8NN! H?9CC8:N! :=>=<! I8:=9Q=:=<U! 9CA! I9C@8\! I8NM:<=C@! =C! K=A8:U;! G9IU=C@!<I9CN>=NN=DC!:9<8CH=8N!K?=H?!:=>=<!4JR1aN!9Q=:=<U!<D!A8:=G8I!N<I89>=C@!>8A=9![8E@E\!G=A8D]E!

!! #MII8C<!4JR1!ND:M<=DCN!9I8!:=>=<8A!=C!A9<9!I9<8![Z.!5QLN]!K=<?DM<!LIDLI=8<9IU!8T<8CN=DCNE!!RN!K8::\! N?9I=C@! <?8! H?9CC8:! K=<?! N:DK! H:=8C<N! [X! 5QLN]! @I89<:U! I8AMH8N! DG8I9::! C8<KDI^!L8IBDI>9CH8E!

+0[2-(10+@/)]C@)0+!(

!! P=IN<;I8LDI<8A!9LL:=H9<=DC!DB!>9T=>M>;I9<=D!HD>Q=C=C@!9CA!<I9CN>=<!Q89>BDI>=C@!<D!4JR1!6-,EXX;HD>L:=9C<!Q9N8Q9CAE!D3IFJH(

!! 08NHI=L<=DC!DB!9!k=<<8I;I8>DG9:!NUN<8>!BDI!8C9Q:=C@!G9I=9Q:8;Q=<;I9<8!G=A8D!N<I89>N!DG8I!K=I8:8NN!H?9CC8:NE!D3IFJH(

!! P=IN<;I8LDI<8A! >M:<=;H?9CC8:! K=A8Q9CA! 4JR1! ND:M<=DC! H9L9Q:8! DB! lZ.5QSN! BDI! 6-,EXX;HD>L:=9C<!DL8I9<=DCE!D3IFEH!

!! '89:;<=>8! NL8H<I9:;>DC=<DI=C@! H9L9Q=:=<U! BDI!>DC=<DI=C@! =C<8IB8I8IN! 9CA! ID@M8! H:=8C<N\! 9::DK=C@!9AG9CH8A!C8<KDI^;>9C9@8>8C<!H9L9Q=:=<=8NE!D3IFEH!

!! P=IN<;I8LDI<8A! K=A8Q9CA!4JR1! Q9N8Q9CA! ND:M<=DC! =CHDILDI9<=C@! c! HD>L:8<8:U! 9NUCH?IDCDMN!6-,EXX9SQS@;HD>L:=9C<!>DA8>NE!D3IFEH!

1C//2+@(,+L(./0^21@2L(!)*+)B)1,+12(

!! 'DQMN<!N<I89>=C@!>M:<=>8A=9!DG8I!K=I8:8NN!C8<KDI^NE(D3IFJH(

!! 4=A8;HDG8I9@8;9I89!?=@?;I9<8!4JR1NE!D3IFJH(

!! RAG9CH8A!K=I8:8NN;C8<KDI^!>9C9@8>8C<E!D3IFEH!!

!! 'DQMN<!K=I8:8NN;C8<KDI^!?D<NLD<!L8IBDI>9CH8!K=<?!B9N<!9CA!N:DK!H:=8C<NE!D3IFEH!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

7c!

(.=&"T'(*%#!"#TU)# ( ( ( ( ( ( ( ( !)*+,-(./012!!)+*(

(

KK//::..00####

LL>>$$NN$$&&OO((??$$####((CC88$$PP77$$;;QQ''((

((RR<<==;;((==SSSSTT$$99==;;$$%%&&##((UU$$TTTT((VV$$TTTT((;;%%::%%>>>>%%UUWW##((VV==88##XX((P5I+,<V15W''2<AL#P7343QL&'O1,1/+/'01KD,=9=IA&'0=GA=&'X+E+,'

J=-15+4=5W''+<E.A;#BA<?.AF.:&'?,<@15/<4A'=Y'C+9<Y=5,<+'+4'Q15G191A&'C"'

0]^21@)[2(

!! +D! =A8C<=BU! <?8!9LL:=H9<=DCN!<?9<!K=::!AI=G8! <?8!A8>9CA!BDI!9AG9CH8N! =C!N=:=HDC!<8H?CD:D@U!9CA!=C<8@I9<8A;H=IHM=<!A8N=@CE!

1Y,--2+*2(

!! (C<8@I9<8A;H=IHM=<!A8G=H8N! <?9<!K=::! BM8:! <?8!C88A! BDI! <8H?CD:D@U!9AG9CH8N! =C! <?8! BM<MI8!K=::!Q8!LDI<9Q:8\!9CA!ND!L8IG9N=G8!<?9<!>9CU!N8>=HDCAMH<DI!HD>L9C=8N!K=::!Q8!AI9KC!<D!<?=N!>9I^8<!QU!<?8!LID>=N8!DB!:9I@8;GD:M>8!N9:8NE!

!! +?=N!MQ=`M=<DMN!AI=G8I!K=::!=CGD:G8!<?8!<I9CN>=NN=DC!9CA!I8H8L<=DC!DB!=CBDI>9<=DCE!

!! +?8!^=CA!DB!=CBDI>9<=DC!K=::!Q8!HD>>MC=H9<8A!=N!9C!=<8>!DB!A8Q9<8E!

10+@/0[2/!a(

!! 4=::! C8T<;@8C8I9<=DC! H8::! L?DC8N! Q8! <?8! AI=G8I\! 9N! <?8! A8>9CA! BDI! >M:<=>8A=9! HDC<=CM8N! <D!@IDKi!

!! 4=::! =A8C<=B=H9<=DC;HDA8! HD>>MC=H9<=DC! Q8HD>8! L8IG9N=G8\! 9N! 9! K9U! <D! N9<=NBU! <?8! C88A! BDI!N8HMI=<U\!N9B8<U\!9CA!I8:=9Q=:=<Ui!

!! 4=::!<?8I8!Q8!C8K!9LL:=H9<=DCN!K?=H?!HD::8H<!9CA!<I9CN>=<!8CG=IDC>8C<9:!=CBDI>9<=DC!K?=H?!K=::!8CI=H?!DMI!:=B8!9CA!=>LIDG8!DMI!?89:<?!9CA!N9B8<Ui!

!!!!!!!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

7.!

@7;%>$=T'(2R(!"#()( ( ( ( ( ( ( ! ! !)*+,-(./012!!)+*(

(

22LL;;<<AA33//00##

LL!!..((11$$>>9977$$;;((@@""99<<&&%%TT%%OO$$""##((VV%%>>((;;<<""((++==&&%%AA!!99==TT""((22>>==((O+7'Z5</D,+7654DA&'3,419&'M<99/[=5=&'PO'

'

0[2/[)2R(

!! /MQ;FZC>!NH9:=C@!H?9::8C@8N\!9CA!C8K!L9I9A=@>!N?=B<N!=C!C8T<;@8C8I9<=DC!0/%!

!! 2>8I@=C@!<I8CAN!=C!<?8!K=I8:8NN!9CA!8>Q8AA8A;0/%!=CAMN<IU!

!! /L8H=9:;LMILDN8!9HH8:8I9<DIN\!HD;LIDH8NNDI!9II9UN\!9CA!I8HDCB=@MI9Q:8!0/%!8C@=C8N!

!! 2C8I@U;8BB=H=8C<!9I=<?>8<=H;H=IHM=<!<8H?C=`M8N\!9CA!>M:<=;NMLL:US>M:<=;Y<!A8N=@C!

!.2,b2/(])0*/,.Ya(

/=:(b>$#<&=:7>;<Q( =N! 9! /8C=DI! /<9BB! '8N89IH?! 2C@=C88I! 9<! (C<8:! #DILDI9<=DCaN! #=IHM=<! '8N89IH?!J9QN\!=C!*=::NQDID\!$'\!K?8I8!?8!:89AN!<?8!?=@?;L8IBDI>9CH8!9CA!:DK;GD:<9@8!H=IHM=<N!I8N89IH?!@IDMLE!*8!?D:AN!.X!L9<8C<N!9CA!?9N!LMQ:=N?8A!DG8I!VZ!L9L8INE!*8!N8IG8N!DC!<?8!/'#!(#//!<9N^!BDIH8!9CA!<?8! (//##\! #(##\! 9CA! /D#! LID@I9>! HD>>=<<88NE! /=CH8! X777\! ?8! ?9N! Q88C! 9C! 9AkMCH<! B9HM:<U!>8>Q8I! 9<!$I8@DC!/<9<8!3C=G8IN=<U\! K?8I8! ?8! <89H?8N!YJ/(;NUN<8>! A8N=@CE!*8! I8H8=G8A! ?=N! %?0!BID>!#9IC8@=8!58::DC!3C=G8IN=<U!=C!X776E!

!

!

!

!

!!

!"#$%&'&()*+,-"#!,&%.*

!

!! "#$%#&$'!

!! ($)*+%$,!-).$%/!

!! 0.$1&)2345.&1!0$//&56!!

!! 4+*5%&)2!

!

!

"#$%&'()*+!,--./!(00##(1$!2$+!'3%'$14#3!5(+*$4+!%3'6(00($2!

78!

"#$$%&'$(!!"#$!"#%$"#&%!!"#$$%&''%&($%&)*+! ! ! ! )*+,-./.01!234*+)3.-"!

33""""++++!!55666677!!8!!))**++--..//..0011!!223344**++))33..--""!!

"9:;&<<%==##!+>?%@(&",-,./-&0/-,12-3-4-,5&6-44-7/849..4&:,4.;.8.9&<=&>97/,<?<@A!

''(())**((++)),,##

A.")B"30-3C3+D-)!4*"E/)"##

!! 19:;<=>?@>9A!:BC?;=C;DBC!B<>9?E@C9!F9>G99<!<9H?@I!@<A!9I9C>?;<BC!=J=>9:=!FGHIJ!

!! 6360KF@=9A!@>;:BC!CI;CL=!GMBCM!@?9!8--N!=:@II9?!>M@<!>M9!D?9=9<>!@?>!FGH7J!

!! OHIIJKEH<C>B;<@I!6360!PJ?;=C;D9!;<!C;<Q9<>B;<@I!#6$0!I;PBC!CMBD!FGHKJ!

!! R!'9C>BEB9?!(#!E;?!GB?9I9==!D;G9?!>?@<=:B==B;<!HD!>;!S-:KAB=>@<C9!FIGHIJ!

!! +M?99KAB:9<=B;<@I!B<>9P?@>B;<!=CM9:9=!H=B<P!GB?9I9==!B<>9?C;<<9C>=!FIGHGL!IGH7J!!

!! 'O!?9C9BQ9?!@?CMB>9C>H?9!B<>9P?@>B<P!;<KCMBD!6360KF@=9A!MBPMKT!B<AHC>;?=!@<A!>H<@FI9!Q@?@C>;?=/!>;P9>M9?!GB>M!@<!@??@J!;E!D@?@II9I!C@<>BI9Q9?!F9@:=!C;:FB<B<P!A;G<C;<Q9?=B;<!:BU9?=!@<A!CM@<<9I!

EBI>9?=!F5IHIJ!

!! OB?=>K>B:9!A9:;<=>?@>B;<!;E!B<>9P?@>B<P!VR5!EBI>9?=!@F;Q9!VB#6$0!@<A!>M9B?!C;<<9C>B;<!>;!>M9!'O!CB?CHB>=!F9I;GH!+MB=!>9CM<BWH9!B=!H=9A!E;?!>M9!B<>9P?@>B;<!;E!@!C;:DI9>9!5#16R!'O!E?;<>K9<AX!F5IH5!

?'M!5IHNJ!

!! 29G!'O!C;::H<BC@>B;<!A9QBC9=!@<A!=J=>9:=!F@=9A!;<!6360!F5IHIL!5IH5L!5IHNL!5IH7J!

!! OB?=>!#6$0!Y-)*Z!AB?9C>KC;<Q9?=B;<!?9C9BQ9?!E9@>H?B<P!E;IA9A!:BC?;=>?BD!IB<9=!?9@IBZ9A!B<!-XS[\:!#6$0!@<A!C;<=H:B<P!7:5!E?;:!@!SX,]!=HDDIJ!F5IHOJ!

!! 29G!C@=C@A9A!:HI>BK=>@P9!AB=>?BFH>9A!@:DIBEB9?!B<!7-<:!#6$0!@CMB9Q9=!F9>>9?!>M@<!8AV!P@B<!GB>M!@!F@<AGBA>M!;E!8-)*Z!F5IHKJ!

!! $?P@<BC!>?@<=B=>;?=!@?9!B<>9P?@>9A!B<>;!EI9UBFI9!=C@<<9?!@<A!AB=DI@J!FN5H5L!N5HNJ!

!

"#$%&'()*+!,--./!(00##(1$!2$+!'3%'$14#3!5(+*$4+!%3'6(00($2!

7^!

"#$$%&'$(!!"#$!"#%$"#&%!!"#$$%&''%&($%&)*+! ! ! ! )*+,-./.01!234*+)3.-"!

DPP/3+D)3.-"!D-2!*+.-.A3+!3APD+)!

!! R!D@>M!E;?!B<>9P?@>B<P!9I9C>?;<BC=!@<A!<9H?@I!EH<C>B;<=!FGHIJ!

!! 3I9C>?;<BC!=9<=;?J!C@D@FBIB>B9=!B<!@!MH:@<!E;?:KE@C>;?!FGH5J!

!! (<AH=>?B@I!FB;K9I9C>?;<BC!D?;C9==B<P!;E!IBQB<P!C9II=!!FGHNJ!

!! %;?>@FI9!D;=B>B;<B<P!=J=>9:=!GB>M!MBPMK@CCH?@CJ!CI;CL=!FGH7J!

!! 1?@:@>BC!?9AHC>B;<!B<!C;=>!@<A!E;?:KE@C>;?!E?;:!MJF?BA!B<>9P?@>B;<=!FGH7L!GHKJ!

!! (<>9P?@>9A!;<KCMBD!@<>9<<@=!FIGHQJ!

!! 29G!>?@<=C9BQ9?!@?CMB>9C>H?9=!E;?!:HI>BKF@<A!GB?9I9==!!@DDIBC@>B;<=!F5IHIL!5IH5!?'M!5IHNJ!

!! 29G!I;GKC;=>!:B<B@>H?BZ9A!GB?9I9==KC;::H<BC@>B;<!!=J=>9:=!F5IHIL!5IH5L!5IHNL!5IH7J!

!! _;GKC;=>!#6$0!::KG@Q9!CB?CHB>=!E;?!!MBPMKA@>@K?@>9!C;::H<BC@>B;<=!F5IHOL!5IHKL!5IHQJ!

!! OI9UBFI9!DI@=>BC!AB=DI@J!`9I9C>?;<BC!D@D9?a!@<A!=C@<<9?!FN5H5L!N5HNJ!

!

"P*+3D/B).P3+!"*""3.-!

3'=#R@?=%&'!%'!=>#!N@M!2%<#'$%&'(!.SS&@=9'%=%#$!?'M!+>?TT#'R#$!!F"*NJ!

!

)E).43D/!

-?'&=#;>'&T&RU!I6I!F)OJ!

!

!

"#$%&'()*+!,--./!(00##(1$!2$+!'3%'$14#3!5(+*$4+!%3'6(00($2!

77!

"#$$%&'(!!#!"#$$+! ! ! ! ! ! ! ! )*+,-./.01!234*+)3.-"!

C*D)E4*!

--++..))//00//''--11++22##33443355))--33##!!

V&%'%'R!3&'%;$!?'M!*T#;=@&'%;$(!"#<%;&'M9;=&@!+>%S$!W%=>!3&'!+>?''#T$L!-#@X#!+#TT$!?'M!Y@?%'!)%$$9#!FGHIJ!6-B&#?-,73&:,4.;.8.9&=<2&C;<7/9D;4.2A&

*

D'!D'?T&R!Y%&'%;B*?@!P@&;#$$&@!W%=>!Z#@&B+@&$$%'R!2#=#;=%&'!FGH5J!6:>!

*

D'!3+[A%;@&\T9%M%;!,U:@%M!A%;@&$U$=#<!\&@!52!A?R'#=%;!A?'%S9T?=%&'!&\!3'M%X%M9?T!Y%&T&R%;?T!!+#TT$!FGHNJ!E-2F-21&G,;F924;.AH&E-2F-21&691;7-?&I7/<<?!

*

)&W?@M$!+>%SB";?T#!D=&<%;!+T&;]$!FGH7J!J"K#"H&L:I>!

*

P@&;#$$%'R!&\!A*A"!0U@&$;&S#$!&'!)&S!&\!+A."!3+$!FGHKJ!:6M0H&"I6H&:6IMN0L6H&#/;?;O4H&C<47/!

P4*"*-)!")D)*!.C!),*!D4)!!P>EM&#KQCRM6S!

!! *JF?BA!B<>9?AB=CBDIB<@?J!>9CM<;I;PB9=!@?9!F9B<P!B<>9P?@>9A!B<!C;:D@>BFI9!D?;C9==9=!

-.^*/!+.-)43YE)3.-"!

!! R<@I;P!=D99CM!D?;C9==;?!P9<9?@>9=!=>B:HIH=!AB?9C>IJ!>;!@HAB>;?J!<9?Q9!FGH5J!

!! #6$0!ABPB>@IKCB?CHB>!;D9?@>B;<!C@<!F9!H=9A!>;!:@<BDHI@>9!>@PP9A!B<ABQBAH@I!IBQB<P!C9II=!FGHNJ!

!! 29G!C@D@FBIB>B9=!@<A!EH<C>B;<=!@?9!?9@IBZ9A!;<!@!=B<PI9!AB9!FGH7L!GHKJ!

!

"#$%&'()*+!,--./!(00##(1$!2$+!'3%'$14#3!5(+*$4+!%3'6(00($2!

S--!

"#$$%&'(!$!&!"#''+& ! ! ! ! ! ! ! )*+,-./.01!234*+)3.-"!

C*D)E4*!

66778800997788::;;##,,<<;;::==::>>>>##??@@AA##11AABB??@@CC::AA##++@@DD::EE;;??DD<<77@@##!!

D!I^!GNNA,_[QOQA,_!57]:[$!C"`!5]:[$!..`!4C!

)@?';#%X#@!"&+!%'!"=?'M?@M!2%R%=?T!6HIQ'<!+A."!FIGH5J!0IM6%&T9D;74H&>9B-4&:,4.28D9,.4&*

D!Ia70:[$!IH5b!N2B"=?;]#M!3'M9;=%X#!3'=#@B+>%S!b%@#T#$$!"9S#@;&''#;=!W%=>!)@?'$<%=BP&W#@!+&'=@&T!";>#<#!FIGH7J!U9;<&G,;F924;.AH&G,;F924;.A&<=&><3A<&

P4*"*-)!")D)*!.C!),*!D4)!P>EM&#KQCRM6S!

!! 09<=;?!B<>9?E@C9!B=!<;>!B<>9P?@>9A!B<>;!GB?9I9==!:;AHI9=!FIGH5J!

!! %;G9?!C;<=H:D>B;<!;E!'O!=J=>9:=!>;;!MBPM!E;?!AB=>?BFH>9AK=9<=;?!@DDIBC@>B;<=!FIGH5J!

!! #;::H<BC@>B;<! F@<AGBA>M! @<A! D;G9?! AB=>?BFH>B;<! @:;<P! CMBD=! B<! @! [K1! =>@CL! B=! @! :@b;?!F;>>I9<9CL!FIGH7J!

-.^*/!+.-)43YE)3.-"!

!! 09<=;?!B<>9?E@C9!@<A!D?;C9==;?!B<>9P?@>9A!GB>M!?@AB;!9I9C>?;<BC=!FIGH5J!

!! 4I>?@KI;GKD;G9?!A9:;<=>?@>B;<!;E!=9<=;?!B<>9P?@>B;<!FIGH5J!

!! %;G9?!C;<>?;I!9IB:B<@>9=!B<>9?E9?9<C9!@<A!;D>B:BZ9=!D;G9?!AB==BD@>B;<!FIGH7J!

+E44*-)!D-2!P4.V*+)*2!"30-3C3+D-+*!

!! 1B=>?BFH>9A!=9<=B<P!@DDIBC@>B;<=!9<@FI9A!FJ!HI>?@KI;GKD;G9?!B<>9P?@>9AK=9<=;?!<;A9!!FIGH5J!

!! S+9?@FB>c=9C!GB?9I9==!C;::H<BC@>B;<!@:;<P!CMBD=!B<!@!D@CL@P9!!FIGH7J!

!

"#$%&'()*+!,--./!(00##(1$!2$+!'3%'$14#3!5(+*$4+!%3'6(00($2!

S-S!

"#$$%&'(!%$!!"#($+! ! ! ! ! ! ! ! )*+,-./.01!234*+)3.-"!

C*D)E4*!

**FF##55**))22//33GG##11HH''(())00++II##++2255))JJ**1155++''22##!!

3'=#R@?=%&'!&\!,%R>Bc!YDb!4#$&'?=&@$!?'M!C%T=#@$!?:&X#!3+!F5IH5J!IV;44&09,.29&=<2&M?97.2<,;74&-,1&6;72<.97/,<?<@A&P0IM6SH&0M"NRM>:&

*

D!"%0#(+!Y%+A."!b+2AD!Z#@&B3C!4C!C@&'=B*'M!E$%'R!?'!D:&X#B3+!YDb!C%T=#@!F5IHNJ!I>&6;72<9?97.2<,;74H&0M"NRM>:H&0IM6!

P4*"*-)!")D)*!.C!),*!D4)!P>EM&#KQCRM6S!

!! 299A! E;?! B<>9P?@>B;<!;E!MBPMKT!D@==BQ9!C;:D;<9<>=/! =HCM!@=!VR5! EBI>9?=/!;<! >;D!;E!@<! (#! >;!@Q;BA!9U>9?<@I!C;:D;<9<>=/!?9AHC9!>M9!V$6/!@<A!A9C?9@=9!>M9!>?@<=C9BQ9?!C;=>!

!! 299A!E;?!>?@<=C9BQ9?!@?CMB>9C>H?9=!E;?!:HI>BKF@<A!@<A!:HI>BK=>@<A@?A!GB?9I9==!C;::H<BC@>B;<!

-.^*/!+.-)43YE)3.-"!

!! OB?=>K>B:9!A9:;<=>?@>B;<!;E!B<>9P?@>B<P!VR5!EBI>9?=!@F;Q9!VB#6$0/!@<A!C;<<9C>B<P!>M9:!>;!>M9!'O!CB?CHB>=!F9I;GX!+MB=!>9CM<BWH9!B=!H=9A!E;?!>M9!B<>9P?@>B;<!;E!@!C;:DI9>9!5#16R!'O!E?;<>K

9<A!F5IH5L!5IHNJ!

!! (<C?9@=9A! B<>9P?@>B;<! @Q;BAB<P! ;EEKCMBD! C;:D;<9<>=! B<! >?@<=C9BQ9?=! E;?! =B<PI9K! CMBD! 'O!>?@<=C9BQ9?!F5IH5L!5IHNJ!

+E44*-)!D-2!P4.V*+)*2!"30-3C3+D-+*!

!! *BPMIJKB<>9P?@>9A!?@AB;=!E;?!:HI>BKF@<A!@<A!:HI>BK=>@<A@?A!GB?9I9==!F5IH5L!5IHNJ!

!! 09Q9?@I!ABEE9?9<>!?@AB;!E?;<>K9<A=!?9DI@C9A!FJ!@!=B<PI9!;<9!F5IH5L!5IHNJ!

!

"#$%&'()*+!,--./!(00##(1$!2$+!'3%'$14#3!5(+*$4+!%3'6(00($2!

S-,!

"#$$%&'(!%$!!"#($+! ! ! ! ! ! ! ! )*+,-./.01!234*+)3.-"!

C*D)E4*!

**FF##55**))22//33GG##II--''33##**))11IIKK))33##LLLL00,,11(())##!!

D!O60,_!2%@#;=B+&'X#@$%&'!+A."!4#;#%X#@!F5IHOJ!G0R"&*

D!K60,_!+?$;?M#M!A9T=%B"=?R#!2%$=@%:9=#M!D<ST%\%#@!%'!a6'<!+A."!)#;>'&T&RU!F5IHKJ!L-.;<,-?&>-;V-,&G,;F924;.AH&>I60&&

D!IIG0,_!^+.!%'!6HIN!d<!+A."!)#;>'&T&RU!F5IHQJ!L-.;<,-?&>-;V-,&G,;F924;.A&!

P4*"*-)!")D)*!.C!),*!D4)!P>EM&#KQCRM6S!

!! 299A! E;?! I;GKC;=>! ::K5@Q9! B<>9P?@>9A! CB?CHB>=! E;?! MBPMKA@>@K?@>9! C;::H<BC@>B;<! @<A! ;>M9?!C;<=H:9?!@DDIBC@>B;<=/!=HCM!@=!@H>;:;>BQ9!?@A@?=!E;?!C;IIB=B;<!@Q;BA@<C9X!

-.^*/!+.-)43YE)3.-"!

!! Y-)*Z!AB?9C>KC;<Q9?=B;<!?9C9BQ9?!B<!-XS[\:!#6$0!C;<=H:B<P!7:5!E?;:!@!SX,]!=HDDIJX!];I>@P9!P@B<!B=!,^!AV!GB>M!@!<;B=9!EBPH?9!;E!S,Xd!AV!F5IHOJ!

!! R:DIBEB9?!B<!7-<:!#6$0!>9CM<;I;PJ/!@CMB9QB<P!F9>>9?!>M@<!8AV!P@B<!GB>M!@!F@<AGBA>M!;E!8-)*Z!F5IHKJ!

!! OB?=>!SS-!>;!S8-)*Z!#6$0!]#$!B<!=>@<A@?AKFHIL!-XS[\:!#6$0/!E9@>H?B<P!@!DM@=9!<;B=9!;E!KS-8XYAVCc*Z!@>!S-6*Z!;EE=9>/!@<A!C;<=H:B<P!;<IJ!^X.:5!F5IHQJ!

+E44*-)!D-2!P4.V*+)*2!"30-3C3+D-+*!

!! RPP?9==BQ9!=C@IB<P!;E!#6$0!@<A!0B)9!@II;G=!D9?E;?:@<C9!>?@AB>B;<@IIJ!?9=9?Q9A!E;?!!(((K]!C;:D;H<A!=9:BC;<AHC>;?=X!F5IHOL!5IHKL!5IHQJ!

!

"#$%&'()*+!,--./!(00##(1$!2$+!'3%'$14#3!5(+*$4+!%3'6(00($2!

S-[!

"#$$%&'(!N5!!"#)*+! ! ! ! ! ! ! ! )*+,-./.01!234*+)3.-"!

C*D)E4*!

33II116611HH66))##--))--''**44##55))IIKK22''66''JJ44##!!

A#<&@U!)#;>'&T&R%#$!%'!=>#!-?'&B*@?!(!+>?TT#'R#$!?'M!.SS&@=9'%=%#$!FN5HIJ!I-D48,@&

*

P4*"*-)!")D)*!.C!),*!D4)!P>EM&#KQCRM6S!

!! +?@<=B=>;?! =BZ9/! B<>9?@C>B;<! F9>G99<! @Ab@C9<>! C9II=/! @<A! I9@L@P9! CH??9<>/! IB:B>! >M9! =C@IB<P! ;E!C;<Q9<>B;<@I!:9:;?B9=X!

-.^*/!+.-)43YE)3.-"!

!! 4=9!;E!>M9!OB<O3+!9<@FI9=!<@<;:9>9?!=C@IB<P!;E!C;<Q9<>B;<@I!:9:;?B9=!FN5HIJ!

+E44*-)!D-2!P4.V*+)*2!"30-3C3+D-+*!

!! 1'R6/!OI@=M/!@<A!0'R6/!C@<!F9!=C@I9A!A;G<!>;!>9CM<;I;PB9=!F9I;G!d-!<:!FN5HIJ!

!

!

!

"#$%&'()*+!,--./!(00##(1$!2$+!'3%'$14#3!5(+*$4+!%3'6(00($2!

S-.!

"#$$%&'(!N5!!"#)*+! ! ! ! ! ! ! ! )*+,-./.01!234*+)3.-"!

C*D)E4*!

''**JJ1122++II##))66))II55**''22++II33##HH))II''--))##99**11II55++II1166##!!

D!CT#e%:T#!5G6eN56BP%e#T!2%$ST?U!W%=>!3'=#R@?=#M!4&W!2@%X#@$!A?'9\?;=9@#M!%'!.@R?'%;!*T#;=@&'%;$!FN5H5J!#/;?;O4&&

*

D!">##=B)US#!";?''#@!Y?$#M!&'!?!N2B"=?;]#M!.@R?'%;!)@?'$%$=&@!+%@;9%=!9$%'R!2&9:T#!b&@MBT%'#!?'M!2&9:T#!Y%=BT%'#!"=@9;=9@#!FN5HNJ!G,;F924;.A&<=&><3A<!

P4*"*-)!")D)*!.C!),*!D4)!P>EM&#KQCRM6S!

!! _@?P9K=C@I9!B<>9P?@>B;<!;E!;?P@<BC!>?@<=B=>;?=!M@=!<;>!J9>!F99<!@CMB9Q9A!

-.^*/!+.-)43YE)3.-"!

!! R!,.-K=>@P9!=MBE>!?9PB=>9?!B=!B<>9P?@>9A!;<>;!@!EI9UBFI9!;?P@<BC!@C>BQ9K:@>?BU!AB=DI@J!FN5H5J!

!! R! =M99>K>JD9! =C@<<9?! B=!:@<HE@C>H?9A!GB>M! [1K=>@CL9A! ;?P@<BC! >?@<=B=>;?=! @<A! DM;>;AB;A9=!FN5HNJ!

+E44*-)!D-2!P4.V*+)*2!"30-3C3+D-+*!

!! (<>9P?@>B;<!B<!;?P@<BC!9I9C>?;<BC=!;D9<=!HD!<9G!@DDIBC@>B;<!EB9IA=!FN5H5L!N5HNJ!

!

!!

!

!

!

!

!

!

!

!

!

!

"#$%&'()*+!,--./!(00##(1$!2$+!'3%'$14#3!5(+*$4+!%3'6(00($2!

S-d!

"S#;%?T(!"*N!!"#WX+! ! ! ! ! ! ! )*+,-./.01!234*+)3.-"!

!

3399))II++11660055''99++II##33))3333++''22##

3'=#R@?=%&'!%'!=>#!N@M!2%<#'$%&'(!.SS&@=9'%=%#$!?'M!+>?TT#'R#$!

Q2@-,;Y92Z&6?;;M#9<=:EE<%&0-2,9@;9&69??<,&G,;F924;.A%&#;..4[82@/%&#9,,4A?F-,;-&0/-;2Z&,:;@:;#,:N:;%&:,=;,9<,%&68,;7/%&\92D-,A&&

.^*4^3*b!

!! (<>9P?@>B;<!B<!>M?99!AB:9<=B;<=!M;IA=!P?9@>!D?;:B=9!E;?!B:D?;QB<P!>M9!D9?E;?:@<C9!@<A!=C@I9!;E!B<>9P?@>B;<X!!

!! 6@<HE@C>H?B<P!C;=>=!@<A!>M9?:@I!C;<C9?<=!@?9!C?B>BC@I!C;<=BA9?@>B;<=!E;?!:@LB<P![1!B<>9P?@>B;<!@!?9@IB>JX!!

!! RAAB>B;<@I!;DD;?>H<B>B9=!E;?!I@J9?B<P!<;<K>?@AB>B;<@I!B<>9P?@>9A!C;:D;<9<>=!;<!>;D!;E!#6$0KF@=9A!0;#=!@?9!@Q@BI@FI9X!

.YV*+)3^*"!

!! +;!MBPMIBPM>!=;:9!;E!>M9!CH??9<>!?9=9@?CM!B<!B<AH=>?J!@<A!@C@A9:B@!AB?9C>9A!@>!B<>9P?@>B;<!B<![1X!

!! +;!9U@:B<9!>M9!C;=>!B==H9=!E;?![1!B<>9P?@>B;<X!

!! +;!MBPMIBPM>!9:9?PB<P!@DDIBC@>B;<=/!=HCM!@=!@F;Q9K(#!B<>9P?@>B;<!;E!<;<K>?@AB>B;<@I!C;:D;<9<>=X!

+,D//*-0*"!

!! +M9!CM@II9<P9=!;E![1!B<>9P?@>B;<!@?9e!

!! #;=>!;E!:@<HE@C>H?B<PX!

!! '9:;Q@I!;E!M9@>/!@<A!B<C?9@=9A!D;G9?!A9<=B>JX!

!! +9=>B<P/!B<>9?C;<<9C>B<P/!@<A!=>@CLB<P!;E!H<D@CL@P9A!=BIBC;<!AB9X!

!

"#$%&'()*+!,--./!(00##(1$!2$+!'3%'$14#3!5(+*$4+!%3'6(00($2!

S-Y!

"S#;%?T(!"*N!!"#WX+! ! ! ! ! ! ! )*+,-./.01!234*+)3.-"!

")4E+)E4*!

!! #;<>9<>=e!!+M9!EB?=>!>G;!>@IL=!GBII!B<>?;AHC9!C;=>KF@=9A!@?PH:9<>=!E;?!,Xd1!B<>9P?@>B;</!@!=>?HC>H?9!F@=9A!;<!=>@CLB<P!;E!>?@AB>B;<@I!,1!B<>9P?@>9A!CB?CHB>=X!+M9!I@>>9?!>G;!>@IL=!GBII!9UDI;?9!A9=BP<!B<!>M9![?A!AB:9<=B;<! QB@! @F;Q9K(#! 'OK6360! C;:D;<9<>=/! @<A! B<>9P?@>B;<! ;E! @<>9<<@=! @<A! ;>M9?!:BC?;G@Q9!C;:D;<9<>=X!

!

!! 0D9@L9?=!@<A!0D9CB@IB>B9=X!

!! b&f;%#;>! A?TU! +AE(! O)PQ=7;<@E# I7>D# ?@A# 9:;R7;L?@C:# 1AB?@D?E:># 7R# %ST0/#

+@D:E;?D<7@U! GBII! C;<=>?HC>! @<A! @DDIJ! @! C;=>K@<@IJ=B=! E?@:9G;?L! >;! C;:D@?9! ABEE9?9<>!B<>9P?@>B;<! =CM9:9=/! B<CIHAB<P! >M9! :;<;IB>MBC! 0J=>9:K;<K#MBD! @<A! <;<K:;<;IB>MBC!:9>M;A=X!

!! b%T\@%#M! ,?#'$;>! 3YA(! O&/# +@D:E;?D<7@V># 1;CW<D:CDX;?=# ?@A# I<;CX<D# /:><E@#

'QQ7;DX@<D<:>U! GBII! A9=C?BF9! >M9! @AQ@<>@P9=! @<A! AB=@AQ@<>@P9=! ;E! [1KB<>9P?@>B;<!GMBCM!fE;IA=g!>M9!CMBD!B<>;!:HI>BDI9!@C>BQ9!I@J9?=!>;!?9AHC9!I@>9?@I!AB:9<=B;<=X!

!! P?$;?T!D';#U!")!A%;@&#T#;=@&'%;$(!O1N7B:#+I#Y#)LN:AA:A#*F-)-3#9=?DR7;L#R7;#

37I#+@D:E;?D<7@G#3D?DX>#?@A#9;7>Q:CD>U!GBII!AB=CH==!>M9!E9@=BFBIB>J!;E!B<>9P?@>B;<!;E!@<!@F;Q9K(#!VR5!EBI>9?X!

!! ,#@<?''! ";>9<?;>#@ E'%X#@$%=U! &\! ET<: O1# ,?R:;06:B:=# +@D:E;?D<7@#

5:CW@7=7EM# R7;#'@0,?R:;#1@D:@@?># ?@A#-<C;78?B:#I7LQ7@:@D>U!GBII! A9=C?BF9! @!=BIBC;<KF@=9A! G@E9?KI9Q9I! B<>9P?@>B;<! >9CM<BWH9! E;?! :BC?;G@Q9! @<A! :BIIB:9>9?G@Q9!=>?HC>H?9=X!

4*+DP!

!! +;!B<>?;AHC9!>M9!D;>9<>B@I!F9<9EB>=!@<A!CM@II9<P9=!;E![1KB<>9P?@>9A!=BIBC;<!=J=>9:=X!!

!

!

!

!

!

!

!

!

!

!

!

!

!

!

!

!

"#$%&'()*+!,--./!(00##(1$!2$+!'3%'$14#3!5(+*$4+!%3'6(00($2!

S-8!

)9=&@%?T(!)O!!"#(+! ! ! ! ! ! ! ! )*+,-./.01!234*+)3.-"!

!

55XXDD77;;<<??==##

--??''&&==##;;>>''&&TT&&RRUU!!II66II!!#/;?;O&E5&I5&]<,@%&I.-,=<21&G,;F924;.A&

&

.^*4^3*b!

+MB=! D?9=9<>@>B;<! B=! @<! B<>?;AHC>B;<! >;! >M9! 9:9?PB<P! ;DD;?>H<B>B9=! B<! <;Q9I! <@<;=C@I9! A9QBC9=! @<A!E@F?BC@>B;<!>9CM<BWH9=/!GB>M!D@?>BCHI@?!9:DM@=B=!;<!>M9!B:DIBC@>B;<=!E;?!CB?CHB>!@<A!=J=>9:!A9=BP<9?=X!+;DBC=!C;Q9?9A!B<CIHA9e!EH<A@:9<>@I=!;E!A9QBC9!DMJ=BC=!@<A!:@>9?B@I=!=CB9<C9!@>!>M9!<@<;=C@I9/!>M9!(+'0! 3:9?PB<P! '9=9@?CM! 19QBC9=! `:9:;?J! h! I;PBCa/! <@<;>HF9=/! <@<;GB?9=/! @<A! <@<;D@?>BCI9=/!:;I9CHI@?!A9QBC9=/!@<A!<@<;E@F?BC@>B;<!>9CM<BWH9=!@<A!>M9B?!B:D@C>!;<!A9QBC9!I@J;H>X!R<!@==9==:9<>!;E!>M9!I9Q9I!;E!:@>H?B>J!;E!>M9!D?;D;=9A!A9QBC9=!GBII!F9!PBQ9<X!!

!!

!! 2@<;=C@I9!19QBC9!DMJ=BC=!@<A!:@>9?B@I=!F9M@QB;?!

!! (+'0!3:9?PB<P!19QBC9=!'9=9@?CM!%?;b9C>B;<=!

!! 2@<;>HF9=/!2@<;GB?9=/!2@<;D@?>BCI9=/!6;I9CHI@?!19QBC9=!

!! 2@<;E@F?BC@>B;<!+9CM<BWH9=/!_@J;H>!(:D@C>=!

!

"P*D`*4!Y3.04DP,1!

,HB"H!P>%T%S!b&'R! b;B<9A! >M9! (V6!+X!iX!5@>=;<!'9=9@?CM!#9<>9?/!&;?L>;G<!*9BPM>=/!29G!&;?L/! B<!S7^^X!(<!09D>9:F9?/!,--./!M9!b;B<9A!0>@<E;?A!4<BQ9?=B>J!@=!%?;E9==;?!;E!3I9C>?BC@I!3<PB<99?B<PX!5MBI9!@>!(V6!'9=9@?CM/!M9!G@=!@!09<B;?!6@<@P9?!M@QB<P!>M9!?9=D;<=BFBIB>J!;E!=M@DB<P!@<A!9U9CH>B<P!(V6j=!=>?@>9PJ!;<!<@<;=C@I9!=CB9<C9!@<A!>9CM<;I;PJ/!@<A!;<!=9:BC;<AHC>;?!>9CM<;I;PJX!*B=!CH??9<>!?9=9@?CM!B<>9?9=>=!@?9!B<!<@<;>9CM<;I;PJ!@<A!9I9C>?;<BC!B:@PB<P!!`4'_e!M>>DeccGGGX=>@<E;?AX9AHckM=DG;<PaX!X!

!

!

"#$%&'()*+!,--./!(00##(1$!2$+!'3%'$14#3!5(+*$4+!%3'6(00($2!

S-^!

--..))**""!!*

!!

!"#$%$&&'()*'#+'

,-../)",(0"-)&'

'

!! -1231425'

!! +2678329':6;23<'

!! :6=2>''

!! 087?346>'

!! +?38@''

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

666!

!"##$%&#'(!"#$$"#$%"#&'"#&(#!"#$%&'()&'*+&',,&'-))(( ( ( ( ( ( )*+,-,!!(

**!!!!....((//000011((2(())**++,,--,,!!!!((334455((++66((

..7788889944**..33::**7744!!((

!;<=%>>$??""(.@A$B''./012'3454675/&'.589:';<:4/0=5<4:&'349>>?/1&'.@(

**++,,--++..,,//##

87!:C!*D4*6*.34:(+,!9-:!( (

!! 789:;!;9<=>!:8?@=ABCD8E!F-,G66!4HI1!;9J?:CA8KA9:!8?!#5$/(E1F/G(1FHI!

!! I!,.!)*L!%DJ:AMBI99J>!+9J?:N8;;A9!O?!-G6F!'N!#5$/!EJJFKI!

!! 3=;9JB48MABPJ?M!+9J?:CA8KA9:!EJJFLG(JJFMI!

!! I!:8?@=ABCD8E!Q<JMBPJ?M!)/5R)%'/!+9J?:CA8KA9!8?!-G6F'N!!/;J?MJ9M!#5$/(EJKFNI(

!! I!6,-?N!#5$/!0SPB+!+<?A9!E/HFHI!

!! I!#5$/!+S!+<?A9R0ANOM<=J;O9!(#!T8;D!08@8;J=!(NJ@A!'AUAC;8O?!E/HFOI!

!! I!P9OJMVJ?M!,6!;O!,W!)*L!/8)A!P8%O=J9!%I!55(#!E/MFJI!

!! I!1O8:AB#J?CA==J;8O?!+ACD?8X<A!8?!IC;8KA!'7B#5$/!58YA9:(E/MFLI(

3PP-*.3:*74!(345(,.7478*.(*8P3.:(

!! HOTA9BCO:;!D8@DBEA9ZO9NJ?CA!4878!ZO9!EO9;JV=A!<?8;:!T8;D!D8@DA9!9A=8JV8=8;>G!E1F/G(1FHI!

!! 2=8N8?J;8O?!OZ!;DA!9J;[:!?A:;!VAD8?M!>O<9!DONA!A?;A9;J8?NA?;!:>:;ANG!EJJFLI!

!! #DAJE!T89A=A::!)8@JV8;!2;DA9?A;!J?M!=OTBCO:;!'I0I'BO?BJBCD8E!EJJFKG(/MFJI!

!! $?A!:;AE!C=O:A9!;O!=OTBCO:;!:8?@=ABCD8E!CA==!EDO?A:G!EJKFNG(/MFLIF!

!! 3V8X<8;O<:!CDAJE!+S!;<?A9:!ZO9!>O<9!EDO?A\!TJ;CD\!A;CG!!E/HFHG(/HFOI!

P34,-(

-0#1,123#0456#78#2695697:;(E,HI#

:9:7+*3-(

P%QAB(8%R;QA?%B#(S%B(-$&"AB()$B"Q"##(:BA&#>$??"B#!E:KI(

67+98(

.Q%=T(A&R(6B"U;"&=V(D"&"BA?$%&(S%B()$B"Q$&"(A&R()$B"Q"##(3WWQ$=A?$%&#(E6JI(

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

66,!

!"##$%&'(!(!"#$%A'( ( ( ( ( ( ( ( ( ( )*+,-,!!(

6,3:9+,(

<<99==>>??@@AA..::66BB==884466BBCC##DDEE&&FF$$$$GGHH==##2277II##!!

3(X!$&YQ"C.@$WZ(L0/FJJY()-34(!%.(E1F/I("4B5/?:'C?==0<DE94D?<:F'349<>?/1'G<DH5/:D42'

!

3(6;QQVC*&?"YBA?"R(!%.(S%B(L0/FJJ<($&(0FJL'>(.87!(E1FHI(I/?91E?=!

P+,!,4:(!:3:,(76(:[,(3+:(J.KL'#MNIOLPQ(

!! 2Y8:;8?@!;9J?:CA8KA9:!CO?:8:;!OZ!'7RI?J=O@!(#]:^!J?M!PJ:AVJ?MR5I#!(#]:^G!

!! 2Y8:;8?@!;9J?:CA8KA9:!9AX<89A!?<NA9O<:!OZZBCD8E!CONEO?A?;:G!

47\,-(.74:+*]9:*74!(

!! +DA!Z89:;!:8?@=ABCD8E!F-,G66@!/O#!;DJ;!Z<==>!8?;A@9J;A:!;DA!'7!Z9O?;BA?M\!VJ:AVJ?M!J?J=O@\!M8@8;J=!VJ:AVJ?M\!J?M!5I#\!O?!O?A!M8A!E1F/I!

!! +DA!Z89:;!:8?@=ABCD8E!F-,G66J!/O#!;DJ;!Z<==>!8?;A@9J;A:!;DA!'7!Z9O?;BA?M\!VJ:AVJ?M!J?J=O@\!M8@8;J=!VJ:AVJ?M\!J?M!5I#\!J:!TA==!J:!%I\!J?;A??J!:T8;CD\!VJ=<?!J?M!=OOE!Z8=;A9\!O?!O?A!

M8A!E1FHI!

.9++,4:(345(P+7^,.:,5(!*D4*6*.34.,(

!! *8@D=>B8?;A@9J;AM!=OTBCO:;!;9J?:CA8KA9!/O#:!DJKA!8NNAM8J;A!:8@?8Z8CJ?CA\!A?JV=8?@!D8@DBKO=<NA!=OTBCO:;!4HI1:!E1F/G(1FHI(

!! /8?@=ABCD8E! /O#! A?JV=A:! :8@?8Z8CJ?;! 9AM<C;8O?! OZ! ZO9N! ZJC;O9\! EA?A;9J;8?@! NOV8=A! J?M!ANVAMMAM!JEE=8J?CA:!E1F/G(1FHI!

!! P9OJMVJ?M! CO??AC;8O?! OZ! CO?:<NA9! A=AC;98C! JEE=8J?CA:! T8==! 9A:<=;! 8?! J! :AJN=A::=>BCO??AC;AM!TO9=M!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

66_!

!"##$%&'($$'!"#()A( ( ( ( ( ( ( ( ( ( )*+,-,!!(

6,3:9+,(

<<99==>>??@@AA..::66BB==884466BBCC##JJ??668844AA//99CCBBGG44::CC##KK8844::LL55BB99MMBB88LL##!!

3(/OD[_(P@A#"RC3BBAV(:BA&#>$??"B($&(0FJL'>(.87!(EJJFKI(C97D>?/<D9';<:4D4045'?>'.5EB<?7?R2!

(

3(HFJ(?%(1D[_(.87!(5$B"=?C!"U;"&="(!WB"ARC!W"=?B;>(9)](:BA&#="$`"B(S%B()P34#(EJJFLI(3?<2F'PD851'3DR<97'32:45=:'!

3(0FJH'>(.87!(9)](:BA&#="$`"B(EJJFMI(G<DH5/:D42'?>'C97D>?/<D9&'O?:'"<R575:F'M597.5S'

(

P+,!,4:(!:3:,(76(:[,(3+:(J.KL'#MNIOLPQ(

!! 34P!:O=<;8O?:!J9A! C<99A?;=>! CON8?@!O<;! OZ! ;DA!MAZ8?8;8O?!EDJ:A\! ?OT! ;DJ;! ;DA!7##!DJ:!J==OCJ;AM! :EAC;9<NG! 2J9=>! E9OOZBOZBCO?CAE;! C89C<8;:\! U<:;! ?OT! VA8?@! 9AEO9;AM\! :DOT!A?CO<9J@8?@! EA9ZO9NJ?CAG! 7<==! :>:;AN! Z<?C;8O?J=8;>! J?M! KA98Z8CJ;8O?! OZ! :>:;AN! :EAC:!9ANJ8?:!;O!VA!:AA?\!E98NJ98=>!M<A!;O!J?;8C8EJ;AM!F-,G66J!COBAY8:;A?CA!8::<A:G!!

!! 34P!JEE=8CJ;8O?:!9AX<89A!T8MAVJ?M!Z9AX<A?C>!:>?;DA:8LA9:!T8;D!KA9>!ZJ:;!]6?:^!:T8;CD8?@!;8NA:G!I!TAJ=;D!OZ! :>?;DA:8LA9!J9CD8;AC;<9A:!DJKA!VAA?!MAKA=OEAM! ZO9! CA==<=J9!J?M!4878!:>:;AN:\! V<;! ;DA:A! J9CD8;AC;<9A:! CJ?[;! :T8;CD! ZJ:;! A?O<@D! ZO9! 34P! JEE=8CJ;8O?:G! 1AT!JEE9OJCDA:!?AAM!;O!VA!MAKA=OEAMG!

!! 1O! Z<==>B8?;A@9J;AM!34P! ;9J?:CA8KA9:!AY8:;! ;OMJ>\!M<A! ;O! ;DA! 9JM8CJ==>!M8ZZA9A?;!:8@?J=8?@!;ACD?8X<A!J?M!T8MAVJ?M!?J;<9A!OZ!;DA!:8@?J=G!!

!! #<99A?;! `.5VR:!4878! MJ;J! 9J;A! 8:! 8?JMAX<J;A! ZO9! A?;A9E98:A! JEE=8CJ;8O?:G! +D8:!T8==! M98KA!MANJ?M!ZO9!;DA!V8;!9J;A:!;DJ;!34P!8?;A?M:!;O!OZZA9G!

47\,-(.74:+*]9:*74!(

!! `--5VR:!MJ;J!;9J?:ZA9!J;!,.)*L!<:8?@!J!EDJ:ABJ99J>!EJJFKI!

!! +DA!Z89:;B9AEO9;AM!34P!;9J?:N8;;A9:!J?M!9ACA8KA9:G!EJJFLG(JJFMI(

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

66.!

!"##$%&'($$#!"#()A((( ( ( ( ( ( ( ( ( )*+,-,!!(

6,3:9+,(

(

.9++,4:(345(P+7^,.:,5(!*D4*6*.34.,(

!! (;! 8:! 8NEO::8V=A! ;O! 8NJ@8?A! ;DA! Z<==! 9J?@A! OZ! EO::8V8=8;8A:! J?M! CO?KA?8A?CA:! ;DJ;! T8==! VA!A?JV=AM! V>! 34PG! $?A! AYJNE=A! 8:! KA9>BD8@DB9J;A! MJ;J! ;9J?:ZA9! OKA9! :DO9;! 9J?@A:! ;O!

;9J?:ZA9! :AKA9J=!)8@JV>;A:! OZ! 8?ZO9NJ;8O?! OZZ! J! DJ9M! M98KA! O9! O;DA9! M8@8;J=! MAK8CAG! EJJFKG(

JJFLG(JJFMI!

!! 34P! DJ9MTJ9A! T8==! <=;8NJ;A=>! J==OT! ;DA! T89A=A::! CO??AC;8O?! OZ! VJ?MT8M;DBD<?@9>!CONE<;A9! EA98EDA9J=:! J?M! J<M8OBK8MAO! AX<8ENA?;G! +D8:! T8==! A=8N8?J;A! ;DA! 9J;:B?A:;! OZ!T89A:!J?M!CJV=A:! ;DJ;! C<99A?;=>! CO??AC;! CONE<;A9!EA98EDA9J=:!J?M!DONA!A?;A9;J8?NA?;!

AX<8ENA?;G!EJJFLGJJFMI(

!! $Z!CO<9:A\!J==!OZ! ;D8:!T89A=A::!VJ?MT8M;D!T8==!J=:O!C9AJ;A!:AC<98;>! 8::<A:! ;DJ;!?AAM! ;O!VA!JMM9A::AMG!I!?AT!C=J::!OZ!AJKA:M9OEE8?@!T8==!VA!VO9?!<?=A::!;DA:A!8::<A:!J9A!JMM9A::AM!

AJ9=>F(

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

66`!

!"##$%&'($%#!"#*+A( ( ( ( ( ( ( ( ( ( )*+,-,!!(

6,3:9+,(

--00##IIBB????NN??4488##..IILL##!

3QQC5$Y$?AQ(P--(A&R(D!8a,5D,(:BA&#>$??"B($&(M0&>(.87!(EJKF1I(.589:';<:4/0=5<4:!

(

3(!$&YQ"C.@$W(b;ARC]A&R(D!8aDP+!(:BA&#="$`"B($&(

0FJL'>(!?A&RABR(.87!(EJKFNI(I5/S9<9'TD/575::'

!

P+,!,4:(!:3:,(76(:[,(3+:(J.KL'#MNIOLPQ(

!! )/5!8:!;DA!NO:;BEOE<=J9!TO9=MT8MA!CA==<=J9!:;J?MJ9M!8?!<:A!;OMJ>\!T8;D!OKA9!a`b!OZ!;DA!;O;J=! CA==<=J9!NJ9cA;G! !2Y8:;8?@!:O=<;8O?:\!VJ:AM!NJ8?=>!O?!P8EO=J9!J?M!/8)A! ;ACD?O=O@>\!ANE=O>!:AEJ9J;A! ;9J?:N8;;A9\! 9ACA8KA9\!J?M!:>?;DA:8LA9!CD8E:\!J:!TA==!J:! 9AX<898?@!NJ?>!AY;A9?J=!CONEO?A?;:G!!

!! +DA! MANJ?M! ZO9! D8@DBMJ;JB9J;A! :A9K8CA:! DJ:! :E<99AM! ;DA! MAKA=OENA?;! OZ! ?AT! CA==<=J9!:;J?MJ9M:! :<CD! J:! )/5R20)2! ;DJ;! 9AX<89A! NO9AB=8?AJ9! ;9J?:N8;;A9:! ;DJ;! CJ?! 9A:<=;! 8?!D8@D! EOTA9! CO?:<NE;8O?! J?M! =OT! VJ;;A9>! =8ZAG! 2Y8:;8?@! :O=<;8O?:! J9A! VJ:AM! O?! /8)A!;ACD?O=O@>\!TD8CD!MOA:!?O;!=A?M!8;:A=Z!TA==!;O!D8@D!=AKA=:!OZ!8?;A@9J;8O?!J;!=OT!CO:;G!

47\,-(.74:+*]9:*74!(

!! 789:;!EO=J9!NOM<=J;O9! 8NE=ANA?;AM!8?!MAAE!:<VBN8C9O?!d-?N!#5$/!;ACD?O=O@>!:<8;JV=A!ZO9!)/5R20)2G!EJKF1I!

!! )/5! #5$/! ;9J?:CA8KA9:! O?! ;DA! NJ9cA;! ;OMJ>! J9A! VJ:AM! O?! N<=;8BCD8E! :O=<;8O?:G!*OTAKA9\!:AKA9J=!:8?@=ABCD8E!:O=<;8O?:!DJKA!VAA?!J??O<?CAM!9ACA?;=>G! !P<;\! ;D8:!CD8E! 8:!

;DA!Z89:;!E<V=8:DAM!Z<==>B8?;A@9J;AM!X<JMBVJ?M!)/5!;9J?:CA8KA9!8?!-G6F'N!#5$/\!J?M!DJ:!;DA! VA:;! :A?:8;8K8;>BJ?MBEOTA9B! M8::8EJ;8O?! ;9JMAOZZ! O?! ;DA! NJ9cA;! ;OMJ>! ZO9! #5$/!

;9J?:CA8KA9:G!!!EJKFNI!

.9++,4:(345(P+7^,.:,5(!*D4*6*.34.,(

!! 5J8?;J8?8?@!EOTA9!J?M!AY;A?MAM!;J=c!;8NA!T8==!VACONA!8?C9AJ:8?@=>!CDJ==A?@8?@!ZO9!Z<;<9A!N<=;8NAM8J!DJ?M:A;:!J?M!:NJ9;!EDO?A:! ;DJ;!N<:;!:<EEO9;!EOTA9B!D<?@9>! ZAJ;<9A:!:<CD!J:! CO=O9! H#0\! `5E8Y! CJNA9J:\! J?M! N<=;8NAM8J! JEE=8CJ;8O?:G! +DA! 8?C9AJ:AM! 8?;A@9J;8O?!CJEJV8=8;>!OZ!d-?N!#5$/!;ACD?O=O@>!CJ?!A?JV=A!;DA!8?;A@9J;8O?!OZ!J!CONE=A;A!'7!!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

66W!

!"##$%&'($%#!"#*+A( ( ( ( ( ( ( ( ( ( )*+,-,!!(

6,3:9+,(

.9++,4:(345(P+7^,.:,5(!*D4*6*.34.,(JE?<4D<051Q(

;9J?:CA8KA9\! J:! TA==! J:! M8@8;J=! :8@?J=! E9OCA::8?@\! 8?! J! :8?@=ABCD8E\! ;O! M9JNJ;8CJ==>! 9AM<CA!

EOTA9!ZO9!AY;A?MAM!VJ;;A9>!=8ZAG!!EJKF1I!

!! 2ZZ8C8A?;!EO=J9!;9J?:N8;;A9!AY;A?M:!;J=c!;8NA!ZO9!)/5R20)2!CA==<=J9!EDO?A:!EJKF1I!

!! +DA!MANJ?M!ZO9!N<=;8BNAM8J!DJ?M:A;:!T8;D!KO8CA\!MJ;J\!J?M!K8MAO!:;9AJN8?@\!9AX<89A!D8@D!=AKA=:!OZ! 8?;A@9J;8O?! 8?!MAAEB:<VN8C9O?!#5$/!;O! 8NE=ANA?;!;DA! 8?C9AJ:AM!M8@8;J=B:8@?J=BE9OCA::8?@! Z<?C;8O?J=8;>! 9AX<89AM! ZO9! ZAJ;<9AB98CD! DJ?M:A;:G! ! 3?=8cA! /8)A! O9! V8EO=J9!8NE=ANA?;J;8O?:\! J!#5$/!'7! ;9J?:CA8KA9! CJ?!VA! 8?;A@9J;AM!T8;D!M8@8;J=! =O@8C! ;O! 9AM<CA!

OKA9J==!:>:;AN!CO:;!J?M!E9OK8MA!J!:NJ==A9!ZO9N!ZJC;O9G!EJKFNI!

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

66a!

!"##$%&'(&'(!"#,,A((( ( ( ( ( ( ( ( ( )*+,-,!!(

6,3:9+,(

//9988BB??BBLLLL##--BB55BB99MMBB88LL##OO7788##II77::LLNNPPBB88##,,??BB55668877::9955LL##!!

98:\'(3(!$&YQ"C.@$W(:\(+"="$`"B(S%B(P53#G(P.#G(A&R(."QQ(P@%&"#(E/HF/I(;4?PF'LD<1B?H5<'G<DH5/:D42'?>'.5EB<?7?R2F'G<DH5/:D42'?>'.U5<45!

!

3(J/0&>(.87!(5\]C:(:;&"B(E/HFHI(3.'PDE/?575E4/?<DE:'

(3(.87!(:\(:;&"Ba5">%R;QA?%B(*.(c$?@(5$Y$?AQ(*>AY"(+"d"=?$%&(E/HFOI(G<DH5/:D42'?>'C97D>?/<D9'94'39<'VD5R?F'CB/?<457'

!

P+,!,4:(!:3:,(76(:[,(3+:((J.KL'#MNIOLPQ(

!! I?J=O@!;A=AK8:8O?!J?M!9JM8O!DJKA!VAA?!8?!<:A!ZO9!J!=J9@A!EJ9;!OZ!;DA!=J:;!CA?;<9>\!V<;!J9A!8?C9AJ:8?@=>!:<:CAE;8V=A!;O!9J?MON!8?;A9ZA9A?CA\!DJKA!=8N8;AM!CJEJC8;>\!J?M!=8N8;AM!E8C;<9A!J?M!:O<?M!X<J=8;>G!5O9AOKA9\!8;!8:!?O;!AJ:>!;O!E9O@9JN!?AT!ZAJ;<9A:!ZO9!;DA!<:A9G!

!! (?;A@9J;8O?!OZ!9JM8O!J?M!+S!C89C<8;9>!8?;O!;DA!:NJ==!:8LA!;>E8CJ=!OZ!NOMA9?!%#:\!%0I:\!J?M!DJ?MBDA=M! MAK8CA:! :<CD! J:! CA==EDO?A:\! 8:! =8N8;AM! V>! ;DA! :8LA! J?M! CO:;! CO?:;9J8?;:! OZ!;9JM8;8O?J=!]J?J=O@^!;ACD?O=O@8A:G!

!! #O:;\! CO:;\! CO:;e!489A=A::! CD8E:! ;DJ;! JMM9A::!NJ::BCO?:<NA9BNJ9cA;! JEE=8CJ;8O?:!N<:;!VA!8?AYEA?:8KA!;O!NJ?<ZJC;<9A!J?M!;A:;\!OZZA9!D8@D!E8C;<9A!J?M!:O<?M!X<J=8;>!<?MA9!NJ?>!]OZ;A?!DJ9:D^!CO?M8;8O?:\!J?M! 9<?! ZO9!J:! =O?@!J:!EO::8V=A!O?!J! Z9A:D!VJ;;A9>!CDJ9@A! 8?!J!DJ?MBDA=M!MAK8CAG!

47\,-(.74:+*]9:*74!(

!! PJ;;A9>! =8ZA;8NA! 8?! J! :8?@=ABCD8E! +S! 9ACA8KA9! ZO9! <:A! 8?! %0I:\! %#:\! J?M! CA==! EDO?A:\! 8:!8NE9OKAM!V>!<E!;O!W$\!J?M!:8LA!8:!9AM<CAM!V>!J!ZJC;O9!OZ!`-\!<:8?@!?O!AY;A9?J=!CONEO?A?;:!E/HF/I(

!! 789:;! 0SPB+! ;<?A9! OZZA9AM! 8?! #5$/! T8;D! ;DA! EO;A?;8J=! ZO9! 8?;A@9J;8O?! T8;D! J! M8@8;J=!MANOM<=J;O9!E/HFHI!

!! 789:;! T8MAVJ?M! ].F! ;O! FW-5*L^! +S! ;<?A9! 8?! #5$/! ;ACD?O=O@>\! T8;D! W-MP! OZ! 8NJ@A!9AUAC;8O?\!MO?A!M8@8;J==>!E/HFOI!

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

66F!

!"##$%&'(&'(!"#,,A((( ( ( ( ( ( ( ( ( )*+,-,!!(

6,3:9+,(

.9++,4:(345(P+7^,.:,5(!*D4*6*.34.,(

!! #O?KA9@A?CA!OZ!EA9:O?J=!CONE<;8?@!J?M!DONA!A?;A9;J8?NA?;(E/HFJG(/HF/G(/HFHG(/HFOG(/HFNIF(

!! +S!@OA:!NOV8=Ae!!+SB;<?A9!(#:!A?JV=A!:>:;AN:!T8;D!:NJ==!A?O<@D!ZO9N!ZJC;O9!J?M!=OT!A?O<@D!EOTA9!M8::8EJ;8O?!ZO9!8?C=<:8O?!8?!VJ;;A9>BOEA9J;AM!MAK8CA:\!:<CD!J:!CA==<=J9!EDO?A:!J?M!%0I:(

E/HFJG(/HFHIF(

!! 'JM8O!;DJ;!8:!;9<=>!@=OVJ=!8?!9AJCDf!!/J;A==8;A!V9OJMCJ:;!9JM8O!T8==!9AJCD!;DA!T8MA:;B!EO::8V=A!J<M8A?CA(E/HFNIF(

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

66d!

!"##$%&'(&((!"#-)A( ( ( ( ( ( ( ( ( ( )*+,-,!!(

6,3:9+,(

..$$BB==;;$$??((!!%%WW@@$$##??$$==AA??$$%%&&((PPBB%%WW""QQ##((\\--!!**((

::""==@@&&%%QQ%%YY$$""##((SS%%BB((++66##!

3(/J(?%(/ND[_(!$D"(]$W%QAB(P3(88*.(E/MFJI(G<DH5/:D42'?>'.?/?<4?F'V57>'G<DH5/:D42'?>'.5EB<?7?R2F';IP'

!

3( 4%$#"C.A&="QQA?$%&( :"=@&$U;"( $&( 3=?$`"( +6C.87!(8$e"B#(E/MFLI(I/?91E?=!

P+,!,4:(!:3:,(76(:[,(3+:((J.KL'#MNIOLPQ(

!! %OTA9! JNE=8Z8A9:! J9A! ;>E8CJ==>! 9AJ=8LAM! 8?! :EAC8J=8LAM! ;ACD?O=O@8A:! :<CD! J:! )JI:! O9!H0#5$/\!TD8CD!DJKA!=8N8;AM!8?;A@9J;JV8=8;>!

!! +DA! D8@DA9! ;DA! 9JM8O! Z9AX<A?C>\! ;DA! NO9A! M8ZZ8C<=;! 8;! 8:! ;O! MA=8KA9! EOTA9! =8?AJ9=>! ;O! J?!J?;A??JG!+DA!NJUO98;>!OZ!JNE=8Z8A9:!CJEJV=A!OZ!MA=8KA98?@!6--N4!OZ!EOTA9!J9A!9AJ=8LAM!ZO9!Z9AX<A?C8A:!VA=OT!W)*LG!!

!! 5$/!;9J?:8:;O9:!DJKA!N<CD!D8@DA9!Z=8CcA9!?O8:A!;DJ?!Pg+!;9J?:8:;O9:G!7=8CcA9!?O8:A!8:!;DA!NJ8?!OV:;JC=A!ZO9!N8YA9:!?AAMAM!;O!CO?KA9;!9JM8O!:8@?J=:!M89AC;=>!;O!J<M8O!Z9AX<A?C8A:\!8?!J!:8@?J=!E9OCA::O9!c?OT?!J:!J!M89AC;BCO?KA9:8O?!9ACA8KA9G!

!! #5$/! ;ACD?O=O@8A:! OZZA9! =OT! CO:;! J?M! D8@D! 8?;A@9J;8O?! =AKA=:\! J:! MOA:! J! M89AC;B!CO?KA9:8O?!9ACA8KA9G!I!M89AC;BCO?KA9:8O?!9ACA8KA9!8?!'7!#5$/!8:!;DA9AZO9A!;DA!DO=>B@9J8=!OZ!T89A=A::B;A9N8?J=!MAKA=OEA9:G!

47\,-(.74:+*]9:*74!(

!! +DA!Z89:;!/8)A!EOTA9!JNE=8Z8A9!;O!MA=8KA9!NO9A!;DJ?!6--N4!J;!,W)*L\!`!;8NA:!D8@DA9!;DJ?!E98O9!J9;G!+DA!JNE=8Z8A9!DJ:!,-MP!@J8?!J?M!J!@OOM!AZZ8C8A?C>!]OZ!NO9A!;DJ?!6,G`b^G!+O!JCD8AKA!;D8:\!NA;J=!=J>A9:!8?!;DA!;ACD?O=O@>!];DJ;!J9A!?O9NJ==>!<:AM!ZO9!CO??AC;8?@!;9J?:8:;O9:^!J9A!:;JCcAM!;O!ZO9N! ;9J?:ZO9NA9:G! /<CD! ;9J?:ZO9NA9:! J9A! ;DA?! C=AKA9=>! JEE=8AM! 9AEAJ;AM=>! ;O! ;DA! 8?E<;! J?M!O<;E<;! OZ! 8?M8K8M<J=! JNE=8Z8A9! :;J@A:! ;O! JCD8AKA! E9O@9A::8KA=>! D8@DA9! EOTA9! =AKA=:! ;OTJ9M! ;DA!O<;E<;! :;J@AG! /<CD! J?! 8?;A9E=J>! OZ! ;9J?:8:;O9! C89C<8;:! J?M! 8NE9OK8:AM! ;9J?:ZO9NA9:! 8:! O?=>!EO::8V=A! T8;D! SH/(! ;ACD?O=O@>\! TDA9A! :OED8:;8CJ;8O?! 8?! MA:8@?! ;ACD?8X<A:\! 9J;DA9! ;DJ?! CO:;=>!

E9OCA::8?@!;ACD?O=O@>\!A?JV=A:!J?!AY;9ANA=>!<:AZ<=!MAK8CA!J;!J!KA9>!D8@D!Z9AX<A?C>!E/MFJI(

!! (?! J?O;DA9! MANO?:;9J;8O?! OZ! J! C=AKA9! ;ACD?8X<A! ZO9! OKA9CON8?@! ;DA!NO:;! Z<?MJNA?;J=!#5$/!;ACD?O=O@>!=8N8;J;8O?:\!J!M>?JN8C!C<99A?;B:;AA98?@!:CDANA!8:!<:AMG!+DA!?OKA=!;ACD?8X<A!:;AA9:!JTJ>! ;DA! Z=8CcA9B?O8:A! C<99A?;! J;! V98AZ! 8?;A9KJ=:! T8;D8?! ;DA! :8@?J=! TJKAZO9NG! +D8:! 9A:<=;:! 8?! J!M9JNJ;8C! 8NE9OKANA?;! ]6-! ;8NA:^! 8?! ?O8:A!EA9ZO9NJ?CA! ZO9! J! M89AC;BCO?KA9:8O?!N8YA9\!T8;DO<;!

:JC98Z8C8?@!O;DA9!EA9ZO9NJ?CA!NA;98C:\!:<CD!J:!@J8?!O9!=8?AJ98;>G!!E/MFLI!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

6,-!

!"##$%&'(&((!"#-)A( ( ( ( ( ( ( ( ( ( )*+,-,!!(

6,3:9+,(

.9++,4:(345(P+7^,.:,5(!*D4*6*.34.,(

!! #O:;BAZZAC;8KA!EOTA9!JNE=8Z8A9:!]%I^!OEA9J;8?@!J;!,.)*L!OEA?!;DA!TJ>!;O!T89A=A::!(?;A9?A;!J?M!O;DA9!D8@DB:EAAM!MJ;J!:A9K8CA:!T8;D!N<CD!D8@DA9!VJ?MT8M;D! ;DJ?! 8:!JKJ8=JV=A! ;OMJ>G!

E/MFJI(

!! I! =OTBZ=8CcA9B?O8:A! M89AC;BCO?KA9:8O?! N8YA9! 8:! J! :8@?8Z8CJ?;! :;AE! ;OTJ9M! KA9>B=OTB! CO:;! J?M!N8?8J;<98LAM! 9JM8O! 9ACA8KA9:G! (;! OKA9CONA:! ;DA! Z<?MJNA?;J=! =8N8;J;8O?:! OZ! #5$/! ZO9! '7!;9J?:CA8KA9:\!A?JV=8?@!D8@DA9!8?;A@9J;8O?!J?M!=OTA9!CO:;!ZO9!JEE=8CJ;8O?:!:<CD!J:!CA==!EDO?A:!J?M!

4878!;A9N8?J=:G!+D8:!T8==!9A:<=;!8?!:NJ==A9!J?M!CDAJEA9!E9OM<C;:!ZO9!CO?:<NA9:G!!E/MFLI(

!

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

6,6!

PA&"Q'!,'#!"#*WA' ! ! ! ! ! ! ! ! ! )*+,-,!!(!

QQ44::BB??##

-0#1,123#0456#78#2695697:;##N/R9<D65/X'RB:#I97OO9&'VD:E5/9';<EY&'39<'Z?:5&'C"'

P?15/94?/X!S7G#QNB8LY"![YGY'O50H5<'L3".\P;C"3&'O50H5<&'I57RD0=''

7]^,.:*\,(

!! +O!MA;A9N8?A!;DA!E9O:EAC;:!ZO9!'7!525/!8?!C89C<8;!J?M!:>:;AN!MA:8@?!

!! +O!AYJN8?A!;DA!8::<A:!J?M!;8NA!Z9JNA:!?AAMAM!ZO9!V98?@8?@!;DA:A!E9OM<C;:!;O!NJ9cA;!

.[3--,4D,(

!! 'A=8JV8=8;>!8::<A:\!:<CD!J:!:;8C;8O?\!DJKA!E=J@<AM!:ONA!525/!MAK8CA:!J?M!DJKA!CJ<:AM!CO?CA9?!OKA9!J==!525/!MAK8CA:!

!! 525/!'7!CONEO?A?;:!DJKA!?O;!>A;!:DOT?!A8;DA9!;DA!EA9ZO9NJ?CA!O9!CO:;!9AX<89AM!;O!:J;8:Z>!;DA!NJ::!NJ9cA;!

!! %JCcJ@8?@!OZ!525/!MAK8CA:!DJ:!VAA?!J!CO?CA9?!

.74:+7\,+!f(

!! 48==!;DA!JKJ8=JV8=8;>!OZ!=OTBCO:;!525/!CONEO?A?;:!M98KA!?AT!:>:;AN!J9CD8;AC;<9A:\!O9!T8==!?AT!:>:;AN!J9CD8;AC;<9A:!M98KA!O<;!;DA!?AAM!ZO9!525/h!

!! 48==!'7!525/!VACONA!J!NJ8?:;9AJN!;ACD?O=O@>\!O9!T8==!;DA89!<:A!VA!=8N8;AM!;O!J!ZAT!?8CDA!JEE=8CJ;8O?:h!

!! #J?!;DA!EA9ZO9NJ?CA!OZ!'7!525/!MAK8CA:!NJ;CD!O9!AYCAAM!;DA!EA9ZO9NJ?CA!OZ!;DA!CONEO?A?;:!;DJ;!;DA>!?AAM!;O!9AE=JCA\!O9!MO!;DA>!?AAM!;Oh!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

6,,!

:;?%B$AQ'(K%(!"#-A( ( ( ( ( ( ( ( ( ( )*+,-,!!!

KKNN6677889944??##

P7-3+(8759-3:7+!(67+((-*4,3+()*+,-,!!(:+34!8*::,+!((

"<4?<D?'P?<497H?&'"<97?R'V5HDE5:';<EY'

7\,+\*,)(

!! 'AK8AT!OZ!=8?AJ9!;9J?:N8;;A9!;OEO=O@8A:!

!! g<:;8Z8CJ;8O?!OZ!EO=J9!NOM<=J;O9:f! ! =OTA9!?O8:A!CONEJ9AM! ;O! ;9JM8;8O?J=! =8?AJ9!NOM<=J;O9:\!J?M!D8@DA9!EOTA9!AZZ8C8A?C>!

!! I?J=>:8:!OZ!EO=J9BNOM<=J;O9B8NE=ANA?;J;8O?!CDJ==A?@A:!

!! #J:A!:;<M8A:!OZ!EO=J9!NOM<=J;O9:!ZO9!20)2!

!! %O=J9BNOM<=J;O9!=8N8;J;8O?:!J?M!OEEO9;<?8;8A:!

!

!P,3g,+(]*7D+3P[f(

3&?%&$%(8%&?AQ`%! DJ:! VAA?! ;DA! M89AC;O9! OZ!I?J=O@!0AK8CA:[!'J=A8@D!0A:8@?!#A?;A9! 8?!'J=A8@D\! 1#\! :8?CA! ,---G! *A! 8:! J=:O! J?! IMU<?C;! %9OZA::O9! J;! 1O9;D! #J9O=8?J! /;J;A!3?8KA9:8;>G!*A!TJ:!T8;D!298C::O?!Z9ON!6dd`!;O!,---\!TDA9A!DA!=AM!;DA!'7!(#!@9O<E\!J?M!T8;D!IMKJ?CAM!58C9O!0AK8CA:!Z9ON!6dFa!;O!6dd6\!TDA9A!DA!TJ:!8?KO=KAM!8?!;DA!MA:8@?!OZ!7=J:D!NANO98A:G!*A! 9ACA8KAM!J!%DG0G! Z9ON!1O9;D!#J9O=8?J!/;J;A!3?8KA9:8;>! 8?!6dd`\!J?!5G/G2G2G!Z9ON!#O=<NV8J!3?8KA9:8;>!8?!6dFa\!J?M!J!PG/G!%D>:8C:!Z9ON!HO>O=J!3?8KA9:8;>!8?!6dF`G!!!

!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

6,_!

6%B;>'(6J(!"#)A( ( ( ( ( ( ( ( ((((()*+,-*4,a)*+,-,!!(

TT..--UU00,,##007788NNPP####

I?75V#4:C#08BWNB:5@#TB:B84697:#O78##/98B?9:B#4:C#/98B?BLL#UXX?954697:L!!

(

7<d"=?$`"(+D8:! Z<==BMJ>!TO9c:DOE! 8:! MAM8CJ;AM! ;O! ;DA! <?MA9:;J?M8?@! OZ! ;DA! 9AX<89ANA?;:! ZO9! C=OCc! J?M! Z9AX<A?C>!@A?A9J;8O?! 8?! T89A=8?A! J?M! T89A=A::! JEE=8CJ;8O?:\! J?M! OZ! C89C<8;! J?M! MA:8@?! ;ACD?8X<A:\! EJ9;8C<=J9=>! ZO9!KJ98O<:!;>EA:!OZ!O:C8==J;O9:!J?M!EDJ:AB=OCcAM!=OOE:G!+D8:!AKA?;!!A?CO<9J@A:!OEA?!8?;A9CDJ?@A!8?!J!C=O:AM!ZO9<NG!

(

3;R$"&="((+DA!;J9@A;!EJ9;8C8EJ?;:!J9A!C89C<8;!MA:8@?A9:!TO9c8?@!O?!;DA!MAKA=OENA?;!J?M!MA:8@?!OZ!JMKJ?CAM!!;8NAB!9AZA9A?CA:! J?M! :>?CD9O?8:J;8O?! C89C<8;:\! 8?! JEE=8CJ;8O?:! :<CD! J:! @8@JV8;! ! 2;DA9?A;\! Z8VA9OE;8C:\! )/5\!4#05I\!<=;9JT8MAVJ?M\!J?M!:O!O?!

(

!=%W"(+DA! X<J=8;>! OZ! KJ98O<:! Z9AX<A?C>! O9! C=OCc! @A?A9J;8O?! DJ:! J! C9<C8J=! 8NEJC;! O?! ;DA! ;O;J=! EA9ZO9NJ?CA! OZ!CONN<?8CJ;8O?!:>:;AN:G!SJ98O<:!:>:;AN!CO?CAE;:!CJ?!E9OK8MA!T8MA=>!M8ZZA98?@!:EAC8Z8CJ;8O?:! ZO9!S#$:!J?M!%HH:\!AKA?!ZO9!;DA!:JNA!JEE=8CJ;8O?G!I!:J;8:ZJC;O9>!CONE9ON8:A!VA;TAA?!CO?;9JM8C;O9>!9AX<89ANA?;:\!:<CD!J:! =OT!EDJ:A!?O8:A!J?M!T8MA!VJ?MT8M;D\! 8:! 9AX<89AM! ;O! Z<=Z8==!:>:;AN!:EAC:G!+D8:! ZO9<N!M8:C<::A:!:>:;AN! 9AX<89ANA?;:\! ?O8:A! NOMA=:\! S#$! MA:8@?! AYJNE=A:\! J?M! %HHR0HH! :>:;ANJ;8C! MA:8@?! J?M!OE;8N8LJ;8O?G!!I!@OJ=! 8:!;O!A?JV=A!;DA!J;;A?MAA:!;O!VA;;A9!<?MA9:;J?M!J?M!VA!JV=A!;O!OE;8N8LA!C=OCc!J?M!Z9AX<A?C>!:>?;DA:8LA9:G!

!

PB%YBA>(+DA! ZO9<N!T8==! VA@8?!T8;D! ;TO! ;J=c:! :ONA! Z9ON!5"B"T(!@A"SS"B\! Z9ON!I:EA?MO:!#ONN<?8CJ;8O?:\!J?M!

O?A!!Z9ON!:AT"@$T%(4ATA%\!Z9ON!+O:D8VJ\!;DJ;!T8==!M8:C<::!;DA!MA:8@?!9AX<89ANA?;:!8?!T89A=8?A!T89A=A::!JEE=8CJ;8O?:\!9A:EAC;8KA=>!J?M!;DA9AV>!V<8=M!J!V98M@A!VA;TAA?!:>:;AN!9AX<89ANA?;:!J?M!MA:8@?!J:EAC;:G!

+DA!?AY;! ;D9AA! ;J=c:!T8==! ZOC<:!O?!O:C8==J;O9!MA:8@?!J?M!?O8:A!CO?:8MA9J;8O?:G!+%<"B?(+"&&$&Y"B\! Z9ON!I@A9A\!T8==! E9A:A?;! ;DA! MA:8@?! OZ!5<=;8EDJ:A!'8?@!$:C8==J;O9:\! J?M! J=:O! M8:C<::! EDJ:AB?O8:A!NOMA=8?@!

;ACD?8X<A:!ZO9!;DANG!!+DA?!3Q$([Ad$>$B$!Z9ON!#J=;ACD!T8==!MO!;DA!:JNA!ZO9!H#BVJ:AM!!KO=;J@ABCO?;9O==AM!

O:C8==J;O9:G! ^A&( .BA&$&=Te\! Z9ON! (52#\! T8==! CO?C=<MA! T8;D! J?! J?J=>:8:! :<EE=>! J?M! :<V:;9J;A! ?O8:A! 8?!S#$:G!!!

%DJ:AB=OCcAM! =OOE:!J?M!MA=J>B=OCcAM! =OOE:!T8==!VA! 8?! ;DA! ZOC<:!OZ! ;DA! =J:;! ;D9AA!E9A:A?;J;8O?:G!.$="B%(

\A;=@"B\! Z9ON!%D8=8E:\!T8==!NO9A!@A?A9J==>!COKA9!:>:;AN!J?J=>:8:\!MA:8@?!J?M!OE;8N8LJ;8O?!OZ!Z9AX<A?C>!:>?;DA:8LA9:! ZO9! CONN<?8CJ;8O?! :>:;AN:G! 0A:8@?\! :8N<=J;8O?\! J?M! VJ?MT8M;D! AY;A?:8O?! NA;DOM:\!

A:EAC8J==>! ZO9! Z9JC;8O?J=B1! Z9AX<A?C>! :>?;DA:8:! T8==! VA! ;9AJ;AM! 8?! MA;J8=! V>!8$=@A"Q( P"BB%??! Z9ON!5(+G!

78?J==>\! *`A&( ]$"??$! Z9ON! /+5! T8==! E9A:A?;! :EAC8J=! J:EAC;:! OZ! %HH:! ZO9! T89A=A::! CONN<?8CJ;8O?:\!EJ9;8C<=J9=>!ZO9!!:E9AJMB:EAC;9<N!@A?A9J;8O?\!J?M!ZO9!]0I#BZ9AA^!!M89AC;!NOM<=J;8O?G!

!

"#$%&'()*+!,--.!(//##(0$!1$+!'2%'$03#2!4(+*$3+!%2'5(//($1!

6,.!

4477::,,!!((!

WIRELINE COMMUNICATIONS

" Overview

" Featured Papers

" Special-Topic Session

" Tutorial

" Forum

©COPYRIGHT 2004 ISSCC#DO NOT REPRODUCE WITHOUT PERMISSION

127

Sessions: 3, 8, 12, 18, 22 [AP20, 32, 40, 54, 64] WIRELINE

IISSSSCCCC 22000055 – WWIIRREELLIINNEE

Subcommittee Chair: Franz Dielacher, Infineon, Villach, Austria

OOVVEERRVVIIEEWW

MOST-SIGNIFICANT RESULTS

" 6.4Gb/s CMOS SerDes Core with Feed-Forward and Decision-Feedback Equalization [3.2]

" 6.25Gb/s Binary Adaptive Decision-Feedback Equalizer with First Post-Cursor Tap Cancellation [3.5]

" Circuit Techniques for a 40Gb/s Transmitter in 0.13$m CMOS [8.1]

" 3V 10.7Gb/s Differential Laser-Diode Driver with Active Back-Termination Output Stage [12.1]

" 20Gb/s VCSEL Driver and Regulated Output Impedance in 0.13$m CMOS [12.2]

" RF/Baseband FDMA-Interconnect Transceiver for Reconfigurable Multiple Access in Chip-to-Chip

Communication [18.6]

" 0.94ps-rms-jitter 2.5GHz Multiphase Generator PLL for 10Gb/s Serial Links [22.1]

" 101dBc/Hz at 1MHz, 44GHz Differentially-Tuned VCO with 4GHz Tuning Range in 0.12$m SOI

CMOS [22.4]

APPLICATIONS AND ECONOMIC IMPACT

" Further improvements on equalization techniques in the receiver allow higher data rates for legacy

backplane connections. [3.2, 3.5, 18.1]

" Demonstration of a 40Gb/s CMOS transmitter and MUX/DEMUX circuits accelerate efforts toward

implementing 40Gb/s transceiver and logic circuits in CMOS. [8.1, 8.2]

" Spread-spectrum clock generators with both low EMI and low jitter, can be used for the reliable

chip implementation of SATA and other serial-link standards. [8.6, 8.7]

" Optical communications benefit from low-power and low-voltage laser drivers and high-speed and

low-power burst mode receivers. [12.1, 12.2, 12.4, 12.5]

" Advanced technology and new circuit techniques are used to lower power and jitter and to increase

the speed of the basic building blocks such as VCO, DCXO and PLL. [22.1, 22.2, 22.4, 22.5,]

" Shrinking CMOS linewidths enable increasingly complex and integrated transceivers.

" CMOS is taking over more and more from BiCMOS, SiGe, and GaAs, for the electrical and optical interconnections between chips, over backplanes, and between systems.

" The increase in transmission speed from 6.25 Gb/s to 40 Gb/s makes it possible to transfer the content of a whole DVD within less than 1 second. Such chips will be used in the backbone of the Internet, and will significantly contribute to faster access and download for the individual user of Internet services.

©COPYRIGHT 2004 ISSCC#DO NOT REPRODUCE WITHOUT PERMISSION

128

Sessions: 3, 8, 12, 18, 22 [AP20, 32, 40, 54, 64] WIRELINE

SPECIAL-TOPIC SESSION

Powerline LAN : Is There a Concrete Wall Dividing Wireless from Wireline? [SE1]

TUTORIAL

High-Speed Electrical Interfaces: Standards and Circuits [T8]

FORUM

ATAC: Automotive Technology and Circuits [F5]

©COPYRIGHT 2004 ISSCC#DO NOT REPRODUCE WITHOUT PERMISSION

129

Session: 3 [AP20] WIRELINE FEATURE

BBAACCKKPPLLAANNEE TTRRAANNSSCCEEIIVVEERRSS

A 6.4Gb/s CMOS SerDes Core with Feedforward and Decision-Feedback Equalization [3.2] IBM

A 6.25Gb/s Binary Adaptive Decision Feedback Equalizer with First Post-Cursor Tap Cancellation for Serial Backplane Communications [3.5] Texas Instruments

PRESENT STATE OF THE ART (THE PROBLEM)

" Need to enable recovery of data-eye fully-closed from channel losses and crosstalk at 5Gb/s and above,in CMOS

" Legacy 1 to 3Gb/s backplane channels require transmit and receive equalization techniques at 5 Gb/s and above, but they are power hungry

" Bit-error-rate (BER) requirement of 10-15 or better mandates a holistic approach to transceiver design with full link-jitter modeling and verification

NOVEL CONTRIBUTIONS

" 2-tap VGA and peaking amplifier [3.2], and direct feedback of 1st tap [3.5] in receiver to cancel ISI

" Adaptation of equalizer coefficients to channel characteristics [3.2, 3.5]

" 2-path VGA design in receiver enables linear operation up to 1.2V p-p differential input signals

[3.2]

CURRENT AND PROJECTED SIGNIFICANCE

" Doubles bit rate from existing 3Gb/s (XAUI) to 6Gb/s (Optical Internetworking Forum /CEI-CEI-

6G+) backplanes [3.2, 3.5]

©COPYRIGHT 2004 ISSCC#DO NOT REPRODUCE WITHOUT PERMISSION

130

Session: 3 [AP32] WIRELINE FEATURE

4400GGbb//ss CCMMOOSS TTrraannssmmiitttteerr CCiirrccuuiitt

Circuit Techniques for a 40Gb/s Transmitter

in 0.13$m CMOS [8.1] Seoul National University

PRESENT STATE OF THE ART (THE PROBLEM)

" At 40Gb/s, integration level of CMOS is limited to small circuits such as 4:1 MUX

" Waveform degradation due to bandwidth limitation still a problem

" Power reduction required

NOVEL CONTRIBUTIONS

" Single-chip 40Gb/s transmitter with 20GHz PLL, 16:1 MUX, and PRBS generator [8.1]

" Judicious use of circuit techniques enables 40Gb/s transmitter with 0.13µm CMOS [8.1]

" Power consumption of 2.8W achieved [8.1]

CURRENT AND PROJECTED SIGNIFICANCE

" CMOS offers low-cost single-chip solution for up to 40Gb/s [8.1]

" More integration effort expected toward 40Gb/s CMOS receiver circuits [8.1]

©COPYRIGHT 2004 ISSCC#DO NOT REPRODUCE WITHOUT PERMISSION

131

Session: 12 [AP40] WIRELINE FEATURE

OOPPTTIICCAALL CCOOMMMMUUNNCCAATTIIOONNSS

A 20Gb/s VCSEL Driver with Pre-Emphasis and

Regulated Output Impedance in 0.13$m CMOS [12.2] IBM; Cornell University; Agilent Technologies

1.25Gb/s Burst-Mode Receiver ICs with Quick Response for PON Systems [12.4] NTT

A 12.5Mb/s to 2.7Gb/sContinuous Rate CDR with Automatic Frequency Acquisition and Data-Rate Readback [12.6] Analog Devices

PRESENT STATE OF THE ART (THE PROBLEM)

" Limited capability to handle different data rates and formats

" System interconnect now is limited to 1Gb/s and is bulky (large size) and uses expensive copper cables

" 10Mb/s Cable modem or ADSL

NOVEL CONTRIBUTIONS

" High-speed (20Gb/s) parallel optics and small light-weight low-cost cable [12.2]

" Burst receiver enables PON [12.4]

" Data rate is automatically detected and used [12.6]

CURRENT AND PROJECTED SIGNIFICANCE

" Low-cost high-speed computer systems [12.2]

" PON is low cost for very high data rates (1G) to home [12.4]

" Low-cost metro-networks with the ability to transport any data formats already in use [12.6]

©COPYRIGHT 2004 ISSCC#DO NOT REPRODUCE WITHOUT PERMISSION

132

Session: 18 [AP54] WIRELINE FEATURE

FFrreeqquueennccyy MMoodduullaattiioonn MMeetthhooddss ffoorr IImmpprroovveedd BBrrooaaddbbaanndd TTrraannssmmiissssiioonn

An RF/Baseband FDMA-Interconnect Transceiver for Reconfigurable Multiple Access in Chip-to-Chip Communication [18.6] SST Communications

PRESENT STATE OF THE ART (THE PROBLEM)

" Chip-to-Chip connections are fixed at design time, limiting system flexibility

" Coordinating multiple-access buses requires coordination between everyone on the bus; only one pair of chips can communicate at any one time.

" Transmission media can cause severe distortion at multi-Gb/s data rates.

NOVEL CONTRIBUTIONS

" High-bit-rate is accomplished by transmitting data at multiple frequencies. Each channel runs

slower and is easier to design. [18.6]

" Unlike CDMA, rake filters are not needed. [18.6]

" No bus contention. [18.6]

" Connections become reconfigurable; any pair of chips can

communicate uninterrupted. [18.6]

CURRENT AND PROJECTED SIGNIFICANCE

" More-robust backplane transmission. [18.6]

" Allow smaller more-functional cheaper boards. [18.6]

" Alternative to ever-faster conventional serial transmission. [18.6]!!

©COPYRIGHT 2004 ISSCC#DO NOT REPRODUCE WITHOUT PERMISSION

133

Session: 22 [AP20] WIRELINE FEATURE

!

A 0.94ps-rms-Jitter 0.016mm2 2.5GHz Multi-Phase- Generator PLL with 360º Digitally-Programmable Phase- Shift Capability for 10Gb/s Serial Links [22.1] IBM; Miromico

A 15mW 3.125GHz PLL for Serial Backplane Transceivers in 0.13µm-CMOS [22.2] Synopsys

PRESENT STATE OF THE ART (THE PROBLEM)

" Multi-Gigabit data transmission needs very-low-jitter clocks for low-BER operation.

" The cross-talk-rich environment of high-speed serial links makes clock requirement still more stringent.

NOVEL CONTRIBUTIONS

" A multiple-clock-phase PLL with dedicated phase detectors permits sub-ps rms tracking jitter at 10Gb/s. [22.1]

" Special circuit techniques and attention to loop dynamics enable a lower-power small-area PLL

with 1.3ps rms-jitter at 3.125GHz. [22.2]

CURRENT AND PROJECTED SIGNIFICANCE

" Near-ideal multi-Gb/s clocks permit higher data rates over both new and existing links, , and

enable greater transmission distances [22.1, 22.2]

!

©COPYRIGHT 2004 ISSCC#DO NOT REPRODUCE WITHOUT PERMISSION

134

Special: SE1 [AP12] WIRELINE

SSPPEECCIIAALL--TTOOPPIICC SSEESSSSIIOONN

PPoowweerrlliinnee LLAANN:: IIss TThheerree aa CCoonnccrreettee WWaallll DDiivviiddiinngg

WWiirreelleessss ffrroomm WWiirreelliinnee?? Organizer: Mark Ingels, STMicroelectronics Belgium N.V., Zaventem, Belgium

Chair: Michael Green, Dept. of EECS, University of California, Irvine, CA

OVERVIEW

" Powerline Communication (PLC) is an emerging technology that allows data transfer at high rates over existing power lines.

" The significance of PLC systems in practical situations will be highlighted

" Various existing chipsets with a potential data-transfer rate up to hundreds of megabits per se will be presented

OBJECTIVE

" To understand the significance of PLC for real-life and high-speed data communication within the home

" To present the major PLC solutions that are available today

" To investigate future technical and economic growth potential of PLC

CHALLENGE

" What is the use of PLC? Why is it viable? What is the future?

" How does PLC compete with Wireless LAN?

" How does PLC complete Wireless LAN?

" What type of data encoding should be used for high-speed communication on existing power wires?

" How to cope with emission?

" How to limit radiation?

©COPYRIGHT 2004 ISSCC#DO NOT REPRODUCE WITHOUT PERMISSION

135

Special: SE1 [AP12] WIRELINE

STRUCTURE

" The first talk will provide a broad overview of PLC and will clarify its use and advantages. The following two presentations will present chipsets with data rates up to 14Mbps, and with a potential up to several hundreds of megabits. The final talk will present a narrowband system that is being deployed for remote control via power line.

" Speakers and specialties:

" Haniph A. Latchman, University of Florida: “Broadband Powerline Multimedia Home

Networking % Advantages and Challenges”

" Jim Petranovich, Conexant Systems, Inc.:“OFDM for Power Line Communications”

" In OFDM-based chipset, including challenges for practical implementation.

" Vincent Buchoux, LEA SA: “Performance Analysis of a CDMA Chipset for InHouse BroadBand Powerline Communications”

" A new CDMA-based chipset, including performance and radiation issues

" Claudio Cantoro, Dora: “Remote Control via Powerline”

" A narrowband system that is useful for (long-range) remote control.

RECAP

" To clarify what is PLC?

" To highlight its advantages and demonstrate its use in practical situations.

" To present various existing systems that enable real PLC transmission.

©COPYRIGHT 2004 ISSCC#DO NOT REPRODUCE WITHOUT PERMISSION

136

Tutorial: T8 [AP7] WIRELINE

TTuuttoorriiaall

HHIIGGHH--SSPPEEEEDD EELLEECCTTRRIICCAALL IINNTTEERRFFAACCEESS:: SSTTAANNDDAARRDDSS AANNDD CCIIRRCCUUIITTSS Yuriy M.Greshishchev, PMC Sierra Inc, Ottawa, Canada

OVERVIEW

The tutorial will review the physical level standard specification space (including standards under development), and the challenges in the multi-gigabit-range high-speed electrical-interface area, such as XFI, CEI , XAUI, Fiber-Channel, SAS, SATA, and others. Jitter requirements, signal amplitude, impedance, S-parameter specifications, and transmission-channel representation, along with equalization techniques, will be studied. Block diagrams and circuit solutions will also be discussed. This tutorial will assist attendees to understand IC design parameters, their link to standard specifications, and current and future challenges in wireline IC design of electrical interfaces for the multi-gigabit range.

SPEAKER BIOGRAPHY

Yuriy M.Greshishchev, PMC Sierra Inc, Ottawa, Canada, is a Technical Advisor on high-speed circuit design for wireline communications. His design experience is in multi-gigabit-rate CMOS, SiGe, and III-V circuits for telecom and datacom applications. He has been involved in XFI, Fiber Channel, SAS, RIO physical-level standards technical groups. He has been a member of the ISSCC Technical Program Committee for five consecutive years. He presented an ISSCC Tutorial on front-end circuit design for optical communications in 2001. He has coauthored two books and numerous technical papers in the area of high-speed communication-circuit design and data converters. He received his Ph. D. degree in Electrical and Computer Engineering from V.M. Glushkov Institute of Cybernetics, Microelectronics Division, Kiev, Ukraine, in 1984.

©COPYRIGHT 2004 ISSCC#DO NOT REPRODUCE WITHOUT PERMISSION

137

Forum: F4 [AP90] WIRELINE

FFOORRUUMM

ATAC: Automotive Technology and Circuits

Objective This all-day forum is dedicated to automotive electronics, encompassing the associated high-voltage and high-power technologies, complex system developments, and robust circuit design required for automotive applications.

Attendance is limited, and pre-registration is required. This all-day forum encourages open interchange in a closed form.

Audience The targetted participants are circuit designers working on the advanced development and design of automotive systems and chips, who want to learn about the latest developments in technology, system and circuit design for automotive applications.

Scope While the automotive market has long been driven by innovations and improvements in the mechanical, hydraulic, and pneumatic systems of the car, this situation has changed, and the majority of innovations in cars is currently driven by electronics. Electronics will become even more pervasive for the foreseeable future, with a shift from separate electronic modules to fully- interconnected intelligent systems e.g. drive-by-wire. This evolution, together with the growing use of high-performance sensors, requires increasingly complex and accurate electronic hardware and software in the car. At the same time, the stringent safety requirements are increasingly tightened while the harsh automotive-environment demands are further increased. This forum discusses selected topics in automotive high-voltage and high-power technologies, complex system developments, and robust circuit design.

Program The Forum will begin with a comprehensive overview of automotive electronic systems and market

trends. Patrick Leteinturier, from Infineon, will discuss the challenges, and demonstrate the key elements needed, for the ongoing evolution/revolution of automotive electronics. The next two papers will discuss semiconductor technologies for automotive applications from the

viewpoints of the car manufacturer and the semiconductor industry. First, Masayuki Hattori, from Toyota, will describe how several very-different semiconductor technologies are needed for their new generation of hybrid cars, including high-performance CPUs, complex high-voltage mixed-signal LSIs, and high-

speed/low-saturation voltage IGBTs. In the second paper, Hak-Yam Tsoi from Freescale, will show

©COPYRIGHT 2004 ISSCC#DO NOT REPRODUCE WITHOUT PERMISSION

138

Forum: F4 [AP90] WIRELINE !

FFOORRUUMM

ATAC: Automotive Technology and Circuits (continued) Program (continued) how high-power high-performance analog and complex digital circuits are combined in their SMARTMOS technology. In the fourth and fifth papers, complex system-design issues and trade-offs for in-vehicle image

processing and data communication, in the harsh automotive environment, will be discussed. Shorin Kyo, from NEC, will focus on architectural and system-design choices for image processing for driver- assistant systems, where a high computational requirement has to be balanced against a high

power efficiency and system flexibility. Stefan Poledna, from TTTech, will then discuss the various requirements for in-vehicle data communication. Dependability of the communication channel is of major concern for the very different types of in-vehicle communications, such as interaction with smart sensors and actuators, data exchange for closed-loop control, diagnostic and repair information, multimedia and telematics. The last three papers will focus on robust-circuit-design aspects for different automotive applications.

Koen Appeltans, from AMIS, will first present the physical layer of a LIN (Local Interconnect Network) transceiver. He will pay attention to the non-standard aspects of integrated-circuit design for the harsh automotive environment, such as deeply-negative voltages, system ESD events, low electromagnetic emission, and high electromagnetic immunity. Gunther Leising, from LUMITECH, will then discuss the latest developments in high-luminous-flux and high-efficiency LED-packages and present current and future solutions for the thermal management and light-output shaping

requirements. Dirk Hammerschmidt, from Infineon, will deal with the influence of automotive applications and micro-system technology on the system and circuit design of integrated magnetic- field and surface micro machined pressure sensors. These sensors have to be compatible with the IC technology on the one hand, while they require extensive signal processing to remove the sensor non-idealities, on the other. At the end of the afternoon, all speakers will assemble in a panel format, for an open discussion with the audience, on the challenges in all aspects of automotive electronics.

!""##$%&&'

"(""!)*$)+(,+!(-"

./0112,030410$5460/743

8$#9:;7679:1$9<$.=>37?4679:

8$"01179:$)@0/@70A1

CONDITIONS OF PUBLICACONDITIONS OF PUBLICATIONTION

PREAMBLE

• The Session Overviews to follow serve to capture the context,highlights, and potential impact, of the papers to be presented ineach Session at ISSCC 2005 in February in San Francisco.

• OBTAINING COPYRIGHT to ISSCC press material is EASY !

•• You are welcome to use this material, copyright- and royalty-free,with the following understanding:

" That you will maintain at least one reference to ISSCC 2005 inthe body of your text, ideally retaining the date and location.For detail, see the FOOTNOTE below.

" That you will provide a courtesy FAX of your excerpted presspiece and particulars of its placement, to 416-971-2286,Attention ISSCC Press Relations.

FOOTNOTE

• From ISSCC’s point of view, the phraseology included in the boxbelow captures what we at ISSCC would like your readership toknow about this, the 52nd appearance of ISSCC, on February 6th to10th, in San Francisco.

This and other related topics will be discussed at length at ISSCC 2005, theforemost global forum for new developments in the integrated-circuit industry.ISSCC, the International Solid-State Circuits Conference, will be held onFebruary 6-10, 2005, at the San Francisco Marriott Hotel.

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

140

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

141

Non-Volatile Memory

Chair: Yair Sofer, Saifun Semiconductors, Netanya IsraelAssociate Chair: Yukihito Oowaki, Toshiba, Kawasaki Japan

Consumer-electronic applications such as cellular phones and digital cameras are driving a need for higher-performance and lower-cost-memory solutions. This year's Conference by is marked a strong showof non-volatile memories. In the Flash memory arena, we are seeing major breakthroughs in density, per-formance and cutting-edge lithography. We have seen a sprinkling of multi-level Flash papers since 1995.This year, multi-level Flash makes up the majority of the non-volatile memory presentations that report onnew design approaches. Designs utilizing multi-level techniques have historically been lower-performance.With design and technology breakthroughs, we are seeing high-performance read and write times combinedwith multi-level storage.

This session will also look into new circuit techniques to achieve much-faster lower-power flash program-ming. As well, recent advancements in the area of emerging nonvolatile technologies will be reported.

In Papers 2.1 and 2.2 exhibit the highest-density Flash memories ever reported (,(8Gb) (,fabricated inadvanced 70nm and 63nm technologies and using a multi-level approach and NAND structure. Write per-formance is dramatically improved to reach a level comparable with single-bit-per-cell memories.

A 1.8V 64Mb phase-change RAM (PRAM) fabricated in a 0.12'm technology is described in Paper 2.3. Thepaper presents techniques to improve write distribution and read margins.

An in-package inductor and capacitor are utilized for the first time to implement a hybrid voltage multiplier toimprove write performance, power efficiency, and to save area, in a NOR Flash product. This scheme isdescribed in Paper 2.4.

A 256Mb NOR Flash featuring an innovative sensing concept, based on a fast gate-voltage-ramp, whichoperates the cells at constant current is discussed in Paper 2.5. It overcomes the main drawbacks of multi-level NOR scaling.

A 512Mb 90nm NOR Flash, presented in Paper 2.6, has the fastest synchronous-read frequency and pro-gramming throughput ever reported.

Finally, the first 4Gb multi-level AG-AND Flash to achieve 10MB/s write throughput is reported in Paper 2.7.

Session: 2 [AP18] SESSION OVERVIEWMemory

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

142

Backplane Transceivers

Chair: Mehmet Soyuer, IBM, Yorktown Heights, NYAssociate Chair: Muneo Fukaishi, NEC, Kanagawa, Japan

The increasing clock rates of processing cores accompanying continuing advances in silicon technologydrive the need to push electrical interconnect speeds higher. As industry-standard data rates pass 3Gb/sand approach the 5 to 12Gb/s realm, degradations from channel effects such as bandwidth loss, reflections,and crosstalk, can distort the signal to such an extent that robust data recovery requires equalizer-basedbackplane transceiver designs. Legacy 1 to 3Gb/s backplane channels rely on power-efficient NRZ transmit-and receive-side equalization techniques with adaptation. New signaling schemes, with better spectral effi-ciency, such as PAM4 and duobinary signaling, are of interest for data rates exceeding 10Gb/s. Anotherdesign challenge is the low bit-error-rate (BER) requirement of 10-15 or less.

As highlighted by the first five papers in this session, these requirements are currently being addressed bytransceiver designs using NRZ signaling in 0.13'm CMOS, targeting data rates from 5Gb/s to approximately10Gb/s. Overall, the transceivers described in Papers 3.1 through 3.5 employ feedforward equalization anddecision-feedback equalization techniques. This combination helps to optimally compensate for bandwidthlosses, providing with improved immunity to inter-symbol interference (ISI) and crosstalk.

A 5Gb/s NRZ transceiver with a 2-tap pre-emphasis network in the transmitter, along with a 1-tap FFE and3-tap DFE in the receiver, is featured in Paper 3.1. It achieves a BER of less than 10-15 over 4 pairs of 1mPCB traces, in the presence of crosstalk.

A 4.9 to 6.4Gb/s transceiver core is described in Paper 3.2. The use of a 4-tap FFE, a 2-path VGA, and apeaking amplifier followed by a 5-tap DFE, enables operation on ISI channels with over 30dB loss at3.2GHz. It achieves a power efficiency of 45mW/Gb/s.

A transceiver core operating from 0.6 to 9.6Gb/s using adaptive receive equalization with a 1-tap DFE fol-lowed by a linear equalizer, is described in Paper 3.3. The core dissipates only 150mW at 6.25Gb/s.

The 6.25Gb/s transceiver described in companion Papers 3.4 and 3.5 highlights a 4-tap DFE architecturethat uses direct feedback of the first-tap output to cancel post-cursor ISI. It operates at a BER less than 10-16 over legacy 1Gb/s backplane channels.

The last two presentations of this session describe a 90nm CMOS 12Gb/s duobinary transceiver and a90nm SOI 25Gb/s PAM4 transmitter. Duobinary signaling, with a 10-tap 2x-oversampled equalizer used toachieve 12Gb/s over a 75cm low-k PCB trace, is employed in Paper 3.6. The measured eye height is 3dBlarger than for NRZ signaling. The PAM4 transmitter in Paper 3.7 targets short-range chip-to-chip intercon-nects. It features a 4-tap FIR filter, and achieves a power dissipation of 100mW at 25Gb/s.

Session: 3 [AP20] SESSION OVERVIEWWireline

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

143

Session: 4 [AP22] SESSION OVERVIEW

Mixed-Domain Systems

Chair: Kerry Bernstein, IBM, Essex Junction, VTAssociate Chair: Siva Narendra, Intel, Hillsboro, Oregon

Hybrid interdisciplinary technologies enable an exciting and powerful new integration direction. Developmentof monolithic mixed-domain structures (such as DRAMs embedded in high-performance logic chips), so far,have focused on co-optimizing MOSFET, bipolar, and other silicon-based devices. Dimension scaling hasbrought CMOS to a size regime where it is now possible to exchange data with living neurons; to sense thestate of individual atoms; and ultimately to reproduce human sensory function in a biological form factor.These advances are made possible by the convergence of VLSI with improvements in ancillary technologies, such as micromechanical machining, optics, and thin films. Mixed-domain systems promise toreduce latency, extend resource utilization, and increase transaction rate. Additionally, new capabilities areenabled and existing functions are realized with lower power and cost, by such mixed-domain systems.

Electrochemical as well as magnetic interaction with living tissue is explored in Papers 4.1 and 4.3. Newwork exploring neuro-electronic junctions is described in Paper 4.1. The interfacing between electronic andionic systems must be examined at the ion channel, nerve cell, and extended-network/brain-tissue level inorder to facilitate interchangeable signal processing, and this paper describes the unique approaches initiated to achieve these milestones. The magnetic tags, described in Paper 4.3, enable the use of CMOSlogic to manipulate cells attached to these tags in a medium layered immediately above the logic. The potential impact of such a technique is readily apparent.

Direct stimulation of auditory nerves using a processor in a bionic ear is described in Paper 4.2. Much morethan improving conventional hearing-aid techniques, this device maps its logarithmic-spectral-filter output toa set of topographic stimulation electrodes. By accessing the cochlea directly, the technology can emulatethe fundamental biological hearing process.

The synchronous digital state machine is one of a number of architectural paradigms that are realized with current fabrication capabilities. Atomistic and, more recently, quantum-mechanical limitations arising from continued scaling require increasing timing margin and tolerance; these concerns make theanalog-computer/math-co-processor advocated in Paper 4.4 very interesting and timely.

The final three papers in this session explore the integration of CMOS with MEMS and optics technologiesto bring new functions on-chip. An on-chip MEMS-based low-power atomic clock is described in Paper 4.5.This chip is more than 700x smaller in volume than the state-of-the-art commercially-available atomic clocks,while delivering comparable precision. MEMS is also an enabler for realizing gyroscopes in CVD SiGe filmsdeposited on conventional CMOS. Robust outputs are developed from larger capacitive-coupled signals, as shown in Paper 4.7. The observed improvements in signal-distribution precision in processors, and thelatency-reduction realized in hardware, is presented in Paper 4.6. These improvements are achieved by the integration of optical interconnects with conventional CMOS. For the first time, less than 1ps of measuredrms jitter is reported.

Technology Directions

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

144

WLAN Transceivers

Chair: Arya Behzad, Broadcom, San Diego, CAAssociate Chair: Mototsugu Hamada, Toshiba, Kawasaki, Japan

Mass-consumer-market wireless applications set strict requirements on cost, dynamic range, power con-sumption, and the number of external components used in the system. These constraints have already beenapplied to Bluetooth chips, and the resultant chips have been reported at previous ISSCCs. This year, wesee these trends being applied to WLAN ICs, and similar levels of integration being demonstrated in WLANSoCs. As such, many of the most-integrated solutions are implemented in deep-submicron CMOS tech-nologies which allow the full integration of the MAC and the PHY layers on the same die. These highly inte-grated low-cost low-power ICs open the door for the application of WLAN ICs to many new embedded andnon-embedded applications, and ultimately to a seamlessly connected world.

A I/Q self-calibrating super-heterodyne CMOS 802.11g transceiver is presented in Paper 5.1. As a result ofthe calibration scheme, 1° phase mismatch and 0.1dB amplitude mismatch is achieved. There is only a 5%die-area penalty for implementing this calibration scheme. The die occupies an area of 10.2mm2.

The authors present the most-integrated 802.11g SoC published to date in Paper 5.2. The chip utilizes asliding-IF super-heterodyne architecture, and includes the RF, analog, MAC and PHY functions in a singleCMOS die. The receiver achieves a sensitivity of -73dBm and -95dBm at 54Mb/s and 1Mb/s, respectively.The transmitter is capable of transmitting +4dBm. The die occupies an area of 41mm2.

A fully-integrated direct-conversion CMOS 802.11b SoC is presented in Paper 5.3. This SoC integrates allof the radio building blocks, including the PA, loop filter, and the antenna switch, along with the analog anddigital PHY and MAC blocks. The receiver achieves a sensitivity of -88dBm at 11Mb/s. The transmitter iscapable of transmitting a nominal output power of +13dBm. The die occupies an area of 32.2mm2.

A dual-band direct-conversion CMOS 802.11a/b/g transceiver is described in Paper 5.4. It achieves an RXNF of 5dB and a TX EVM of -31dB while transmitting 1dBm at 5GHz. The chip occupies only 6mm2.

Another CMOS direct-conversion 802.11a/b/g transceiver is reported in Paper 5.5. It achieves an RX NF of4.2dB and a sensitivity of -94dBm at 6Mb/s and 5GHz. The die occupies 17.2mm2.

A BiCMOS SoC for bidirectional point-to-multipoint wireless digital-audio applications is described in Paper5.6. It utilizes the 863 and 915MHz bands. It achieves an RX current consumption of 3.8dB and a sensi-tivity of -94dBm for the U.S. 918 kb/s mode.

A dual-band frequency synthesizer for 802.11a/b/g with a fractional-spur averaging technique is describedin Paper 5.7. It achieves a 10kHz to 10MHz integrated phase noise lower than 1.25° rms for any of the syn-thesized channels.

A fully-integrated transformer-based RF front-end which integrates matching and T/R switch functions on theCMOS chip is reported in Paper 5.8. An example of the application of the technique to a Bluetooth IC isdemonstrated. It achieves a receiver sensitivity of -90dBm and a transmitter power of +2dBm.

Session: 5 [AP24] SESSION OVERVIEWWireless

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

145

High-Speed and Oversampled DACs

Chair: Robert Neff, Agilent Technologies, Palo Alto, CAAssociate Chair: Zhongyuan Chang, IDT-Newave Technology, Shanghai, China

This session highlights the state-of-the-art in high-speed and oversampled DACs, including high-accuracysignal synthesis beyond 1GHz, and the doubling of sample rates for 6b-resolution DACs to 22GS/s. An over-sampled ADC/DAC-based power amplifier and an RF power detector are also presented.

Signal resampling is a common technique for improving accuracy in DACs, resulting in an RTZ waveform.In baseband DACs, resampling results in unwanted signal content above the Nyquist frequency. Severalrefinements to resampling techniques are presented. The authors of Paper 6.1 employ two identical time-interleaved RTZ 15b DACs, resulting in an NRZ waveform with exceptional signal accuracy. An RTZ DACwith wideband performance, generating waveforms in the second and third Nyquist bands and allowingdirect synthesis of RF waveforms up to 2GHz, is presented in Paper 6.2. In this DAC, the RTZ signal is adesirable resampling artifact, resulting in less sin(x)/x distortion.

These GS/s high-resolution DACs require expensive data sources with high-speed memory and/or digitalsignal processing and high-speed high-power data I/O. The circuit described in Paper 6.3 solves this exter-nal computation and I/O problem by integrating direct-digital frequency synthesis on-chip with a 1.7GS/sDAC.

A minimum-complexity circuit-design approach, demonstrating that a state-of-the-art high-accuracy 500MS/s CMOS DAC can be implemented with a conventional architecture, is presented in Paper 6.4.

An oversampled RTZ DAC, presented in Paper 6.5, demonstrates that two time-interleaved DACs with twophase-adjusted data interpolators can synthesize 11.9b-accurate signals in a 29MHz bandwidth. Thesearchitectural innovations are primarily in the digital domain, and improve total DAC performance.

It is a challenge to simultaneously achieve both high accuracy and high efficiency in audio power amplifiers.The implementation in Paper 6.6 uses a )* modulator to create a bitstream to drive a class-D amplifier.Directly applying this bitstream would result in switching the amplifier at speeds up to 3MHz, which wouldresult in low power efficiency. A technique to reduce the maximum frequency component to around 450kHz,and meeting both design goals, is introduced.

A 22GS/s 6b SiGe BiCMOS DAC, presented in Paper 6.7, is over twice as fast as any 6b design previously published.

The power detector presented in Paper 6.8 improves on the state-of-the-art in offset control for RF-power-detector applications, demonstrating performance that is even superior to laser-trimmed parts. It uses achopping technique previously seen in precision amplifiers and mixers.

Session: 6 [AP26] SESSION OVERVIEWAnalog

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

146

Multimedia Processing

Chair: Lee-Sup Kim, KAIST, Daejeon, KoreaAssociate Chair: Masafumi Takahashi, Toshiba, Kawasaki, Japan

The demand to meet new standards within a tight power budget in emerging multimedia applicationsrequires highly integrated and power-optimized solutions.This session presents a variety of subsystems withadvanced multi-media features such as an H.264/AVC single-chip encoder for high-definition (HD) video, alow-power MPEG-4 advanced-simple-profile (ASP) audio/visual CODEC for HD applications, anH.264/MPEG-4 audio/visual CODEC for mobile applications, a stream processing unit of the CELL proces-sor, a triple-CPU mobile-application processor, a multimedia-applications processor for wireless devices,and an analog CMOS auditory filter bank, for speech recognition.

Paper 7.1 implements the first H.264/AVC encoder IC. A four-stage pipelined architecture and 1.3TOPSmotion estimators are used to achieve 720p HD video encoding (1280x720 @ 30 frames/s). The encodedvideo quality is competitive with reference software requiring 3.6 TOPS on a general-purpose processor-based platform.

An MPEG-4 video/audio CODEC for 720p HD mobile applications is presented in Paper 7.2. This is the firstimplementation to support MPEG-4 ASP, including B-VOPs. High-performance and cost-effective architectures are proposed.

Paper 7.3 presents an H.264/MPEG-4 video/audio CODEC LSI for mobile applications. This LSI adopts module-wise dynamic voltage/frequency scaling for the first time. It operates even during the voltage/frequency transition with no performance overhead, by using a dynamic de-skewing system and anon-chip voltage regulator with slew-rate control.

The micro-architecture of a 4-way SIMD stream-processing unit of the CELL processor is described in Paper7.4. It minimizes instruction latency and provides fine-grain clock control to reduce power. Software con-trols data movement and instruction flow, and improves data bandwidth and pipeline utilization.

In Paper 7.5, three CPUs, a DSP, and several accelerators are integrated into a single chip for digital TV,web browser, and 3D graphics applications. Several techniques to increase memory bandwidth and toreduce power consumption are also described.

A new generation of multimedia-application processors is presented in Paper 7.6. An efficient set of leakage-reduction techniques, including power gating, voltage scaling, and dual Vt are adopted to reducethe standby current.

Paper 7.7 presents an ASIC that emulates the inner ear using a bank of 100 exponentially distributed asym-metric band-pass filters. Output is encoded into an auditory-nerve-like pulsed format available at a digitalport.

Session: 7 [AP28] SESSION OVERVIEWSignal Processing

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

147

Session: 8 [AP32] SESSION OVERVIEW

Circuits for High-Speed Links and Clock Generators

Chair: Hirotaka Tamura, Fujitsu Laboratories, Kanagawa, Japan Associate Chair: Sung Min Park, Ewha Womans University, Seoul, Korea

The scaling of transistor expands the territory of CMOS high-speed links toward consumer electronics, andhigh-end communication applications. The data rate has already reached 10Gb/s, and is approaching40Gb/s. The keys to this technology trend are the continuous improvement in performance of component-level circuits and their integration. The papers in this session present progress in the performance and inte-gration levels of key components like MUXes, DEMUXes and clock generators.

The authors of Paper 8.1 present a 0.13'm CMOS 40Gb/s transmitter that is composed of a 16-to-1 MUX,and clock, and a PRBS generator. Shunt-and-double series-inductive peaking, and negative feedback, areused to enhance the bandwidth of the MUX. The 38.4Gb/s (231-1) PRBS transmitted eye has a differentialvoltage swing of 549mVpp, rise time of 14ps, and clock jitter of 0.65psrms, and 4.9pspp. A 4-to-1 MUX and a1-to-4 DEMUX in 90nm CMOS are described in Paper 8.2. The MUX and DEMUX operate from a 1.2V sin-gle supply, and draw 110mA and 52mA, respectively.

Distributed amplifier topologies realize the wide bandwidth that is needed to amplify high-speed serial sig-nals. In Papers 8.3 and 8.4, CMOS distributed amplifiers are described. An 80GHz distributed amplifier in90nm CMOS is featured in Paper 8.3. The amplifier exhibits 7.4dB gain with a 3dB bandwidth of 80GHz,using both a capacitor-coupled gate and low-loss coplanar waveguide. In Paper 8.4, a non-uniform down-sized distributed amplifier using 0.18µm CMOS transistors is discussed. The amplifier achieves a differen-tial forward gain of 7.8dB over a 25GHz bandwidth, and exhibits an IIP3 of +4.7dBm while drawing 30mAfrom a 1.8V supply.

High-speed serial links mandate reliable clock generation; the focus of Paper 8.5 is a 1V 24GHz PLL in0.18µm CMOS. The PLL uses transformer-feedback and a stacked frequency divider. The PLL provides anin-band phase noise of 106.3dBc/Hz at 100kHz offset, and an out-of-band phase noise of 119.1dBc/Hz at10MHz offset, while consuming 17.5mW and occupying an area of 0.55mm2.

The authors of Papers 8.6 and 8.7 present spread-spectrum clock generators for serial ATA that currentlysupports 3Gb/s serial transfer. Paper 8.6 describes a 0.15'm CMOS spread-spectrum clock generator thatuses a fractional PLL controlled by the )* modulator. The clock generator achieves a peak spurious reduc-tion level of 20.3dB, and a random jitter of 8.1ps in 250-cycle averaging period. A technique that uses 10multi-phase clocks and a )*,modulator is discussed in Paper 8.7.The deterministic jitter due to spread spec-trum is 25ps, and the amount of spreading exactly conforms to the serial ATA specification.

Wireline

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

148

Session: 9 [AP34] SESSION OVERVIEW

Switched-Capacitor Delta-Sigma Modulators

Chair: Willy Sansen, Katholieke Universiteit, Leuven, BelgiumAssociate Chair: Andrea Baschirotto, University of Lecce, Italy

In this session, several advances are presented in the design and realization of A/D converters, based on a)* modulator -)*M) implemented with switched-capacitor techniques.

The major developments are the implementation of low-voltage (0.6V) circuits, the use of 90nm CMOS tech-nology, the realization of wideband )*Ms, and the realization of two audio )*Ms (DR>100dB) in a 20kHzbandwidth.

The switched-RC integrator technique, which enables the operation of the )*M at 0.6V without any clock-boosting, bootstrapping, or switched-opamp techniques, is introduced in Paper 9.1. In addition, low-voltageoperation is enabled by the use of a feedforward topology to reduce the dynamic range at the integrator out-put node. These techniques are applied to a 2-2 MASH )*M that achieves 82dB DR in the audio band, with1mW power consumption.

In the next two papers, the authors report the implementation of two )*Ms in 90nm technology. The mainissue addressed in Paper 9.2 is that a large power reduction is achieved in a 2nd-order multibit )*M by trun-cating the quantizer output while digitally shaping and cancelling the relative error. The target of 58dB DR ina 2MHz bandwidth is achieved with good capacitor matching, which does not require the implementation ofany DEM circuitry. The second )*M in 90nm CMOS technology (Paper 9.3) reduces the power consump-tion by using a single-amplifier double-sampling 2nd-order 5b topology. The typical drawback of double-sam-pled structures is the path mismatch effects, which are alleviated by a proposed single-capacitor technique.This gives a 66dB DR in a 1.94MHz bandwidth, with1.2mW power consumption.

Wideband )*Ms with significant DR performance are reported in the next two papers. In Paper 9.4, a 2-2-0MASH structure (with a 3b last stage) is proposed to achieve a 100dB SNR in a 1MHz bandwidth.The powerconsumption of the complete ADC (including )*M core, input buffer, reference voltages, and decimator fil-ter) is 475mW from a dual supply (2.5V/5V).

In Paper 9.5, several background digital linearization and noise-cancellation algorithms are introduced toimprove the analog performance (in particular SNDR and SFDR) over a very large bandwidth. A 2-0 MASHstructure is used, where the 1st stage uses a 4b quantizer (with DEM linearization) and the 2nd stage uses a9b pipeline. The structure operates with an 80MHz sampling frequency, and achieves 75dB DR, and morethan 87dB SFDR, in a 10MHz bandwidth. The digital algorithms are demonstrated to not degrade the ana-log performance.

Finally, the same overall architecture, but with different implementations, is used in the last two papers. Theycouple an input continuous-time stage with a switched-capacitor 2nd stage, and a 17-level quantizer toreduce clock jitter, which affects DAC feedback in continuous-time systems.

A fully-differential structure is described in Paper 9.6. It focuses on a hybrid tuning circuit to keep the RCproduct constant across process, supply, and sampling-rate variations. In addition, the feedback DAC ISI iseliminated by an RTZ scheme applied on the error current of the CT integrator. This allows a significant106dB DR with 18mW power consumption (including decimator filter) in a 0.35'm CMOS technology.

An interesting solution for achieving high DR and high linearity with a single-ended input is proposed inPaper 9.7. The input stage includes an accurate CM control and a chopper structure. In this way, a 102dBSNR is achieved in the audio band with about 38mW power consumption in a 0.18'm CMOS technology.

Analog

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

149

Session: 10 [AP36] SESSION OVERVIEW

Microprocessors and Signal Processing

Chair: Georgios Konstadinidis, SUN Microsystems, Sunnyvale, CAAssociate Chair: Sung Bae Park, Samsung, Yongin-City, Korea

Microprocessor-frequency scaling is coming up against fundamental barriers that have the potential to limit future performance gains for traditional single-processor systems. In this session, new designs are pre-sented that incorporate extensive parallelism, or multiple processing units, to enhance overall chip performance, without the difficulties associated with higher clock frequencies. This trend is clearly illustrat-ed in this session, where all eight papers describe chips containing multiple processor cores of varioustypes. One of the most significant performance-limiting barriers is power consumption. Overheating andpower issues have plagued recent processors, causing frequency reductions or product cancellations. Newapproaches to exploiting one of the most promising techniques for power reduction, namely dynamic volt-age and frequency scaling, are discussed in this session.

Implementation of a next-generation Itanium® processor is described in Paper 10.1. It is comprised of twodual-threaded cores integrated on the same die with a 26.5MB cache in a 90nm. In addition to performanceimprovements, this design reduces susceptibility to soft errors, and improves power efficiency through low-power techniques and active power management.

The first-generation multi-core SoC CELL processor, described in Paper 10.2, combines eight streamingprocessors on a chip providing a high-performance platform for multimedia and streaming workloads Theseprocessors are designed with features specifically targeted for certain applications, saving power and areaby this narrower application focus. Implemented in a 90nm SOI process, the chip incorporates extensivepower- and thermal-management techniques.

The processor described in Paper 10.3 also follows the dual-core approach to improving performancethrough parallelism. This fourth-generation SPARC® processor combines two enhanced third-generationcores with expanded caches and an on-chip 2MB L2 cache. Fabricated in a 90nm technology, it operates at1.8GHz from a 1.1V supply.

Another approach described in Paper 10.4 (BlueGene/L) uses low-cost small power-efficient processors ina massively-parallel fashion. This complex SoC ASIC includes two processor cores, embedded DRAM,SRAM and custom logic, achieving a high-power/cost-performance trade-off, suited to its role as a buildingblock of IBM's BlueGene/L supercomputer.

Graphics and multimedia processing for portable and consumer applications are addressed in Papers 10.5and 10.6. An SoC targeting systems such as game consoles, digital television, and next-generation DVDplayers, is described in Paper 10.5. The 50mm2 chip, containing 17.9M transistors, is fabricated in 0.13'mCMOS. It integrates an ARM11 RISC processor with a dedicated 3D graphics pipeline, and supports analog-video outputs.

An IC that supports high-resolution graphics for mobile multimedia applications is discussed in Paper 10.6.This chip combines a RISC core with an SIMD graphics engine. Power-management techniques enablegraphics performance of 50Mvertices/s and 200Mtexels/s while dissipating 155mW in 0.18'm CMOS.

The trend toward single-chip multiprocessors for media applications is continued in Paper 10.7. It describesthe integration of four 8-way VLIW processors in a 122mm2 chip fabricated in 90nm CMOS.

Lastly, in Paper 10.8, multiple reconfigurable logic blocks are integrated with a VLIW RISC processor andreconfigurable I/Os to improve performance and power efficiency.

Digital

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

150

Ultra Wideband Solutions

Chair: Ali Hajimiri, California Institute of Technology, Pasadena, CAAssociate Chair: Tom Schiltz, Linear Technology, Colorado Springs, CO

The last decade has seen an exponential increase in the demand for higher bit rates for existing and emerg-ing applications. Until recently, this has been addressed by the gradual migration from low-speed dial-up tohigher-speed Ethernet and fiber. Unfortunately, these broadband solutions require a wired connection thatlimits users' mobility. Emergence of broadband high-quality video and audio applications as well as high-speed home and enterprise networking makes it highly desirable to achieve similar bit rates in a wirelessfashion. This has led to many efforts toward wireless networking solutions, e.g., 802.11x. Although they pro-vide wireless connectivity, most are limited to bandwidths of several tens of Mb/s. However, instantaneousbit rates as high as 1Gb/s are desirable in many applications. Such an increase in data-handling capacitynecessitates substantially more-aggressive approaches to signaling method, system design, and circuitdesign. Several approaches have been proposed to increase bit rates using broader bandwidths (e.g., 3 to10GHz) and/or moving to higher frequencies (e.g., 24GHz and 60GHz). Recently FCC's blessing of two newUWB standards, and the availability of several higher frequency ISM bands, will likely reinvigorate newefforts in the UWB arena. This session explores the most-recent developments in UWB, and offers a broadset of solutions, ranging from OFDM-based systems operating in the 3-10GHz band, to high-frequency mul-tiple-antenna systems taking advantage of the higher bandwidth and array gain.

The receiver chain and the frequency-generation block of an integrated UWB radio in SiGe BiCMOS are pre-sented in the first two papers. A wideband LNA, a mixer, and the IF blocks, with an overall NF of 7.5dB, arefeatured in Paper 11.1. The frequency-synthesis block is presented in Paper 11.2. Frequency hopping isachieved using two PLLs and a single-sideband mixer with a fast switching time of 1ns.

A broadband CMOS frequency synthesizer capable of switching between different frequencies from 3 to8GHz with 1ns settling time is described in Paper 11.3. A semi-dynamic regenerative frequency divider forOFDM-based UWB systems is featured in Paper 11.4.

A direct-conversion UWB receiver in SiGe BiCMOS that operates from 3.1 to 8.1GHz is reported in Paper11.5. It has a peak conversion gain of 52dB with a NF between 3.3 and 4.1dB. The receiver has an on-chipfrequency synthesizer generating the LO from 3.4 to 7.9GHz.

Multiple-antenna systems promise many performance improvements for broadband systems. The next twopapers explore the numerous possibilities for higher speed using multiple antennas. A 5GHz multiple-anten-na receiver implemented in 90nm CMOS is described in Paper 11.6. It can be used to extend the range ofexisting multiple-antenna systems. It has full 360° coverage, and achieves 6dB SNR improvement due to thearray gain.

A fully-integrated four-element 24GHz phased-array transmitter in 0.18'm CMOS with integrated PAs, is fea-tured in Paper 11.7. With a peak-to-null power ratio of 23dB and an effective isotropic radiated power (EIRP)close to 1W, it supports bit rates in excess of 500Mb/s, bringing us closer to a wireless gigabit Ethernet solu-tion.

The final two presentations demonstrate integrated UWB transceivers in CMOS. The DSSS UWB trans-ceiver in Paper 11.8 covers the 3.1 to 5GHz band with a programmable pulse-shaping circuit on the trans-mit side and a NF of 4dB in 0.18'm CMOS. Finally, a 0.13'm CMOS transceiver is presented, in Paper 11.9,that achieves a NF in the range of 5.5 to 8.4dB across the three UWB sub-bands.

Session: 11 [AP38] SESSION OVERVIEWWireless

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

151

Session: 12 [AP40] SESSION OVERVIEW

Optical Communications

Chair: Larry DeVito, Analog Devices, Wilmington, MA Associate Chair: Yusuke Ohtomo, NTT, Kanagawa, Japan

Communication using light signals over optical fiber holds great promise to lower costs for all data transportover both short and long distances. The huge bandwidth and favorable physical characteristics of opticalfiber beg to be exploited: In telecom networks, transmitting high-data-rate signals over long distancesbecomes practical; In computer systems, high-capacity interconnect, free from electromagnetic interferenceand awkward bulky copper cables, is enabled by parallel optics. But, of course, practical details limit cost-effective commercial solutions. In this session, several advances in the practical art of sending and receiv-ing optical signals, are presented. Inevitably, such advances will lead to exciting new cost-effective telecomservices and higher-performance computer systems.

A 10Gb/s laser driver with impedance-matched electrical output is presented in Paper 12.1. It enables theuse of a low-cost TO-can package for the laser. Previously, the hostile electrical characteristics of such alow-cost package have impaired the optical eye of the transmitted optical signal due to reflections of theelectrical-drive signal.

A step towards short-distance high-capacity interconnections within a single computer system, using paral-lel optical fibers assembled in a ribbon format, is addressed in Paper 12.2. A backplane comprising 48 par-allel channels, each operating at a data rate of 20Gb/s, is the goal of this laser driver. A low-cost vertical-cavity surface-emitting laser (VCSEL) has speed limitations that are overcome in this circuit by using pre-emphasis on the electrical-drive signal.

An astonishing new idea for long-haul telecom applications is described in Paper 12.3. Two optical carriersin quadrature are combined to compensate chromatic dispersion in the fiber: each optical carrier is modu-lated with a predistorted duobinary signal. This paper demonstrates the practicality of the high-speed signalprocessing needed for this system to transmit 10Gb/s data over 400km of fiber.

In Papers 12.4 and 12.5, a significant increase in the data rate of burst-mode communication for passiveoptical networks (PONs)is reported. This holds the promise of delivering high-data-rate connections to thehome. A 1.25Gb/s optical front end is demonstrated in Paper 12.4. It tolerates bursts of widely differentamplitudes and settles in 20 unit intervals(UIs). The first monolithic 10Gb/s burst-mode CDR with a lock timeof 5UIs is presented in Paper 12.5. These circuits both represent enabling technologies for low-cost PONimplementation.

A continuous-tuning CDR for the range of 12.5Mb/s to 2.7Gb/s is presented in Paper 12.6. Unaided acqui-sition of any data rate provides unprecedented flexibility in the provisioning of metropolitan-area networks.Alien data rates can now be transported over the public network without first being translated into a telecomstandard format. This, of course, will lower the cost for end users.

The final two papers in this session are short papers. A new peak-detector circuit idea that enables imple-mentation of very-fast offset compensation in a 3.125Gb/s optical-receiver limiting amplifier is introduced inPaper 12.7. The peak detector, implemented in 0.18'm CMOS, dramatically improves the trade-off betweensettling time and data-dependent jitter.

A positive-feedback boot-strap circuit to compensate the deleterious effects of both photodiode and ESDparasitic capacitances in a 2.5Gb/s fiber-optic transimpedance amplifier is shown in Paper 12.8. Inclusion ofESD protection provides more robust circuits, tolerant of real-world abuses.

Wireline

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

152

Session: 13 [AP42] SESSION OVERVIEW

Sensors

Chair: Euisik Yoon, KAIST, Daejeon, KoreaAssociate Chair: Navakanta Bhat, IISc, Bangalore, India

This session highlights recent advances in a variety of sensors that interface the electronics world with the natural world. Natural signals are converted into electrical signals using integrated sensing elementswith on-chip signal-conditioning electronics. System-on-a-chip mixed-signal integration enables on-chip calibration to achieve advances in higher accuracy, as well as to enable a significant amount of digital processing for intelligent decision making.

The papers in this session illustrate this process: How sensing elements can be realized in conventionalCMOS technologies for magnetic sensing, for finger-print sensing, for environmental sensing, for tempera-ture sensing and for inertial sensing.

There are multiple examples presented of the power of integrating a sensor with electronics: The first paper(13.1) realizes a smart CMOS temperature sensor with a 3+ inaccuracy of ±0.1oC. Advances in sensordesign combined with dynamic element matching and a low-offset ADC, lead to increased precision. InPaper 13.3 on a magnetic sensor, the ability to add coils along with an analog front end, enables a new ref-erence modulation and demodulation technique leads to in-situ calibration of the magnetic sensor element,to compensate for aging. In Paper 13.5, fully-integrated electronic calibration combined with four orthogo-nally coupled, 8-direction spinning-current Hall-plates, allows a solid-state compass. As described in Paper13.2, a single-chip CMOS magnetic rotary encoder integrates a split-drain MAGFET sensor array with on-chip mixed-signal electronics. As described in Paper 13.6, combining MEMS structures with )* closed-loopcircuitry enables a high-resolution accelerometer.

A prime example of the powerful consequence of combining sensor elements with electronics is provided inPaper 13.4, which describes a microsystem which is able to perform trace environmental monitoring ofheavy-metal contaminates such as lead, down to the level of 0.8 ppb.

Finally, there are two papers (13.7 and 13.8) that carry out on-chip image-enhancement preprocessing ona capacitive fingerprint-recognition sensor. In Paper 13.7, this is accomplished through the use of reconfig-urable column-parallel digital processors for adaptive filter operations; in Paper 13.8, the same task is donethrough an embedded 32-bit RISC micro-controller.

Imagers, MEMS, Displays

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

153

Low-Power Wireless and Advanced Integration

Chair: Robert Mertens, IMEC, Leuven, BelgiumAssociate Chair: Francis Jeung, Brand in Chip, San Jose, Ca

Low-power wireless technologies and advanced integration continue to open up new opportunities in a vari-ety of applications. In the field of low-power wireless technologies the main challenges are the further reduc-tion of the power and the continued system miniaturization. This session illustrates that innovative new con-cepts such as low power SoCs, on-chip antenna integration and more efficient rectifier ICs strongly con-tribute to meet these requirements. Additional challenges in the nano era include design for manufactura-bility of such miniaturized systems while maintaining the signal integrity. As we enter the era of ambient intel-ligence more electronic systems will be integrated on mechanically flexible substrates such as plastic andtherefore new integration technologies need to be developed.

A rectifier IC for wireless power transmission with low-input RF power is reported in Paper 14.1. The ICachieves a 950MHz signal rectification at -14dBm input power corresponding to a 10m-distance communi-cation. In Paper 14.2 a wireless SoC, integrating a dual 433/868MHz transceiver a RISC micro-controller,an SRAM, a sensor ADC and a power management unit is presented.

In Paper 14.3, the polysilicon gated-nanowires integrated into a CMOS process are reported. Memory cellsbased on this concept are among the very few reported functional hybrid CMOS-nanowire cells working atroom temperature, with very low energy consumption (tens of pWs) and excellent scalability.

Three-dimensional integration schemes are proposed in Papers 14.4, and 14.5. In Paper 14.4 a new 3D inte-gration scheme is proposed utilizing local wireless interconnect (LWI) and global wireless interconnect(GWI). LWI transfers pulses utilizing resonant coupling of spiral inductors, with 1Gb/s, 0.5ns delay and sev-eral mW power dissipation while GWI realizes over 10GHz clock with electromagnetic waves using inte-grated antennas through stacked chips. In paper 14.5 a wireless interface by inductive coupling is proposedthat achieves an aggregate data rate of 195Gb/s among 4 stacked chips in a package with a power dissi-pation of 1.2W.

The substrate coupling in a 90nm CMOS technology is analyzed for frequencies above 1 GHz. The impor-tant result is that combined device-level and circuit-level analysis is required for substrate integrity beyond1GHz.

In paper 14.7, a novel design for manufacturability method is presented to create manufacturable-by-con-struction designs. Silicon results show 3 to 12% improvement in the yield using this design method as com-pared to a traditional design flow.

An RF CMOS down-converter with integrated on-chip antennas communicating over free space up to 5mseparation is demonstrated in Paper 14.8.

Finally an 8b asynchronous microprocessor fabricated using 32,000 low-temperature-processed poly-siliconthin-film transistors, transferred onto a plastic substrate is described in Paper 14.9. It draws 180'A from a5V supply while operating at 500KHz. The power consumption is 30% of the synchronous counterpartaccording to the simulation.

Session: 14 [AP44] SESSION OVERVIEWTechnology Directions

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

154

Analog

ADCs, DC References, and Converters

Chair: Klaas Bult, Broadcom CorporationAssociate Chair: Venu Gopinathan, Texas Instruments

Power efficiency is a major concern for embedded ADCs in sub-micron CMOS technologies. The bulk of thissession highlights the progress made in addressing this problem in a wide range of Nyquist-rate ADCs. Thespeed of the ADCs presented in this session ranges from 1MS/s to 200MS/s, while their resolution rangesfrom 16b to 8b. The set of ADC papers is followed by a paper on a precision voltage reference with a largeprogrammable range and a low temperature coefficient. The session concludes with the presentation of aDC-DC converter having pseudo-continuous output regulation.

In Paper 15.1, a new ADC architecture enabling continuous digital background self-calibration, withoutinjecting a training signal or interrupting the signal path, is described. For a 16b 1MS/s cyclic-ADC, this algo-rithm reduces the required number of conversion cycles for self-calibration by 3 to 5 orders of magnitude.This is achieved without any additional analog circuitry.

The low-voltage operation required in 90nm CMOS technology is addressed by Paper 15.2, using switchedopamps and switched input buffers. Operating from a 1.2V supply, this ADC achieves a peak SNDR of52.6dB, while consuming 3.3mW of power. This performance is obtained without the use of boosted volt-ages.

A pipelined ADC with a power consumption that adapts automatically to the sample-rate is described inPaper 15.3. The power dissipation scales with the sampling rate by over 3 orders of magnitude, while main-taining a constant SNDR of 54 to 56dB over the full range. This is achieved by rapidly powering on and offthe opamps.

A judicious choice of the first-stage architecture of a pipelined ADC enables very good power efficiency, aspresented in Paper 15.4. A 10b 125MS/s ADC is demonstrated in 0.18'm CMOS technology, consumingjust 40mW of power.

In the final ADC paper of this session, Paper 15.5, a power-reduction technique is described. The reductionis achieved by shutting down the second-stage of a 2-stage opamp. The power efficiency is enhanced by anew rapid-recovery arrangement. This 8b 200MS/s ADC achieves state-of-the-art high-frequency perform-ance consuming just 30mW.

A precision voltage reference based on a floating-gate technology is presented in Paper 15.6. The referencehas a temperature coefficient of <1ppm/°C and an initial accuracy of 200'V. Unlike classical reference gen-erators, this circuit can output any voltage from 0.5V to 5V without loss in precision.

This session is concluded by Paper 15.7, where a regulated switched-capacitor DC-DC converter is pre-sented. A pseudo-continuous control enables continuous output regulation in all clock phases. This createsan attractive alternative to inductor-based DC-DC converters for low current applications, since thisapproach can be integrated on-chip.

Session: 15 [AP46] SESSION OVERVIEW

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

155

Clock Distribution and Power Management

Chair: Hoi-Jun Yoo, KAIST, Daejeon, KoreaAssociate Chair: Sohichi Miyata, Sharp, Chiba, Japan

Clock Distribution is an essential component of synchronous digital design. Papers in this session addresstechniques for the distribution of low-jitter high-quality clocks across large digital systems on a chip. The priority of power reduction in current processor designs is well-known. Power management, including methods to measure power and temperature on-chip.

Clock distribution in advanced SoCs is a major theme of the first three papers. Clock distribution and clock-frequency control of the 90nm Itanium® processor are discussed in Papers 16.1 and 16.2, respectively. A clocking scheme for on-chip interconnections is presented in Paper 16.3.

A region-based active-de-skewing clocking system to reduce PVT-related skew is described in Paper 16.1.It utilizes clock verniers to allow up to 10% clock-cycle adjustment, and supports a continuously-updatingfrequency with <10ps of skew across PVT changes. A clock-generator system generating fixed- and variable-frequency clocks for adaptive power control is reported in Paper 16.2. Its frequency synthesizersdivide the fixed-frequency PLL clock in 1/64th cycle steps to track supply-voltage transients, resulting in a10% improvement in CPU performance.

Periodically-all-in-phase clocking and a deterministic synchronous bus wrapper for synchronized data trans-fer among different frequency cores are introduced in Paper 16.3. It supports dynamic voltage and frequen-cy scaling to obtain a maximum of 60% power reduction and 1.5 to 2X throughput increase in MPEG-4decoding.

Advanced power-management schemes such as power switching, dynamic voltage-and-frequency control,and voltage-domain stacking are discussed in the final four papers. An interesting circuit scheme, enablingthe stacked domains to operate at multiples of the supply voltage without a voltage down-converter, is pro-posed in Paper 16.4. Operation at 3.6V is demonstrated in the 0.18'm CMOS circuit with 93% energy effi-ciency.

In the chip presented in Paper 16.5, the dynamic range of voltage scaling is extended below 300mV by including sub-threshold operation in a 90nm CMOS chip. This ultra-dynamic voltage scaling leads to a 9-times energy saving over an ideal shutdown scheme. Fine-grained power-switch circuits to reduce stand-by power by a factor of 5500 with a 5% speed penalty, are presented in Paper 16.6. A double-switch schemeavoids power-on glitches and reduces current spikes by 38%.

An embedded feedback-control system on a 90nm Itanium® processor is described in Paper 16.7. It inte-grates a small microcontroller, firmware, ADC, and thermal sensors to measure power and temperature. Inaddition, it modulates voltage and frequency to meet the given constraints.

Session: 16 [AP48] SESSION OVERVIEWDigital

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

156

RF Cellular ICs

Chair: David Su, Atheros Communications, Sunnyvale, CaliforniaAssociate Chair: Charles Chien, SST Communications, Santa Monica, California

At the current growth rate, the number of worldwide subscribers of cellular services is expected to exceed1.5 billion by 2007. Today, cellular services for voice communications are primarily based on 2G systems,such as GSM and CDMA. However, with the rising demand for data services, the emerging 2.5G and 3Gsystems such as EDGE/GPRS and UMTS/CDMA2000, will play an increasingly important role in enablingmultimedia services with feature-rich handsets. To support the enhanced functions in these handsets, RFcellular ICs must provide small-form-factor low-power solutions, while meeting stringent system-level com-patibility requirements.

The nine presentations in this session represent a snapshot of the recent advances in cellular integrated cir-cuits, ranging from highly-efficient power amplifiers to fully-integrated transceivers. It is shown in thesepapers that the continued scaling of silicon technologies can enable increased levels of integration andenhanced performance, while reducing overall system cost.

The first single-chip GPS receiver, that integrates both the baseband and RF functionalities in an advanced90nm CMOS technology, is presented in the Paper 17.1. As discussed in this paper, GPS functionality canbe integrated into a large digital system-on-a-chip solution.

RF buildings blocks for GSM are described in the next three papers. A very high-power GSM amplifier with36dBm output and 54% PAE in a 0.23'm silicon LDMOS technology is presented in Paper 17.2. A 0.18'mpolar-modulated CMOS GSM/EDGE power amplifier is featured in Paper 17.3. As an EDGE transmitter, thisPA can deliver 23.8 dBm with 22% PAE. A unique loop bandwidth-calibration technique for a fractional-Nsynthesizer is showcased in Paper 17.4. The calibration technique achieves better than 2 degrees rmsphase error.

The session continues with integrated transmitters and receivers. An all-digital GSM/EDGE transmitter inan advanced 90nm CMOS technology is demonstrated in Paper 17.5. This 1.2V 42mA polar transmitterconsists of a digitally-controlled oscillator with digital amplitude modulation. It meets the EDGE spectralmask with an EVM of 3.5%. As in Paper 17.1, the viability of scaling RF circuits in 90 nm CMOS technolo-gy is also demonstrated in Paper 17.5.

The first-published fully-integrated GSM/GPRS transceiver in a 0.18'm CMOS technology is presented inPaper 17.6. The quad-band transceiver features a low-IF topology with no external SAW filter. A 5th-ordercontinuous-time GmC filter with in-situ calibration, to achieve 2% tuning accuracy, is described in a com-panion paper (17.8).

Two direct-conversion receiver presentations round out this session. A 0.18'm CMOS direct-conversionUTMS down converter is presented in Paper 17.7. The down converter uses an LC filter to achieve a highIIP2 of 78dBm. A fully-integrated direct-conversion CDMA receiver in 0.25'm CMOS is described in Paper17.9. This receiver has a linearized LNA that enables a high IIP2 of 75dBm.

Session: 17 [AP50] SESSION OVERVIEWWireless

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

157

Session: 18 [AP54] SESSION OVERVIEW

High-Speed Interconnects and Building Blocks

Chair: Vadim Gutnik, Impinj, Inc., Newport Beach, CAAssociate Chair: Michael Green, University of California, Irvine, CA

The demand for speed and performance in broadband systems continues to increase. Circuit designers arerising to the task by designing high-speed high-performance ICs, even in standard CMOS or BiCMOSprocesses. Moreover, there is a strong motivation in the market to use the existing infrastructures (e.g., FR4-dielectric boards, multi-mode fiber, legacy connectors, etc.), even as bit rates continue to increase. Thus,more pressure mounts to overcome the non-idealities of the transmission channels. In this session, a num-ber of papers address this challenge: equalization circuits are presented in two papers, one for copper, onefor multi-mode fiber. Methods in which frequency modulation is employed to overcome speed limitations inthe silicon are described in two other papers. Challenges associated with testing state-of-the-art trans-ceivers, generating high-speed (PRBS), and measuring the data eye on-chip, are addressed in anotherthree papers.

Equalization methods used in 10Gb/s receivers are presented in Papers 18.1 and 18.2. The authors ofPaper 18.1 describe a 0.13'm CMOS implementation of an analog adaptive equalizer that can compensatefor the loss in up to 30 inches of transmission line on an FR4 board, while dissipating only 25mW. A 0.12'mCMOS implementation of a 7-tap FIR equalizer compensates for the dispersion in up to 600m of a multi-mode optical fiber. This circuit dissipates 325mW.

The 0.13'm CMOS 10Gb/s eye-opening monitor in Paper 18.3 operates from 1Gb/s to 12.5Gb/s, consum-ing 330mW from a 1.2V supply. This circuit maps the input eye to a 2-dimensional bit-error diagram with68dB mask-error dynamic range.

The authors of Paper 18.4 present an 80nm CMOS quad optical transceiver that transmits 10Gb/s per chan-nel over multi-mode optical fiber. Each driver consumes 2mW from a 0.8V supply, and a VCSEL requires7mA from a 2.4V supply. The receiver, excluding the output buffer, consumes 6mW from a 1.1V supply perchannel, and features a transimpedance gain of 10.1k

In Papers 18.5 and 18.6, wireless-circuit architectures are borrowed that are based on modulation tech-niques for enhanced broadband performance. Paper 18.5 uses frequency interleaving to provide less sen-sitivity to clock jitter in an interleaved-sampling architecture. This circuit, realized in a 0.25'm CMOSprocess, occupies 4mm2 and dissipates 1W. Paper 18.6 describes a technique in which the transmitted datasignal is modulated to create two independent high-speed channels. This circuit, realized in a 0.18'mCMOS, achieves an aggregate data rate of up to 3.6Gb/s per pin, and dissipates 92mW.

A 40Gb/s 27-1 PRBS generator using a SiGe BiCMOS process, is described in Paper 18.7. This designmakes use of a low-voltage logic family that allows 2.5V supply, and dissipates 550mW. Paper 18.8 pres-ents a 72Gb/s 231-1 PRBS generator using a SiGe process with a 3.3V supply. This circuit dissipates 9.28W.

Wireless

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

158

Session: 19 [AP56] SESSION OVERVIEW

Imagers

Chair: Abbas El Gamal, Stanford University, Stanford, CaliforniaAssociate Chair: Hirofumi Sumi, SONY Corp., Japan

This session highlights recent advancements in image sensors. Papers cover not only improvements inmainstream photographic and video applications, but also continue to demonstrate the extended range ofapplications that custom imagers can address.

Smaller pixels with good imaging characteristics are required for the mass markets of DSCs, camcorders,and camera phones. A CCD that contains the smallest pixel yet announced (1.56'm) and is optimized formobile still-image and video operation is described in Paper 19.1. The smallest pixel size for CMOS imagers(2.0'm) and a new approach for color filters that uses amorphous silicon to create interference-stack filtersis presented in Paper 19.2.

Three different high-dynamic-range techniques are presented in three papers from three different groups. A19.5b dynamic sensor that combines high-speed readout and multiple exposure times is presented in Paper19.3. A 100dB wide-dynamic-range sensor that uses one additional capacitor and one additional transistorper pixel to integrate the electrons that overflow during integration is described in Paper 19.4. In contrast,the sensor described in Paper 19.5 uses the leakage characteristics of the transfer gate during integrationto create a signal that is linear up to a threshold, and then logarithmic.

A 3D fabricated 1024x1024 sensor is described in Paper 19.6. It is created by combining the readout arrayon one wafer of SOI, with a 100%-fill-factor photodiode array through the use of a 2'mx2'm 3D via per pixel.This approach disconnects the sensing technology from the readout technology, and is an interesting direc-tion if it proves viable. A CMOS HDTV sensor, that uses a tapered reset technique to achieve low readoutnoise by design, is presented in Paper 19.7.

Three custom sensors have been developed for three different applications by three different groups, asdescribed in the final three papers of the session. The sensor described in Paper 19.8 was developed toaddress automatic target tracking and ROI extraction. The sensor consists of both an active pixel array forimaging, and a distributed horizontal and vertical passive pixel array for locating the target. A temporal-change threshold detector is presented in Paper 19.9 for use in low-power low-bandwidth surveillance-net-work applications. An array of single-photon avalanche diodes (SPAD), combined with a micro-scannerpackage, create an imaging system with an enhanced resolution of 64x64, as described in Paper 19.10. Itis used in a time-of-flight range finding application.

Imagers, MEMs, Displays

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

159

Session: 20 [AP60] SESSION OVERVIEW

Processor Building Blocks

Chair: Atila Alvandpour, Linköping University, Linköping, SwedenAssociate Chair: Fumio Arakawa, Hitachi, Tokyo, Japan

CMOS technology shows every sign of sustaining the capability of providing functional and reliable transis-tors far below 70nm channel length. However, utilizing this capability for higher integration levels and largerchips requires solutions to a number of challenges, including increased power consumption, increased sub-threshold and gate leakage currents, and increased interconnect RC delay. This session includes sevenpapers describing low-power high-performance circuit techniques for processor building blocks. Blocks thatare discussed include multipliers, adders, and register-file storage arrays. Intra-chip communication is alsoa critical component in leveraging the speed and performance of these functional units. The final paper ofthe session proposes a differential-signaling scheme as an alternative to classic repeater-based wiring.

The first three papers present efficient multipliers, always a high priority in microprocessor design. Theauthors of Paper 20.1 describe an 8GHz floating-point multiplier utilizing a limited-switch dynamic logic withpower consumption from 150mW to 1.8W for 2 to 8GHz operation. A 2's-complement multiplier fabricatedin 90nm dual-Vt CMOS is presented in Paper 20.2. The multiplier delivers 110GOPS/W at 1.3V with single-cycle operation at 1GHz. The design utilizes PMOS sleep transistors enabling ultra-low stand-bypower of 75'W. A double-precision multiplier for the 90nm SOI CELL processor presented in Session 10,is presented in Paper 20.3. The multiplier employs static partial-product compression utilizing replicated bitsfor 4.4GHz operation. In addition, an efficient clock-gating technique has been used to reduce the activepower.

In Paper 20.4, a 32b parallel prefix adder in 90nm CMOS is described, demonstrating the leakage-current-reduction capabilities of skewed CMOS logic, where high-Vt and thick-gate-oxide devices have been utilizedin performance-non-critical devices. The adder achieves sub-100nA device-leakage current and includes asingle-cycle-activation-from-standby mode. In addition, the adder data path includes improved sense-amplifier-based flip-flops and adapted latches.

The authors of Paper 20.5 present a dual-thread 18-port 128w x 82b FPU register file and a 22-port 128wx 65b integer register file on a dual-core Itanium® processor, utilizing embedded parity to provide soft-errordetection capability. In addition, the design integrates a charge-compensated thread switch and power-saving features, to operate at 1.1V and 400mW.

A method for copying memory cells to reduce the size of a 32b 64w 9-read- and 7-write-port pseudo dual-bank register file in 100nm CMOS is the focus of Paper 20.6. This has reduced the number of transistors in a memory cell by 70%, resulting in a register file, with 62% of the area of conventional register files.

Paper 20.7 describes circuit techniques for a bus-transceiver chip in 130nm CMOS using 10mm-long uninterrupted differential interconnects of 0.8'm pitch. The chip achieves 3Gb/s/ch using a pulse-width-pre-emphasis technique in combination with resistive termination with a power consumption of 6mW/ch froma 1.2V supply.

Digital

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

160

Session: 21 [AP62] SESSION OVERVIEW

RF Trends: Above-IC Integration and mm-Wave

Chair: Ernesto Perea, ST Microelectronics, Grenoble, FranceAssociate Chair: Christian Enz, CSEM, Neuchâtel, Switzerland

This session highlights two important RF trends: the integration of various devices above the IC togetherwith advanced CMOS and BiCMOS circuits, and the design of high-frequency (60GHz to 110GHz) wide-band communication circuits. On one hand, the design of wireless circuits including above-IC bulk-acoustic-wave (BAW) resonators and filters opens the door to highly-integrated transceiver architectures for multi-band and multi-standard applications. On the other hand, there is now confirmed interest in 60GHz circuitsspurred in part by opening 7GHz of unlicensed bandwidth around 60GHz. This band was traditionally thedomain of III-V compound semiconductors. However, aggressive scaling of CMOS technology, and theincreasing capabilities of SiGe technology, make feasible the fabrication of highly-integrated CMOS or SiGemm-wave circuits for data-communication applications.

The focus of the first four papers in this session is on the use of RF-MEMS for both increasing the integra-tion level and reducing the power consumption of RF transceivers. An RF receiver architecture that inte-grates a combination of on-chip high-Q inductors and tunable MEMS varactors, together with an array ofparallel cantilever-beam mixer-filters is described in Paper 21.1. All the MEMS devices are fabricated bymicromachining in a standard RF foundry. Paper 21.2 demonstrates, for the first time, the feasibility of inte-grating BAW devices above a 0.25'm BiCMOS wafer, and connecting them to the RF circuits below. Thenew technology is illustrated by the design of an RF front-end lattice filter operating at 2.14GHz for WCDMA.A similar above-IC filter appears in Paper 21.3 for the integration of a complete RF front-end used in a zero-IF receiver for WCDMA. The front-end is integrated in a 0.25µm BiCMOS SiGe:C technology. It achieves again of 31.3dB, a 5.3dB noise figure, and consumes a total of 36mW. The authors of Paper 21.4 take advan-tage of the high-Q of FBAR BAWs to implement a very-low-power super-regenerative transceiver for wire-less-sensor-network applications.The receiver operates at 2GHz and consumes only 450µW from a 1V sup-ply, while achieving a #100.5dB sensitivity at 5kb/s for a 10-3 BER. The circuit is implemented in a 130'mCMOS technology and uses off-chip FBARs.

The second part of this session begins with Paper 21.5, in which a thin-film Cu/BCB technology with inte-grated inductors, resistors, and capacitors, for the realization of high quality on-chip and in-package Si-based passives, is presented. Thin-film SiP and SoC inductor and transmission-line performances are com-pared. A 60GHz direct-conversion receiver is presented in Paper 21.6 that features folded microstrip linesrealized in 0.13'm CMOS, and consumes 9mW from a 1.2V supply. The receiver provides a voltage gain of28dB with a noise figure of 12.5dB. The cascaded multi-stage distributed amplifier presented in Paper 21.7is integrated in a 90nm CMOS technology, and achieves better than 7dB gain with a bandwidth of 70GHz,10dBm output at the 1dB input compression points and 6.4dB average NF from 1 to 25GHz.The first D-band(110 to 170GHz) CMOS push-push VCO implemented in a standard bulk 0.13'm CMOS process is pre-sented in Paper 21.8. It features a phase noise of #107.6dBc/Hz at 10MHz offset, and power consumptionof only 8.4mW. The first integrated BiCMOS phase-locked loop for 60GHz applications, featuring a meas-ured PLL lock range of 53.3GHz to 55.7GHz, is described in Paper 21.9.

Technology Directions

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

161

Session: 22 [AP64] SESSION OVERVIEW

PLLs , DLLs and VCOs

Chair: Roger Minear, Agere Systems, Allentown, PAAssociate Chair: Thomas Burger, Swiss Federal Institute of Technology, Zurich, Switzerland

The steadily increasing speed of chip-to-chip communication requires higher-performance PLLs, DLLs, andVCOs for high-frequency clock generation and clock recovery in multi-Gb/s transmitters and receivers.

Several key specifications must be considered in PLL design. First, PLLs must produce low phase noise, inorder to have superior jitter performance in receivers and transmitters. Second, the PLL must also consumeminimal power and area; clock generation and clock distribution are major contributors to overall power con-sumption.

Multi-phase PLLs for clock generation and clock distribution for multi-channel serial links are presented inPapers 22.1 and 22.3. The use of multi-phase PLLs in multi-channel serial links leads to very low power consumption and small area. Paper 22.1 includes a novel phase detector resulting in low phase jitter of <1psand a power consumption of only 20mW.

The PLL in Paper 22.2 uses a new charge-pump architecture to reduce the intrinsic deterministic jitter, lead-ing to 1.3ps rms jitter. The PLL occupies only 0.064mm2, while consuming only 15mW.

A self-biased PLL with current-mode filter for wide-frequency-range clock generation is presented in Paper 22.6. This PLL makes the bandwidth and jitter performance independent of the multiplication factor, temperature, and process variation. This is desirable for many applications, especially for multirate transceivers.

For very-low-jitter, PLLs with narrow bandwith, fully-integrated LC-VCOs are usually needed. A 44GHz differentially-tuned VCO in 0.12'm SOI CMOS technology is described in Paper 22.4. This VCO achieves aphase noise of #101.8dBc/Hz at 1MHz offset and consumes 7.5mW from a 1.5V supply.

Applications such as GSM and WCDMA require frequency synthesis with an absolute accuracy better than0.1ppm. DCXOs are very often used for this purpose. A DCXO that preserves the phase noise and stabilityof the crystal while permitting digitally-controlled tuning is described in Paper 22.5. Monotonicity of tuningand a step size of ~0.004ppm are achieved by a )*-modulation scheme.

DLLs have become an important component for clock synthesis. ADDLLs usually provide low power but suf-fer from large jitter. An all-digital DLL for clock deskewing in digital ICs is demonstrated in Paper 22.7. Itreduces power by nearly two orders of magnitude as compared with fast-lock mixed-mode DLLs, while alsoimproving jitter by 36%.

Wireline

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

162

Session: 23 [AP66] SESSION OVERVIEW

Wireless Receivers For Consumer Applications

Chair: John Long, Delft University of Technology, The NetherlandsAssociate Chair: Tony Montalvo, Analog Devices, Raleigh, NC

Silicon IC implementations of emerging digital TV and radio standards are promising smaller lower-cost TV-and radio-tuner chips enabling mobility and feature-rich consumer electronics. In the TV world, smaller andlower-power tuners offer attractions like picture-in-picture and mobility, while substantially driving downcosts. Digital terrestrial radios boost audio quality to CD levels and extend new features to the listener, whilesatellite radio promises truly nationwide coverage.

Advancements in TV tuners are highlighted in the first four papers in this session. TV tuners are well-knownfor their extremely challenging technical requirements for RF designers. The tuning range encompasseshundreds of channels, leading to dynamic-range, local-oscillator-phase-noise, and spurious-signal-rejectionrequirements that are perhaps unmatched in our industry. The desire to add a tuner to hand-held portabledevices, such as laptop computers and wireless PDAs, adds power consumption to the list of critical designrequirements.

The session begins with a tuner designed for the digital-video-broadcasting-handheld (DVB-H) standard.The IC tunes to channels between 470 and 862 MHz, while consuming just 240mW, and does not requireexternal filters. A tuner for a mobile standard called Universal-Mobile TV (UMTV), which is intended fordeployment in PDAs, PCs, and mobile phones, is presented in the second paper (23.2). This chip featuresa low-noise amplifier (LNA) that includes an automatically-tuned integrated tracking filter to reduce thedynamic range required in subsequent circuits, and a low-IF topology to eliminate external passive filtering.

The digital-video-broadcasting-terrestrial (DVB-T) standard has especially stringent phase-noise require-ments. A tuner for DVB-T implemented in CMOS, using a superheterodyne architecture to avoid the track-ing filters used in traditional tuners, is described in the third paper of our session (23.3). The chip includes14b ADCs and digital channel filtering to eliminate the IF SAW filter often seen in superheterodyne radioreceivers.

A tuner designed for both U.S. and European set-top boxes that employs a dual-conversion topology is pre-sented in Paper 23.4. It uses a low-IF in order to satisfy difficult image-rejection requirements by filtering inthe digital domain.

Tuners for digital broadcast radio # one satellite and one terrestrial # are presented in the next two papers.An IC designed to satisfy Eureka-147, which is a European digital-audio-broadcasting (DAB) standard, thatincludes backward-compatibility with standard FM broadcast radio is the subject of Paper 23.5. The IC con-sumes only 150mW and uses a low-IF architecture to eliminate external passive filtering.

In Paper 23.6, a radio tuner for XM, which is the North American satellite radio standard, is described. The2.3GHz received signal is converted to a low-IF using the superheterodyne topology. The low-IF allows on-chip filters to be used which eliminate bulky external filters required by earlier receivers designed for thisapplication.

In the final paper of the session, a receiver front-end for digital-video-broadcast-satellite (DVB-S) applica-tions is discussed. This chip uses a low-noise PLL to generate a 10.2GHz local oscillator that converts two12GHz satellite channels to an intermediate frequency. The level of integration realized with this IC is thehighest published to date for this application.

Wireless

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

163

Session: 24 [AP68] SESSION OVERVIEW

Baseband Processing

Chair: Albert Van der Werf, Phillips Research, Eindhoven, NetherlandsAssociate Chair: Yiwan Wong, ASTRI, Hong Kong, China

This Baseband Processing session covers ICs for a variety of digital communication systems ranging frompersonal-area networks to satellite communication. The reported advances in signal-processing technologyare in the area of error correction, increased application benefits of WLANs (802.11a/b/g), and ultra-wide-band communication. In addition, advances in integration offering high signal-processing performance, lowstandby power for cellular applications, and configurability for multiple standards, are also reported.Advanced channel-coding techniques utilizing low-density parity check (LDPC) codes are also reported, andapplied to both UWB and DVB-S2 applications.

A transceiver IC for impulse-radio UWB providing 62.5Mb/s is discussed in Paper 24.1. The IC consumes6.7mW and has a 2.72mm2 die area in a 0.18'm CMOS technology. An LDPC-COFDM-based transceiverIC for UWB is presented in Paper 24.2. The IC is capable of 480Mb/s consuming 523mW/575mW (TX/RX)and has a die size of 42.3mm2 in 0.18'm CMOS.

In Paper 24.3, a DVB-S2-compliant CODEC is presented including an LDPC CODEC. Two ICs are present-ed: one in 130nm and one in 90nm CMOS where the CODEC is integrated with ADC and demodulator. In130nm, the CODEC area is 49.6mm2 and consumes 1.5W at 90Mb/s; in 90nm CMOS, the CODEC area is15.8mm2 and consumes 700mW at 135Mb/s.

A reprogrammable EDGE-baseband and multimedia-handset IC integrating 6Mbit of embedded DRAM andprogrammable processors running at 156MHz is reported in Paper 24.4.The DRAM provides flexible instruc-tion storage allowing dynamic on-the-fly downloading of new multi-media applications. The IC measures57mm2 in 0.13'm CMOS, and draws 336mA for GPRS communication with multi media, and draws 690'Ain standby mode.

A multi-standard DSL central-office modem IC is presented in Paper 24.5. It supports 16 ADSL2+ modems,each running at 16Mb/s and configurable for multiple standards. The IC measures 145mm2 in 0.13'mCMOS, and consumes 2.7W from a 1.2V supply at 25°C.

A WLAN IC for video applications that supports PHY rates up to 108Mb/s and can handle 802.11a/b/g isdiscussed in Paper 24.6. The IC measures 51.9mm2 in 180nm CMOS, and consumes a maximum of 1.8W.In Paper 24.7 a wideband three-channel baseband and MAC processor for 802.11a/b/g is presented. TheIC occupies 70.6mm2 in 0.18'm CMOS, and consumes 2.1W when receiving three 54Mb/s channels simul-taneously .

Signal Processing

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

164

Session: 25 [AP70] SESSION OVERVIEW

Dynamic Memory

Chair: Hideto Hidaka, Renesas Technology, Itami, JapanAssociate Chair: John Barth, IBM, Burlington, VT

Dynamic memory technologies have recently made tremendous progress in high-bandwidth applicationsand continue to represent the cutting-edge of memory technology intended for high-speed and high-densi-ty uses.We continue to see exploration of non-conventional memories such as SOI and dual-ported DRAMs,and high-frequency and low-power embedded memory designs. Sophisticated statistical analysis of corre-lated process parameters enables more accurate modeling of worst-case boundaries of device variation.

Recently-explored scalable capacitor-less DRAM on SOI is examined in Paper 25.1. A new 128Mb designhighlights a new mode of cell operation employing charge-replenishing to enhance retention characteristics.A proposed high-capacity array architecture also provides a virtually-static RAM mode, reducing contentionby allowing refresh interrupts.

Over 300MHz random access performance is a norm in today's embedded-DRAM arena. The authors ofPaper 25.2 show that precise tuning of circuits drastically improves the sensing margins and enables322MHz random-cycle operation. An effective dual-port approach to realizing a 400MHz random-cycle timeis presented in Paper 25.3, which utilizes a shallow-trench-capacitor cell. Array noise is reduced by mini-mizing data-line swing.

Evolution of CAM is another promising aspect of expanding embedded-memory applications. A new AND-type CAM structure is described in Paper 25.4. It provides a power-efficient approach yielding a competitiveperformance advantage over conventional NOR- and NAND-type CAM configurations.

High-density 1T1C DRAM requires consideration of statistical design when dealing with multiple-billions oftransistors. This topic is addressed this year by Papers 25.5 and 25.6.

A new concordant design methodology and associated tool for statistically incorporating device fluctuationsinto the SNR analysis in DRAM arrays is proposed in Paper 25.5 to precisely predict the minimum operat-ing voltage. 1.4V operation in a 100nm gigabit-DRAM is demonstrated. This statistical approach is not limit-ed to memory design, but is also well-suited to standard logic design.

A 2Gb DDR2 SDRAM, using 80nm technology, is described in Paper 25.6. Effective use of triple-level metaland statistical circuit analysis realizes a manufacturable die to cover many practical applications.

A 20GB/s interface using a precise quadrature PLL, controlled by a newly-devised tetrahedral oscillator, ispresented in Paper 25.7. A 256Mb DRAM chip, implemented in an 80nm technology, incorporates a cas-caded pre-emphasis transmitter to enhance the signal integrity in the multi-GHz regime.

Memory

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

165

SRAM Memory

Chair: Alexander Shubat, Virage Logic, Fremont, CAAssociate Chair: Jinyong Chung, POSTECH, Pohang, Korea

Static Random Access Memories (SRAMs) have become increasingly important to a broad spectrum ofVLSI designs and applications, ranging from high-performance CPUs to low-power mobile handhelddevices. While technology scaling drives the density and performance of SRAMs, it also poses design chal-lenges in many technical areas. In this session, there is an array of papers that address some of the funda-mental issues in SRAM design and considers optimization schemes to meet various product needs. It cov-ers power and performance management, ultra-high-density SRAM design, low-voltage operation, reduc-tions in leakage current, and improvements in cell stability.

A 65nm CMOS 3GHz 70Mb SRAM is described in Paper 26.1.To achieve balanced power and performance,it introduces a dynamic-power switching scheme that allows the SRAM to integrate two different power sup-plies, based on the operating mode of the memory, whether read, write, or standby. In the column directionthe power-supply scheme uses various voltage levels to improve the cell read and write margins independ-ently, leading to a higher manufacturing yield.

A 256Mb synchronous-burst DDR SRAM design-based on a 0.16'm2 SRAM cell, using a stacked single-crystal silicon thin-film transistor, is introduced in Paper 26.2. The design adopts a hierarchical bitline archi-tecture to achieve optimal array efficiency with a robust sensing margin. A 280Mb/s data rate is achievedwith operating current of 17mA in a 61.1mm2 chip in an 80nm process technology.

Low-voltage operation of SRAMs is limited by static-noise-margin (SNM) degradation and loss of perform-ance due to higher-threshold transistors. These issues are addressed in Paper 26.3 by resorting to a 7-tran-sistor cell, thus allowing low-voltage operation at the expense of a 10% increase in area.

In addition to SNM in the read mode, the write margin is now limiting scaling. This topic is discussed inPaper 26.4, which uses write-margin expansion to achieve low-voltage operation.This expansion is achievedby VDD manipulation, and a special replica-tracking scheme. Operation at 450MHz is achieved with 7.8'A ofleakage standby current.

Leakage control is becoming of paramount importance in SRAM designs. In fact, leakage is perhapsdeemed to be the primary threat to SRAM scaling. A solution that offers 94% reduction in leakage, with only2% performance degradation, is described in Paper 26.5. The technique involves bit-cell ground control toreduce unwanted standby current.

Another important consideration in SRAM scaling is device-parameter fluctuation. This is specifically signif-icant when operating the device at very-low voltages, for example at 0.3V as described in Paper 26.6.Furthermore, at such low voltages, the soft-error rate needs to be significantly improved. The solution pre-sented uses a hidden ECC scheme that does not affect the access time.

SRAM caches continue to play a key role in supporting the improvements in microprocessor performance.Cache performance of 4.8GHz utilizing a 6-cycle pipeline and a 90nm SOI technology is described in Paper26.7. The largest on-chip SRAM-based cache memory reported to date is presented in Paper 26.8. The24MB level-3 cache memory operates from a 2GHz from a 0.8V supply while consuming 4.2W.

Session: 26 [AP72] SESSION OVERVIEWMemory

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

166

Session: 27 [AP74] SESSION OVERVIEW

Filters and Continuous-Time )*Converters

Chair: Raf Roovers, Philips, Eindhoven, The NetherlandsAssociate Chair: Bill Redman-White, Southampton, United Kingdom

Achieving the optimum trade-off between the complexity of filtering to restrict analog bandwidth and thedynamic range in the data-conversion function with the lowest power, remains a central issue in many mobileand communication applications. Traditionally, filtering has been used in conjunction with gain control to limitthe resolution bandwidth of the ADC, and hence the power consumption, but the partitioning is constantlychanging as ADC technology advances.

In this session, we see how these issues are addressed using CT techniques while still pushing forward thestate-of-the-art in low-voltage fine-geometry processes. CT noise-shaping can yield valuable savings inpower in a )*,,ADC, and can also provide useful attenuation of interferes before the first sampling function.We also see, here, new techniques to reduce the power and voltage demands for providing classical filterfunctions for communication systems.

A multibit quantizer inside a )*,ADC improves dynamic range, but the power needed for the internal quantizer grows rapidly with the number of bits. A 2MHz BW 3rd-order converter in 0.13'm CMOS for cellular receivers is described in Paper 27.1. Using an internal-tracking 4b ADC with only 3 comparators, theoverhead is reduced significantly. The 78dB dynamic-range requirement in a digital-TV receiver isaddressed in Paper 27.2, by incorporating some gain control within the converter itself by means of a vari-able SC feedback DAC. The 1.3MHz 1.2V 0.11'm single-bit design with internal mixer also gains some ben-efits in jitter immunity from this approach.

The next two papers are CT )*,designs in 90nm CMOS. Showing another approach to power saving, a 4th-order noise-shaper employing passive poles and only 2 op-amps, is presented in Paper 27.3. Operatingat 267MHz, the design consumes 5.4mW from a 1.2V supply. In Paper 27.4, the issue of excess loop delayin CT modulators is tackled by adding a digital-compensation branch at the output. Pseudo-differential OTAstructures are optimized to give an input-referred noise of only 9nV/.Hz for cellular-baseband applications.

Complex )*,architectures are gaining popularity for I/Q receiver processing. Optimization of the signal-transfer function against the needs of out-of-band signal rejection is the subject of Paper 27.5. The modi-fied feedback modulator with variable gain has almost 90dB dynamic range for only 4.7mW. In Paper 27.6,the prime goal is to attain a single-sided bandwidth of 23MHz. The DR of 72.5dB and 45dB image rejectionfor only 42.5mW, permit direct digitization in a low-IF WLAN receiver with minimal additional analog func-tions.

The concluding papers of the session show that low-power filter techniques also continue to advance. Anew technique that trades power and noise floor dynamically in a high-linearity GSM baseband filter path isintroduced in Paper 27.7. Unlike traditional filter/AGC schemes, DR is enhanced by adding parallel pathsso that impedance levels and kT/C noise are traded with power consumption. The 0.45 to 2.6mW 5th-orderfilters occupy 1.2mm2 per path in 0.18'm CMOS. Finally, in Paper 27.8, it is shown that useful self-tuningfilters can be realized at extremely low voltages without resorting to special device thresholds. Employing anew transconductor design with body bias tuning, the 135kHz filter achieves a DR of 57dB with only 0.5Vsupply.

Analog

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

167

Clocking and I/O

Chair: Dennis Fischette, AMD, Sunnyvale, CAAssociate Chair: Hannu Tenhunen, KTH Royal Institute of Technology, Stockholm, Sweden

Low jitter and low power are competing demands on the clock networks of systems running at GHz speeds.In addition, testability concerns require that low jitter be verified accurately, quickly, and at low cost. Thepapers in the first half of this session address high-speed clock generation, distribution, and testing.The sec-ond half of the session looks at three multi-Gb/s/pin I/O interfaces, and an innovative approach to interfac-ing today's deep-submicron chips with high-voltage legacy systems.

A multi-phase sampling system employing an analog DLL and two-level phase interpolation is presented inPaper 28.1. Redundancy and digital error correction reduce the effects of manufacturing mismatches by 6x,allowing sampling edges to be placed with 10ps accuracy. An analog on-chip jitter-measurement circuit thateliminates the need for a reference clock is described in Paper 28.2. It improves the measurement rangeand sensitivity using a combination of programmable delay elements and current sources, and reduces cir-cuit activity with an interleaving architecture.

In Paper 28.3, on-chip global clock-network wires are replaced by lossless package interconnects to dis-tribute a clock across a chip. The chip-package hybrid approach combines an on-chip DLL with package-level routing to reduce peak-to-peak clock jitter by more than 50%. In Paper 28.5, a differential global clock-network, based on distributed on-chip LC-tank oscillators, reduces jitter by an order of magnitude, whileusing three times less power at speeds ranging from 1.1 to 1.6GHz.

Pressing low-power concerns demand instantaneous clock frequency changes, a difficult feat for a PLL-based clock generator. A DLL-based clock generator for dynamic frequency scaling is described in Paper28.4. This 120MHz-to-1.8GHz clock generator in 0.35'm CMOS can change its output frequency in oneclock cycle with only 13ps peak-to-peak jitter at 1.3GHz.

In the second half of the session, high-performance I/O issues are presented. A 3Gb/s/pin performance fora 4-drop DRAM interface is demonstrated in Paper 28.6. Receiver equalization and digital offset-cancella-tion are employed, while a DLL loop with phase interpolation allows for data de-skew. In Paper 28.7, a pulsedAC-coupled receiver capable of 3Gb/s performance across a 15cm FR4 circuit-board interconnect with only15mW/pin and a 60mV input swing is presented. The receiver incorporates clock recovery from the datastream using a semi-digital DLL with phase interpolation. A parallel 6.4Gb/s/pin I/O interface with an aggre-gate bandwidth of more than 0.5Tb/s is described in Paper 28.9. The parallel I/O is incorporated into a first-generation CELL processor and must combat the twin challenges of floating-body effects in a 90nm SOICMOS technology, and reference-clock tracking.

In many applications, low-voltage circuits need to be interfaced to higher-voltage legacy circuits. The I/Obuffer design in Paper 28.8 tolerates 3x VDD input levels without gate-oxide reliability problems, using only 1xVDD transistors and a single VDD supply. A dynamic gate bias circuit auto-detects the received input-voltagelevels.

Session: 28 [AP76] SESSION OVERVIEWDigital

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

168

Session: 29 [AP78] SESSION OVERVIEW

RF Techniques

Chair: Mario Paparo, STMicrolectronics, Catania, ItalyAssociate Chair: Qiuting Huang, ETH Zurich, Switzerland

New applications for silicon-based IC technologies are in the process of being defined in frequency bandswell above 10GHz, including short-range automotive radar in the 24GHz ISM band, local-multipoint distri-bution systems (LMDS) at 28 to 30GHz (IEEE 802.16) for broadband WLAN, and multiple bands between10 and 66GHz. In the first paper in this session (29.1), on-chip transformers are used extensively in theimplementation of a 3-stage 21 to 26GHz power amplifier, implemented in 0.18'm SiGe BiCMOS. A maxi-mum power-added efficiency (PAE) of 19% and output power of +23dBm are achieved # a very competitiveresult for circuits operating at these frequencies. Quadrature VCOs, used extensively in monolithic silicontransceivers, are described in Paper 29.5. The design presented extends the frequency range of such cir-cuits beyond 30GHz, with very low quadrature phase error.

The trend towards wideband wireless transceiver circuits, for applications such as 3G cellphones and UWBsystems, is supported by the circuit developments presented in Papers 29.2 and 29.4. In Paper 29.2 a newarchitecture for D/A conversion is applied to a high-performance direct-digital I/Q transmitter chip imple-mented in 0.13'm CMOS. It realizes better than #43dBc LO leakage and #47dBc image rejection.Quadrature signal generation over a wide range of frequencies is of critical importance to the successfuldevelopment of UWB transceivers. In Paper 29.4, a quadrature VCO embedded in a feedback loop with asingle-sideband mixer and two frequency dividers is used to implement a quadrature LO source that is con-tinuously tunable over the 0.75 to 2.2GHz range. The ±20% tuning range of the VCO is extended to ±50%with only a 0.01mm2 increase in die area.

Continuing evolution in the wireless-equipment domain requires extended battery life, reduced weight, andlower cost. This has stimulated the development of low-power RF building blocks in silicon. In Paper 29.6,an LC-VCO in 0.18'm CMOS is described, that operates at 2GHz with #103dBc/Hz phase noise at 100kHzoffset and just 1mW power dissipation from a 1.25V supply. A low-power LNA and a quadrature VCO suit-able for the 4 to 6 GHz WLAN band in 0.18'm CMOS are proposed in Paper 29.3. The LNA uses a gm-boosting technique to reach a remarkable 7.6dBm IIP3 and 2.5dB NF, while drawing just 1.9mA from a 1.8Vsupply. The Q VCO utilizes a modified Colpitts-oscillator architecture, and exhibits a 20% tuning range witha phase noise of #127dBc/Hz at 1MHz offset, while consuming 8.6mW.

A state-of-the-art fully-integrated low-power direct-conversion receiver for the 2.4GHz ISM band in 0.25'mCMOS is described in Paper 29.10. The receiver is intended for low-bit-rate communication and includes acomplete frequency synthesizer. It draws a total of 9.5mA from a 1.8V supply, and occupies less that 1mm2

of silicon area.

The opportunity to integrate calibration circuitry together with a wireless transceiver is open to designersusing silicon IC technology. Two excellent examples of auto-calibration schemes are presented in this ses-sion. As described in Paper 29.7 over 50dB of image rejection is achieved when I and Q mismatch is adap-tively minimized in a 90nm CMOS receiver chip targeted for GSM applications. A gear-shifting algorithm isemployed for fast acquisition of the adaptive filter coefficients. As demonstrated in Paper 29.9, when appliedto a WLAN direct up-conversion transmitter, automatic calibration can realize over 40dB of sideband sup-pression without the need for external circuitry or a high-precision receiver.

It is well-known that flicker noise constrains the performance of CMOS transceiver circuits. Presented inPaper 29.8, is a mixer which employs a new method of reducing the effect of 1/f noise. Reduction by an orderof magnitude, with no penalty in power consumption, chip area, gain or, linearity is described. A prototypeGilbert-type mixer implemented in a 0.13'm CMOS is presented as a proof of the concept.

Wireless

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

169

Session: 30 [AP80] SESSION OVERVIEW

Displays and Biosensors

Chair: Tiemin Zhao, Reflectivity, Sunnyvale, CAAssociate Chair: Roland Thewes, Infineon Technologies, Munich, Germany

This session is the combination of two parts. The first part focuses on display technology and highlights amicrodisplay for portable electronics, and driver technologies for LCD TVs. The second part focuses onbiosensing, and highlights advanced approaches for neural-signal monitoring, and bio-molecule detection.

In Paper 30.1, the authors report a 360x200 CMOS-based microdisplay. It provides silicon light emitters foruse in conjunction with image intensifiers to meet the low-power requirement for portable electronics.

The authors of Paper 30.2 report the first panel-sized TFT-LCD scan driver with 768 outputs, which is use-ful for low-cost TFT-LCD modules for large-panel LCD TV applications.

An LCD column driver using a switched capacitor DAC is described in Paper 30.3. Each DAC performs itsconversion in less than 15's and draws less than 2.5'A.This architecture allows 10b independent color con-trol in a 17mm2 die, for the LCD television market.

The remaining papers deal with CMOS-based biosensor applications. In Paper 30.4, a three-dimensionalmicrosystem for monitoring neural activity on the basis of 256 sensor sites is described. Whereas data trans-mission in such systems represents a severe bottleneck if full analog-signal information is transferred, herean event-detection-based method decreases the volume of data by 92%.

Paper 30.5, a battery-driven low-power radio chip is described for a similar application area namely, FM-based wireless data transmission of neural signals. It requires only three off-chip components for operation.

In the final two papers, chips for detecting bio-molecules are described. In Paper 30.6 a chip is describedthat includes various sensors that operates in a sample solution with a wireless interface. Experimentaldetection of a DNA single nucleotide polymorphism is demonstrated.

Finally, in Paper 30.7, a 10x5 sensor array, fabricated in a 0.18'm CMOS technology, provides sensor sitesusing a variety of different detection principles. It demonstrates the versatility of an integrated sensors asenabling building blocks in important bioelectronics applications.

Imagers, MEMs, Displays,

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

170

Session: 31 [AP82] SESSION OVERVIEW

Mass Storage

Chair: David Parlour, Xilinx, Pittsburgh, PAAssociate Chair: Ram Krishnamurthy, STMicroelectronics, Cornaredo, Italy

Advances in materials and solid-state electronics have led to evolving standards for removable optical stor-age media which provide the consumer with cheap reliable read/write mass storage. The existing CD andDVD formats provide 650MB and 4.7GB capacity, respectively, while the emerging Blu-ray format offers25GB single-layer capacity with a minimum 36Mb/s transfer rate. This session presents a variety of solutionsto the challenges of supporting many formats spanning a wide range of data-transfer rates, and disk capac-ities, in a low-cost consumer setting.

In Paper 31.1, a DVD write channel IC, extracts additional performance from an existing format with a volt-age-folding technique. Applied to the VCO loop filter, this reduces gain while expanding tuning range, thusenabling support for 1x to 16x write speed. A DLL-based clock-recovery technique is described in Paper31.2. It has improved noise-rejection and jitter-accumulation characteristics as compared to those of imple-mentations using PLLs. The technique is demonstrated to operate over both Blu-ray and DVD PRML readchannels. A single device that can read and write multiple formats (CD, DVD, and Blu-Ray) is presented inPaper 31.3. This IC provides backward compatibility to today's popular standards, while extending Blu-rayperformance to 7x read and write, compared to the 2x speed reported at ISSCC 2004.

Signal Processing

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

171

Session: 32 [AP32] SESSION OVERVIEW

Advanced Array Structures

Chair: Eugenio Cantatore, Philips Research, Eindhoven, The NetherlandsAssociate chair: Koji Kotani, Tohoku University, Sendai, Japan

Large circuits, like stand-alone or embedded memories and addressing matrices for LED displays, that arebuilt as an array of devices, have a paramount importance in the electronics market.

Memory arrays have to face a number of technology and circuit challenges in order to keep pace with CMOStransistor scaling. These challenges are addressed in the first part of this session.

A detailed overview of the issues related to the scaling of conventional memories (DRAM, SRAM and Flash)and emerging memories (FeRAM, MRAM, and PRAM) towards nanometer scale size is presented in Paper32.1.

A 3T DRAM cell that combines very-low leakage with embedded gain is presented in Paper 32.2. This cellcan be potentially scaled down to nanometer dimensions, allowing low-power operation and a retention timelonger than 100ms, by using only 10 electrons per cell.

In the second part of the session, new large-area circuit concepts, based on organic- transistor technology,are presented. Organic transistors can be processed at low temperature on flexible and transparent sub-strates that can be integrated with displays or sensors to enable new applications.

A complete flexible display, based on an organic-transistor active matrix is presented in Paper 32.3. This dis-play embodies the concept of electronic paper and is addressed by integrated row drivers to reduce thenumber of interconnects and the display footprint.A functional prototype is presented.

A sheet-type scanner based on the integration of an organic photodiode array with an organic TFT-basedreadout circuit is described in Paper 32.4. The integration is achieved by stacking three flexible layers: onefoil with the image-sensor array with two foils containing the organic TFTs for the readout circuitry. A doubleword-line double-bit-line readout architecture allows a 5-fold improvement in speed and a 7-fold reduction inpower over claims reported earlier.

Technology Directions

© COPYRIGHT 2004 ISSCC–DO NOT REPRODUCE WITHOUT PERMISSION

172

NONOTESTES

!""##$%&&'

()*++,-*.*/+*$0/1*)2/.

3$#45621245+$47$(89.2:/1245

3$()*++$#4;<

!"#$%&%"#'(")(*+,-%!.!"#$%&%"#'(")(*+,-%!.&%"#&%"#

*/0.1,-0

2(&34(*5466(!789(:7(;7<<7=(>6(>?:4?@4@(:7(AB8:C54(:34(A7?:4D:E(3>F3G

<>F3:6E(B?@(87:4?:>B<(>H8BA:E(7;(67H4(7;(:34(8B8456(:7(I4(85464?:G

4@(>?(64<4A:4@(6CIJ4A:(B54B6E(B:(%''!!(KLLME(>?()4I5CB59(>?('B?

)5B?A>6A7N

2(",&.%#%#O(!"*P/%OQ& :7(%''!!(85466(HB:45>B<(>6(0.'P R

!! "#$%&'(%)(*+#,(%-#%$.(% -/0.%,&-('0&*1%+#23'04/-5%&67%'#3&*-358'((1

)0-/%-/(%8#**#)064%$67('.-&670649

" :/&-%3#$%)0**%,&06-&06%&-%*(&.-%#6(%'(8('(6+(%-#%;<<==%>??@%06

-/(%A#73%#8%3#$'%-(B-1%07(&**3%'(-&06064%-/(%7&-(%&67%*#+&-0#6C

D#'%7(-&0*1%.((%-/(%DEE:FE:G A(*#)C

" :/&-%3#$%)0**%2'#H07(%&%+#$'-(.3%DIJ%#8%3#$'%(B+('2-(7%2'(..

20(+(1%&67%2&'-0+$*&'.%#8%2*&+(,(6-1%-#%KLM5NOL5>>PM1%I--(6-0#6

;<<==%Q'(..%R(*&-0#6.C

)""&#"&0

2()57H(%''!!S6(87>?:(7;(T>4=E(:34(835B647<7F9(>?A<C@4@(>?(:34(I7D

I4<7=(AB8:C546(=3B:(=4(B:(%''!!(=7C<@(<>U4(97C5(54B@4563>8(:7

U?7=(BI7C:(:3>6E(:34(MK?@(B884B5B?A4(7;(%''!!E(7?()4I5CB59(V:3

:7(WL:3E(KLLME(>?('B?()5B?A>6A7N

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LOK

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LO@

*B8456X(!"#$%!"!%FGHCIJ 101"/P

HKL55%6MHNHKL55%6MHN

)<B63G14H759(!B8BA>:9(O57=6(B?@(O57=6

I.%)0**%A(% '(2#'-(7%&-% ;<<==%>??@1%A#-/%:#./0A&%&67%<&,.$64%/&H(%7(H(*#2(7%PTA%D*&./%Z(,#'0(.

$.064%&7H&6+(7%2'#+(..%-(+/6#*#40(.%&67C%,$*-05*(H(*%7(.046%-(+/60[$(.C%%Z$*-05*(H(*%.-#'&4(%&**#).%8#'

#6(% 2/3.0+&*%,(,#'3% +(**% -#% .-#'(% -)#% A0-.% #8% 7&-&1% (88(+-0H(*3% +$--064% -/(% +(**% &'(&% 06% /&*8C% % \#-/% PTA

7(H0+(.%$-0*0](%&%FIFW%D*&./%.-'$+-$'(1%)/0+/%0.%&%D*&./5Z(,#'3%-(+/6#*#43%)(**5.$0-(7%-#%,&..5.-#'&4(

&22*0+&-0#6.1%.$+/%&.%7040-&*%.-0**%+&,('&.%&67%ZQ^%2*&3('.C%%FIFW%D*&./%,(,#'31%$.064%.-&-(5#85-/(5&'-

2'#+(..%*0-/#4'&2/3%$-0*0]064%,$*-05*(H(*%-(+/60[$(.1%#88('.%&%*#)%A0-%+#.-%8#'%-/(.(%&22*0+&-0#6.C%

I.%)0**%A(%'(2#'-(7% 06%D(A'$&'31%6()%2'#+(..% -(+/6#*#43%&67%6()%+0'+$0-57(.046% -(+/60[$(.%/&H(%A((6

$.(7%-#%0,2'#H(%2'#4'&,,064%-0,(%A(3#67%-/&-%#8%+#,,('+0&**35&H&0*&A*(%,$*-05*(H(*%FIFW%D*&./%,(,#5

'0(.C% %:/(%<&,.$64%&67%:#./0A&%,(,#'0(.%&'(% 8&A'0+&-(7% 06%.$A5L??6,% -(+/6#*#431%M^6,%&67%O?6,1

'(.2(+-0H(*3C%%\#-/%7(.046.%$-0*0](%-'02*(5,(-&*%-'02*(5)(**%=ZE<C%%Z#'(%7(-&0*.%#8%-/(.(%7(H(*#2,(6-.%)0**

A(%'(H(&*(7%&-%;<<==%>??@1%&-%-/(%<&6%D'&6+0.+#%Z&''0#-1%06%D(A'$&'3C%

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LOM

*B8456X(!"& FGHCOJ 101"/P

HKL55%6MHNHKL55%6MHN

. ,54BU:357CF3(

>?()<B63(14H759(*45;75HB?A4

I-%;<<==%>??@1%06%D(A'$&'31%;6-(*%)0**%$6H(0*%@L>ZA%D*&./%Z(,#'3%06%&%N?6,%-(+/6#*#43C%%;-%0.%-&'4(-(7%8#'

+#,,$60+&-0#6.%&67%,$*-0,(70&%&22*0+&-0#6.%-/&-%7(,&67%A#-/%8&.-%+#7(%(B(+$-0#6%&67%8&.-%6#65H#*&-0*(

7&-&%.-#'&4(C%%:#%&77'(..%-/(.(%&22*0+&-0#6%6((7.1%;6-(*%(6406(('.%$-0*0](%&%FER%D*&./%,(,#'3%-(+/6#*#5

431%&*#64%)0-/%,$*-05*(H(*%-(+/60[$(.1%-#%'(&*0](%/04/52('8#',&6+(%'(&7%&67%)'0-(%-/'#$4/2$-%)0-/%&%*#)%A0-

+#.-C%:/(%,(,#'3%0.%+&2&A*(%#8%LMMZU]%+#6-06$#$.5A$'.-%,#7(%8#'%8&.-%+#7(%(B(+$-0#6C%%\'(&_-/'#$4/

+0'+$0-57(.046% -(+/60[$(.1%&*#64%)0-/%&% -(+/6#*#43% -/&-%#88('.% *#)5H#*-&4(%/04/52('8#',&6+(% -'&6.0.-#'.1

)('(%+#,A06(7%-#%&+/0(H(%-/0.%'(&7%2('8#',&6+(C%I.%)(**1%.046080+&6-%0,2'#H(,(6-%06%)'0-(%2('8#',&6+(

,&_(.%-/0.%D*&./%Z(,#'3%)(**5.$0-(7%8#'%8&.-%6#65H#*&-0*(%7&-&%.-#'&4(C%%I6%P`A%)'0-(%A$88('%0.%06+*$7(7%06

-/(%7(.0461%&*#64%)0-/%D*&./%+(**.%#2-0,0](7%8#'%*#)%+$''(6-%&67%8&.-%2'#4'&,,064C%%=#6+$''(6-%LMMZU]

'(&7%#2('&-0#6%&67%LC@Z\a.%2'#4'&,,064%#2('&-0#6%0.%#88('(7%8#'%,(,#'3%.$A.3.-(,.%-/&-%'([$0'(%.0,$*5

-&6(#$.%'(&7%&67%)'0-(%#2('&-0#6.C%%W(-&0*.%#8%-/(.(%7(H(*#2,(6-.%)0**%A(%'(H(&*(7%&-%;<<==%>??@1%&-%-/(

<&6%D'&6+0.+#%Z&''0#-1%06%D(A'$&'3C

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LOO

*B8456X('"#%()%'"* FGH78J Y%/0-%#0

HKL55%6MHNHKL55%6MHN

Z#0Y(-%)0()"/("-$(-%#['\Z#0Y(-%)0()"/("-$(-%#['\

Y0-/%+#6-06$064%&7H&6+(.%06%.0*0+#6%-(+/6#*#431%06+'(&.064%+*#+_%'&-(.%#8%2'#+(..064%+#'(.%7'0H(%-/(%6((7

-#%2$./%(*(+-'0+&*%06-('+#66(+-%.2((7.%-#%/04/('%7&-&%'&-(.C%I.%067$.-'35.-&67&'7%7&-&%'&-(.%2&..%^TAa.1

.046&*%7(4'&7&-0#6%8'#,%+/&66(*%(88(+-.%.$+/%&.%A&67)07-/%*#..1%'(8*(+-0#6.1%&67%+'#..-&*_1%+&6%70.-#'-%-/(

.046&*%-#%.$+/%&6%(B-(6-%-/&-%'#A$.-%7&-&%'(+#H('3%'([$0'(.%+#,2*(B%A&+_2*&6(%-'&6.+(0H('%7(.046.C%D#'

(B&,2*(1%*(4&+3%LTAa.%A&+_2*&6(%+/&66(*.%'(*3%#6%2#)('5(880+0(6-%&7&2-0H(%([$&*0]&-0#6%-(+/60[$(.%.0,5

0*&'%-#%-/#.(%$.(7%06%,#'(5+#6H(6-0#6&*%0,&4(5.+&*(%)0'(*06(%+#,,$60+&-0#6%+/&66(*.C%;6%&770-0#6%-#%A06&5

'3% 2$*.(5&,2*0-$7(%,#7$*&-0#6% bQIZ>c1% 6()%.046&*064% .+/(,(.%)0-/% A(--('% .2(+-'&*% (880+0(6+31% .$+/%&.

QIZK% b8#'% 8#$'5*(H(*% .046&*064c% &67% 7$#A06&'3% b#'% -/'((5*(H(*% .046&*064c1% &'(% #8% 06-('(.-% 8#'% 7&-&% '&-(.

(B+((7064%L?TAa.C%

I.% /04/*04/-(7%A3% .(H('&*% 2'(.(6-&-0#6.% -#% A(%,&7(%&-% ;<<==%>??@1% -/(.(% '([$0'(,(6-.% &'(% +$''(6-*3

A(064%&77'(..(7%A3%-'&6.+(0H('%7(.046.%$.064%QIZ>%.046&*064%06%?CL^',%=ZE<1%-&'4(-064%7&-&%'&-(.%$2-#%L?TAa.C%D#'%/04/('%7&-&%'&-(.1%7$#A06&'3%&67%QIZK%.#*$-0#6.%&'(%0,2*(,(6-(7%06%N?6,%=ZE<%&67%<E;

-(+/6#*#40(.C%

:/(.(%&22'#&+/(.% -#%(6&A*064%7&-&% '&-(.%$2% -#%>@TAa.1%)0**%A(%7(.+'0A(7% 06% -/(%.(..0#6%#6%A&+_2*&6(

-'&6.+(0H('.%&-%;<<==%>??@C%I**%#8%-/(.(%7(H(*#2,(6-.%0670+&-(%-/&-%#*75.-3*(%(*(+-'0+&*%06-('+#66(+-.%,&3

/&H(%&%,$+/%*#64('%*08(-0,(%-/&6%(B2(+-(7C%%I '&'(%20(+(%#8%4##7%6().d%%\$-%-320+&*%#8%,$+/%-#%A(%*(&'6(7

&-%;<<==d

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LOP

*B845X(+"# FGH77J &0!Q#"-"OP $%/0!&%"#'

HKL55%6MHNHKL55%6MHN

#0+/"0-0!&/"#%!'X(

&Q0(#0]&()/"#&%0/

;8%3#$%&'(%&%<-&'%:'(_%8&61%3#$%,&3%A(%8&,0*0&'%)0-/%W&-&1%-/(%&67'#07%e0($-(6&6-%=#,,&67('1%_6#)6%-#

A(%80'.-%&+-0H&-(7%06-#%#2('&-0#6%#6%D(A'$&'3%>1%>^^PC%<$'2'0.064*31%'(.(&'+/%A3%Q(-('%D'#,/(']%%#8%-/(%Z&B

Q*&6+_%;6.-0-$-(%06%T(',&631%,&3%*(&7%/$,&6.%-#%'(&*0](%.$+/%+&2&A0*0-0(.%A(8#'(%>^^Pd%I-%;<<==%>??@1

/0.% 2'(.(6-&-0#6% #6% 6($'#(*(+-'#60+.% )0**% 7(.+'0A(% /#)% 068#',&-0#6% (B+/&64(% +&6% A(% &++#,2*0./(7

A(-)((6%(*(+-'#60+.%#6%&%.0*0+#6%+/02%&67%+#6-&+-064%6($'#6.C

;68#',&-0#6%0.%-'&6.,0--(7%06%(*(+-'#60+%.3.-(,.%A3%(*(+-'#6.1%&67%06%6($'#6.%A3%0#6.C%\(064%&A*(%-#%&+/0(H(

068#',&-0#6%(B+/&64(%A(-)((6%-/(.(%-)#%7088('(6-%+/&'4(%+&''0('.%0.%&%.046080+&6-%+/&**(64(C%:/(%2'(.(65

-&-0#6%bKCLc%)0**%(B2*&06%&%-'$(%A'(&_-/'#$4/1%&%6($'#65+(**5-#5.(,0+#67$+-#'%+#66(+-0#6%-/&-%(6&A*(.%6($5

'#(*(+-'#60+%+#,,$60+&-0#61%&6%(B+/&64(%-/&-%0.%6#606H&.0H(%8#'%-/(%+(**.%&67%6#6+#''#.0H(%8#'%-/(%+/02.1

$.064% 2$'(*35+&2&+0-0H(% (88(+-.% &+'#..% -/(% 06-('8&+(C% <$+/% ,0+'#.+#20+% 06-('8&+064% 0.% 7(,#6.-'&-(7

A(-)((6% &% .0*0+#6% +/02% &67% .6&0*% 6($'#6.1% )0-/% -/(% 70&,(-('% #8% -/(% 06-('8&+(% f$6+-0#6% (.-0,&-(7% -#% A(

A(-)((6%L?%&67%L??%,0+'#6.C

D'#,/(']%/0,.(*8%'(-&06.%&%'(*&-0H(*3%+#6.('H&-0H(%H0()%#8%-/(%2#-(6-0&*%'(&+/%#8%/0.%'(.(&'+/9%I++#'7064

-#%/0,1%-/0.%)#'_%)0**%(6&A*(%+#,2*(-(%.2&-0#-(,2#'&*%,&22064%#8%A'&06%736&,0+.1%8&+0*0-&-(%*(&'6064%6(-5

)#'_.%#6%&%+/021%&67%&**#)%.3.-(,&-0+%.-$70(.%#8%,(,#'3%8#',&-0#6C%U#)(H('1%A&.(7%#6%/0.%&*'(&735.-&'5

-*064%A'(&_-/'#$4/1%/(%)0**%2'#H07(%&%+#,2'(/(6.0H(%70.+$..0#6%#8%-/(%2'#A*(,.%#8%6($'#(*(+-'#60+%06-('5

8&+064%8#'%8$-$'(%7(.046.%#8%.$+/%,0B(757#,&06%+0'+$0-.C%%:/0.%&67%#-/('%A0#(*(+-'#60+%-#20+.%)0**%A(%2'(5

.(6-(7%&-%;<<==%>??@1%&-%-/(%<&6%D'&6+0.+#%Z&''0#--C%06%D(A'$&'3C

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LON

*B845X(+",%FGH7PJ &0!Q#"-"OP $%/0!&%"#'

HKL55%6MHNHKL55%6MHN

!Q%*G'!.-0(.&"1%!(!-"!['

I-%;<<==%>??@1%,060&-$'(%&-#,0+%+*#+_.%)0**%A(%'(2#'-(7%bKC@cC%%<$+/%7(H0+(.%&'(%6((7(7%8#'%-/(%&++$'&-(

-0,064%#8%(*(+-'#60+%+#,,$60+&-0#6%.3.-(,.1%.$+/%&.%-/(%T*#A&*5Q#.0-0#6064%<3.-(,%bTQ<cC%%;6%.$+/%&22*05

+&-0#6.1%&++$'&-(%-0,064%0.%6((7(7%-#%2'(+0.(*3%+&*+$*&-(%70.-&6+(%&67%(.-&A*0./%*#+&-0#6C%%=$''(6-*31%-/(

-0,064%.3.-(,.%6((7(7%8#'%-/0.%-32(%#8%&22*0+&-0#6%&'(%H('3%*&'4(1%#8%-&A*(5-#2%.0](%b&22'#&+/064%L%+$A0+

,(-('c1%&67%+#6.$,(%*&'4(%&,#$6-.%#8%2#)('1%,&_064%/04/*35&++$'&-(%2#'-&A*(%&22*0+&-0#6.%70880+$*-C

:/(%2'(.(6-&-0#6%A3%'(.(&'+/('.%8'#,%-/(%X60H('.0-3%#8%Z0+/04&6%)0**%70.+$..%&%,060&-$'0](7%,0+'#.3.-(,1

.,&**('%-/&6%&%.$4&'%+$A(1%&67%+#6.$,064%#6*3%^?%,Y%#'%2#)('%b&22'#B0,&-(*3%#6(%-/#$.&67-/%-/&-%#8%&

+#,,#6% *04/-% A$*AcC% % :/(% .3.-(,% -#% A(% 2'(.(6-(7% +#6-&06.% &% /04/% 7(4'((% #8% 8$6+-0#6&*0-31% 06+*$7064% &

+(.0$,%&-#,0+5H&2#'%+(**1%g=<Ge *&.('1%2/#-#70#7(%7(-(+-#'1%2#*&'0]064%#2-0+.1%&67%&% -/(',&**350.#*&-(7

/(&-064%(*(,(6-%$.(7%-#%_((2%-/(%+(.0$,%&-#,.%06%-/(0'%H&2#'%.-&-(C%%:/(%7(,#6.-'&-0#6%#8%-/0.%-32(%#8

06-(4'&-0#6% 0.%/04/*3% 0670+&-0H(%#8% -/(%2#-(6-0&*% 8#'%2#'-&A*(%/04/52'(+0.0#6%(*(+-'#60+%+#,,$60+&-0#6%&67

2#.0-0#6064%.3.-(,.C

[49($4T4<78H4?:6(%?A<C@4X

Z0+'#(*(+-'#,(+/&60+&*%.3.-(,.%bZGZ<c%'(&*0]&-0#6%#8%&%+#,2&+-%&-#,0+5+*#+_%2&+_&4(%

06+*$7064%&%.(&*(7%,0+'#+/02%)0-/%&%+(.0$,5H&2#'%+(**C

;6-(4'&-0#6%#8%,$*-02*(%+#,2#6(6-.1%06+*$7064%%&%+(.0$,5H&2#'%+(**1%P@>%6,5g=<Ge *&.('1%2/#-#70#7(

7(-(+-#'1%2#*&'0]064%&67%8#+$.064%#2-0+.1%&67%-/(',&*5.-&A0*0]&-0#6%/(&-('%(*(,(6-.C

Q#'-&A0*0-3%&67%*#)%2#)('%bh^?%,YcC

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LP?

*B845X(+"* FGH7PJ &0!Q#"-"OP $%/0!&%"#'

HKL55%6MHNHKL55%6MHN

Y"/-$^'()%/'&()+--PG%#&0O/.&0$

101'(OP/"'!"*0

ZGZ<%0.%06+'(&.064*3%$.(7%06%&$-#,#-0H(%&22*0+&-0#6.C%Q'(..$'(%.(6.#'.%&67%&++(*('#,(-('.%8#'%&0'A&4

&22*0+&-0#6.%&'(%)(**5_6#)6%(B&,2*(.C%\$-1%8$-$'(%&$-#,#-0H(%&22*0+&-0#6.%)0**%'([$0'(%&6%06+'(&.(%06%2('5

8#',&6+(%&67%'(7$+-0#6%06%.0](%#8%ZGZ<%.-'$+-$'(.C%E6(%)&3%-#%&+/0(H(%-/(.(%4#&*.%0.%-#%,#6#*0-/0+&**3

06-(4'&-(%ZGZ<%)0-/%-/(%7'0H0641%+#6-'#**0641%&67%.046&*52'#+(..064%$60-.%06%&%.064*(%.0*0+#6%+/02C%:/0.%06-(5

4'&-0#6%)0**%'(.$*-%06%&%2/3.0+&**3%.,&**('%.3.-(,1%&67%-/('(A3%0,2'#H(%ZGZ<%2('8#',&6+(%7$(%-#%&%'(7$+5

-0#6%#8%-/(%2&'&.0-0+.%06/('(6-%06%(B-('6&*%b*&'4(5.+&*(c%+#66(+-0#6.C%<$+/%&%.3.-(,%,&3%&*.#%*(&7%-#%*#)('

+#.-C%E6(%#8%-/(%&22'#&+/(.%8#'%&+/0(H064%-/0.%*(H(*%#8%,#6#*0-/0+%06-(4'&-0#6%0.%-/(%8&A'0+&-0#6%#8%-/(%ZGZ<

7(H0+(.%#6%-#2%#8%&%2'#+(..(7%=ZE<%)&8('1%&**#)064%067(2(67(6-%#2-0,0]&-0#6%#8%-/(%=ZE<%&67%ZGZ<

2'#+(..(.C%:/0.%0,2*0(.%-/&-%-/(%ZGZ<%,$.-%A(%,&7(%&-%.$880+0(6-*3%*#)%-(,2('&-$'(.%bA(*#)%K??#=c1%.#

-/&-%-/(%$67('*3064%=ZE<%0.%6#-%7(4'&7(7C%;6%&%2'(.(6-&-0#6%bKCOc%&-%;<<==%>??@%-/0.%D(A'$&'31%0-.%\(*40&6

7(H(*#2('.%)0**%70.+$..%&%ZGZ<%43'#.+#2(%06-(4'&-(7%#6%-#2%#8%&%=ZE<%)&8('C%:/(%+#,A06&-0#6%2('8#',.

A#-/%70'(+-0#6&*%.(6.064%&67%068#',&-0#6%2'#+(..064C%;6-(4'&-0#6%#8%.(6.064%&67%2'#+(..064%,&_(.%-/0.%-/(

)#'*7i.%80'.-%.064*(5+/02%,060&-$'(543'#.+#2(%.#*$-0#6%/&H064%(B+(**(6-%2('8#',&6+(C

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LPL

'466>7?X(, FGH7QJ Y%/0-0''

HKL55%6MHNHKL55%6MHN

Z!1"'(Y%)%G"#G.G!Q%*\Z!1"'(Y%)%G"#G.G!Q%*\

_LKNW_LKNWW(!1"'('P'&01G"#G.G!Q%*W(!1"'('P'&01G"#G.G!Q%*

Z&..5+#6.$,('5,&'_(-%)0'(*(..%&22*0+&-0#6.%.(-%.-'0+-%'([$0'(,(6-.%#6%+#.-1%736&,0+%'&64(1%2#)('%+#65

.$,2-0#61%&67%-/(%6$,A('%#8%(B-('6&*%+#,2#6(6-.%$.(7%06%-/(%.3.-(,C%%:/(.(%+#6.-'&06-.%/&H(%&*'(&73

A((6%&22*0(7% -#%\*$(-##-/%+/02.1%&67% -/(% '(.$*-&6-% +/02.%/&H(%A((6%2'(H0#$.*3% '(2#'-(7C% %:/0.%3(&'1%&-

;<<==%>??@1%)(% .((% -/(.(% -'(67.%A(064%&22*0(7% -#%YeIF% ;=.1% &67% .0,0*&'% *(H(*.% #8% 06-(4'&-0#6%A(064

7(,#6.-'&-(7%06%YeIF%<#=.C%%=#''(.2#67064*31%,&63%#8%-/(%,#.-%06-(4'&-(7%.#*$-0#6.%&'(%0,2*(,(6-(7

06%7((25.$A,0+'#6%=ZE<%-(+/6#*#40(.%)/0+/%&**#)%-/(%8$**%06-(4'&-0#6%#8%-/(%ZI=%&67%-/(%QU" *&3('.%#6

-/(%.&,(%70(C%%:/(.(%/04/*35%06-(4'&-(7%*#)5+#.-%*#)52#)('%;=.%#2(6%-/(%7##'%8#'%-/(%&22*0+&-0#6%#8%YeIF

;=.%-#%,&63%6()%(,A(77(7%&67%6#65(,A(77(7%&22*0+&-0#6.1%&67%$*-0,&-(*3%-#%&%.(&,*(..*3%+#66(+-(7

)#'*7C% %YeIF5(6&A*(7%2'06-('.1%+&A*(%,#7(,.1%.(-5-#25A#B(.1%7040-&*%+&,('&.1%+(**%2/#6(.1%&67%4&,(

+#6.#*(.1%&'(%f$.-%&%8()%(B&,2*(.%#8% 06-(4'&-0#6%#8%Y0D0% 06%H&'0#$.%-32(.%#8%+#6.$,('%(*(+-'#60+.C%%:/(

/04/5*(H(*%#8%06-(4'&-0#6%#8%.$+/%&%.3.-(,5#65+/02%+/&64(.%-/(%(+#6#,0+.%#8%Y0D0%.#*$-0#6.1%&67%(6&A*(.

-/(%+#6-06$(7%2'0+(%'(7$+-0#6%#8%Y0D05(6&A*(7%+#6.$,('%(*(+-'#60+.C

I-%;<<==%>??@1%06%D(A'$&'31%I-/('#.%)0**%2'(.(6-%-/(%,#.-%06-(4'&-(7%P?>CLL4%<#=%2$A*0./(7%-#%7&-(%j@C>kC

:/('(%&'(%,&63%&'+/0-(+-$'(.%-#%&+/0(H(%.$+/%&%.3.-(,1%%I-/('#.%$-0*0](.%&%.*070645;D%.$2('5/(-('#736(

.-'$+-$'(1%)/0+/%(*0,06&-(.%#6(%#8%-/(%#65+/02%2/&.(5*#+_(7%*##2.%'([$0'(7%06%-'&70-0#6&*%.$2('5/(-('#736(

'&70#.C% %:/0.%<#=%06+*$7(.%-/(%RD1%&6&*#41%IW=1%WI=1%ZI=%&67%QU" 8$6+-0#6.% 06%&%.064*(%=ZE<%70(C

:/0.%'(+(0H('%&+/0(H(.%.0,0*&'%.(6.0-0H0-3%&.%2'(H0#$.*3%2$A*0./(7%8#'%,$*-05+/02%&67%*(..506-(4'&-(7%.#*$5

-0#6.C%%:/(%-'&6.,0--('%0.%+&2&A*(%#8%-'&6.,0--064%lK7\,C%%:/(%70(%#++$20(.%&6%&'(&%#8%KL%.[$&'(%,0**0,(5

-('.C%%%%

I6%$62'(+(7(6-(7%*(H(*%#8%06-(4'&-0#6%0.%7(,#6.-'&-(7%A3%&%70'(+-5+#6H('.0#6%=ZE<%P?>CLLA%<#=%-#%A(

2'(.(6-(7%&-%;<<==%>??@%A3%0-.%7(H(*#2('.%&-%\'#&7+#,%j@C^kC%%:/(%70'(+-5+#6H('.0#6%&'+/0-(+-$'(%$-0*0](7

06%-/0.%<#=%&**#).%8#'%H('3%.,&**%.0](%&67%*#)%2#)('%+#6.$,2-0#61%A$-%0.%[$0-(%70880+$*-%-#%7(.046C%%:/0.%<#=

06-(4'&-(.%&**%#8%-/(%'&70#%A$0*7064%A*#+_.%06+*$7064%-/(%QI1%*##2%80*-('1%&67%-/(%&6-(66&%.)0-+/%&*#64%)0-/

-/(%&6&*#4%.(+-0#6.1%IW=.1%WI=.%&67%&**%#8%-/(%7040-&*%QU" &67%ZI=%A*#+_.C%%:/0.%<#=%/&.%&6%&6-(66&

&.%0-.%062$-%&67%7040-&*%A0-.%b-#%-/(%/#.-%2'#+(..#'c%&.%0-.%#$-2$-d%%:/(%'(+(0H('%&+/0(H(.%&%.(6.0-0H0-3%.0,05

*&'% -#%)/&-%/&.%A((6%7(,#6.-'&-(7%A(8#'(% 06%,$*-05+/02%&67%.046080+&6-*3% *(..% 06-(4'&-(7%.#*$-0#6.C% %:/(

-'&6.,0--('%0.%+&2&A*(%#8%-'&6.,0--064%&%6#,06&*%#$-2$-%2#)('%#8%lL^7\,C%%:/(%70(%#++$20(.%&6%%&'(&%#8

^>C>%.[$&'(%,0**0,(-('.C

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LP>

'466>7?6X(&$%-$%!*%FGH7B:%PQ:%RQJ .#.-"O

PRESS COPYPRESS COPY

Sigma-Delta GrSigma-Delta Groowsws

THE BTHE BAATTLE OF TTLE OF THE SIGMA-DELTHE SIGMA-DELTTA ADCSA ADCS

While Sigma-Delta Analog-to-Digital Converters have been considered to be a mainstream technology fornearly two decades, the 2005 International Solid-State Circuits Conference (ISSCC) will set a new stan-dard, with more than two sessions dedicated to such converters featuring oversampling techniques.

Switched-Capacitor circuit technology has been the long-standing favorite for implementing Sigma-DeltaADCs, and there are several examples which push the state-of-the-art in this area, extending the band-width to 24MHz for wireless-LAN applications, and pushing the technique onto 90nm processes for inte-gration in SoCs.

An alternative technique is to implement the Sigma-Delta modulator in the continuous-time domain.ISSCC 2005 will feature a full session dedicated to these architectures, adapted to a wide variety of appli-cations. The Continuous-Time Sigma-Delta A/D converters post some very remarkable power-efficiencynumbers(the special strength of this approach.

Rounding out the attractions for the Sigma-Delta fans are two Digital-to-Analog Converters implementedwith Sigma-Delta techniques.

Overall, one can conclude that Sigma-Delta converter techniques have now expanded beyond their tradi-tional low-speed/high-resolution/audio niche to become a pervasive technology touching a broad range ofevolving applications.

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LP^

Sessions: 6, 9, 15, 27 FGH7B:%PQ:%QB:%RQJ ANALOG

PRESS COPYPRESS COPY

VVOLOLTTAAGE AND GE AND TECHNOLOGY TECHNOLOGY CONTINUE CONTINUE TTO DRIVE ANALOG-CIRCUITO DRIVE ANALOG-CIRCUIT

INNOINNOVVAATIONTION

Integrated-circuit technology continues to drive itself down to finer and finer features, allowing more andmore transistors to be packed onto a single chip. For digital circuits, the benefits are great(more inte-gration, higher clock rates, and more processing muscle. With each generational advance in lithography,however, power-supply voltages are reduced. This presents a particular challenge to the analog-circuitdesigner, who is constantly battling to maintain her/his sensitive signal above the "noise floor".

In some cases, the analog circuitry can be moved to a separate chip with higher supply voltages, imple-mented in older technology. In other cases, however, the need to totally integrate is compelling: analogcircuits that can operate on supply voltages below 1V are required. Furthermore, these circuits must copewith other special challenges posed by fabrication processes at 90nm, and below: high leakage currentsand low gain, for example.

Much of today's analog-circuit innovation is directed toward solving the resulting problems. Correspondingly, presentations at ISSCC 2005 will reflect some of the advances in circuit techniques for very-deep-submicron design. In view of the difficulty of deep-submicronanalog design, architectures where digital complexity can be added in exchange for simplification of theanalog design are favored. Accordingly, Sigma-Delta converters are popular. But the Conference will alsoexplore effective more-analog implementations of high-speed pipelined A/D converters in 90nm CMOS, aswell as integrated filters. Such presentations show that, while their design is challenging, analog circuitsare certainly possible in 90nm technology!

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LPK

'466>7?X(& FGH7BJ .#.-"O

HKL55%6MHNHKL55%6MHN

,0&&0/(,0&&0/(.#$().#$().'&0/($.!'X(.'&0/($.!'X(

/.$%"(&/.$%"(&"("(.+$%".+$%"

Advances in signal processing are often measured by increasing speed (or bandwidth) and increasingdynamic range (or resolution). Digital-to-Analog Converters (DACs) are a key element in the signal chain,taking the signal from the digital domain back to the "real world" of analog signals. In many cases, theDAC can be the ultimate performance-limiting link in the signal-processing chain.

This year, ISSCC features an entire session dedicated to DACs, establishing new benchmarks in performance. In the high-speed arena, the emphasis is on DACs that can directly synthe-size radio signals, a critical component in bringing more and more of the radio functionality into the dig-ital domain. At ISSCC 2005, DACs with clock rates up to 22gigasamples per second will be presented,DACs with the ability to directly synthesize signals up to 1GHz and beyond(critical for cellular telephonyand emerging broadband radio applications.

At the other end of the spectrum, Sigma-Delta techniques used in the new generation of high-performance Class-D audio amplifiers, provide a technique whereby a specially-processed digital signal directly drives the loudspeaker. A special version of this technique presented at ISSCC 2005. As usual, this year, ISSCC is the Conference at which innovation in electron-ics, whether audio or radio is normal fare!

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LP@

*B8456X(*"# FGH7IJ '%O#.- */"!0''%#O

HKL55%6MHNHKL55%6MHN

/0.-G&%10(Q%OQG$0)%#%&%"#(QNKV`(a%$0"/0.-G&%10(Q%OQG$0)%#%&%"#(QNKV`(a%$0"W$(% -#% 0-.%/04/%+#,2'(..0#6%+&2&A0*0-3%&-% *#)%A0-% '&-(.1% -/(% *&-(.-% H07(#%.-&67&'71%UC>MKaIg=% 0.%A(+#,064%&6

0,2#'-&6-%+#,2#6(6-%06%-/(%+#,,$60+&-0#6%&67%+#6.$,('5(*(+-'#60+.%067$.-'3C%%%UC>MKaIg=%7(+'(&.(.%A0-%'&-(.

A3%>@m%-#%K@m%&67%@?m%-#%O?m%)/(6%+#,2&'(7%)0-/%ZQGT5K%I<Q &67%ZQGT5>1%'(.2(+-0H(*3C%ZQGT5>%/&.

A((6%&7#2-(7%8#'%WgW%&22*0+&-0#6.1%&67%ZQGT5K%0.%)07(*3%$.(7%06%+(**$*&'52/#6(%(6H0'#6,(6-.C%%UC>MK%(,2*#3.

&7H&6+(.%06%06-'&5%&67%06-('52'(70+-0#61%&.%)(**%&.%H&'0&A*(5A*#+_5.0](%,#-0#6%(.-0,&-0#61%-#%2'#H07(%*#)('%A0-%'&-(.C

:/(.(%6()%8(&-$'(.%)0**%(6&A*(%-/(%2#..0A*(%2'(.(6-&-0#6%#8%/04/57(8060-0#6%7040-&*5H07(#%70.+%bUW5WgWc%&67%7045

0-&*5H07(#%A'#&7+&.-064%#6%/&67/(*7%-(',06&*.%bWg\5UcC

:/0.%3(&'1%&-%;<<==%>??@1%F&-0#6&*%:&0)&6%X60H('.0-3%)0**%'(2#'-%bOCLc%-/(%80'.-%0,2*(,(6-&-0#6%#8%&%/04/57(8060-0#6

.064*(5+/02%UC>MK%H07(#%(6+#7('C%:/(%(6+#7('%+#6-&06.%&%,&06%+#6-'#**('%&67%80H(%(6406(.%8#'%06-(4('%,#-0#6%(.-05

,&-0#6%b;ZGc1%8'&+-0#6&*%,#-0#6%(.-0,&-0#6%bDZGc1%06-'&%2'(70+-0#6%b;Qc1%(6-'#23%+#7064%bG=c1%&67%7(A*#+_064%bW\cC

:/(%+#'(%.0](%#8%-/(%+/02%0.%^LCO>.[$&'(%,0**0,(-('.%$.064%?CLP%,0+'#6%=ZE<%-(+/6#*#43C%;-%+#6-&06.%N>^`%*#40+

4&-(.%&67%^@`\%<RIZC%Q#)('%70..02&-0#6%0.%@PL,Y%8#'%WL%H07(#%b"XgK>?1%O>?BKP?1%^?82.c1%&67%0.%OP@,Y%8#'

UW:g%O>?2%H07(#C

n$.-%&.%ZQGT5>%/&.%A((6%&7#2-(7%8#'%WgW%&22*0+&-0#6.1%UC>MK%)0**%*0_(*3%A(%&7#2-(7%8#'%UW5WgW1%\Wb\*$5'&3

W0._c1%&67%#-/('%/04/5[$&*0-3%UW5H07(#%&22*0+&-0#6.1%-#%A(+#,(%-/(%6(B-54(6('&-0#6%H07(#%.-&67&'7C%%=*(&'*31%-/0.

7(H(*#2,(6-%8'#,%:&0)&6%'(2'(.(6-.%&%_(3%,0*(.-#6(%8#'%-/(%UC>MK%.-&67&'7C

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LPM

'0''%"#X(. FGHP7J Y%/0-%#0

HKL55%6MHNHKL55%6MHN

&/0#$'(%#(Y%/0-%#0&/0#$'(%#(Y%/0-%#0

!"11+#%!.!"11+#%!.&%"#&%"#

Z##'(i.% e&)% ,&'+/(.% #6d% % <$+/% 0.% -/(% +#6+*$.0#6% -/&-% +&6% A(% 7'&)6% A3% (B&,06064% 2('8#',&6+(%

+/&'&+-('0.-0+.%#8% 06-(4'&-(75+0'+$0-.%&67%.3.-(,.% 06-'#7$+(7%#H('% -/(%3(&'.%&-% ;<<==C% -/(% ;6-('6&-0#6&*

<#*075<-&-(%=0'+$0-.%=#68('(6+(1%&67%8#'(,#.-%4*#A&*%8#'$,%8#'%.$+/%7(H(*#2,(6-.C

I.%=ZE<%8(&-$'(%.0](%'(*(6-*(..*3%70,060./(.1%06+'(&.064*35+#,2*(B%&67%,#'(5%06-(4'&-(7%.3.-(,.%/&H(

A((6% 2#..0A*(C% =#''(.2#67064*31% 06% -/(% &'(&% #8% )0'(7% +#,,$60+&-0#61% -/(% (*(+-'0+&*% &67% #2-0+&*%

06-('+#66(+-0#6% A(-)((6% +/02.1% #H('% A&+_2*&6(.1% &67% A(-)((6% .3.-(,.1% =ZE<% &.+(67.1% #H('-&_064

\0=ZE<1%<0T(1%&67%T&I.C%%:/0.%+/&64(%/&.%A((6%7'&,&-0+1%A3%&63%,(&.$'(C%%D#'%(B&,2*(1%-/(%'(+(6-

06+'(&.(%06%-'&6.,0..0#6%.2((7%8'#,%MC>@TAa.%-#%K?TAa.%,&_(.%0-%6#)%2#..0A*(%-#%-'&6.8('%-/(%(6-0'(%+#65

-(6-%#8%&%WgW%)0-/06%&%.(+#67d%%<$+/%+/02.%&.%-/(.(1%-#%A(%7(.+'0A(7%&-%;<<==%>??@%&-%-/(%<&6%D'&6+0.+#

Z&''0#--1%06%D(A'$&'31%)0**%A(%$.(7%06%-/(%A&+_A#6(%#8%-/(%;6-('6(-C%%I671%06%.$+/%&%'#*(1%-/(3%)0**%+#6-'0A$-(

.046080+&6-*3%-#%8&.-('%&++(..%&67%7#)6*#&7%8#'%-/(%0670H07$&*%$.('.%#8%&**%;6-('6(-%.('H0+(.C

:/(% 8&+-% #8% Z##'(i.5e&)5*(7% $6A'07*(7% 4'#)-/% 06% )0'(*06(% +#,,$60+&-0#6.% +&6% A(% &22'(+0&-(7% 06% -/(

&++#,2&63064%+/&'-1%)/('(%G-/('6(-%7&-&%'&-(.%#H('%-/(%3(&'.%&'(%2*#--(7C%%D'#,%-/(%4'&2/1%#6(%+&6%.((

-/&-%-/(%7&-&%'&-(%7(,#6.-'&-(.%-/(%(B2#6(6-0&*%4'#)-/%2'(70+-(7%A3%Z##'(C%%:/(%2&'-0+$*&'%'&-(%#8%4'#)-/

#A.('H(7% 06% -/0.%G-/('6(-%(B&,2*(% 0.%7#$A*064%(H('3% -)#%3(&'.C% %\$-1%)/0*(% -/0.%(B&,2*(%/&.%A((6% 8#'

G-/('6(-1%#-/('%&22*0+&-0#6%&'(&.%.$+/%&.%W<e ./#)%H('3%.0,0*&'%(B2#6(6-0&*%+/&'&+-('0.-0+.C%%

Y/0*(%-/(%7(,&67%8#'%-/0.%'&207%06+'(&.(%06%7&-&%'&-(%+('-&06*3%#'0406&-(.1%06%2&'-1%8'#,%-/(%(H('54'#)064

2#2$*&'0-3%#8%-/(%;6-('6(-1%#-/('%&22*0+&-0#6.1*0_(%/04/5[$&*0-3%H07(#%+#68('(6+0641%UW:g%&67%-/'((570,(65

.0#6&*% 70.2*&3.1% &*.#% '([$0'(%,#'(% &67%,#'(% A&67)07-/C% :/0.% -'(67% )0**% +('-&06*3% +#6-06$(1% 2&'-0+$*&'*3

A(+&$.(%A(3#67%.$+/%&22*0+&-0#6.%&*'(&73%)0-/%$.1%8$-$'(%-(+/6#*#40+&*%7'(&,.1%.$+/%&.%H0'-$&*%'(&*0-3%&67

/#*#4'&2/0+%0,&40641%)0**%'([$0'(%(H(658$'-/('%&7H&6+(.%%06%2$'.$0-%#8%(H('5/04/('%7&-&%'&-(.C

Y/0*(%&-%.#,(%2#06-%06%-0,(%)(%,&3%'(&+/%.#,(%8$67&,(6-&*%*0,0-%06%.$+/%Z##'(i.5e&)%2'#+(..(.1%)(%7#

6#-%.((%b#'%7#%6#-%+/##.(%-#%-/06_%&A#$-c%-/(,1%-#7&3C

!"#$%&'(")*+,"#-,$#*./)'#"$#)0

!""

!"""

!""""

!

!"

!""

!"""

!""""

!##$

!##%

!##&

!##'

!##(

!##)

!##*

!###

$"""

$""!

$""$

$""%

$""&

$""'

!"#$

+,-./01234531 643578953/:9438953/;01234531<

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LPO

*B845X(."#%FGHP7J Y%/0-%#0

HKL55%6MHNHKL55%6MHN

)).'&0/(.'&0/(.#$(.#$(..&(-"Y0/(!"'&(&(-"Y0/(!"'&(

F#)&7&3.1%)(%&'(%8&+064%&%'&207% 06+'(&.(%06%7&-&%-'&880+%7'0H(6%A3%-/(%(H('506+'(&.064%2#2$*&'0-3%#8% -/(

;6-('6(-C%=#6-06$#$.%.+&*064%#8%-'&6.0.-#'.%/&.%2$./(7%-/(%2('8#',&6+(%#8%=ZE<%+0'+$0-.%06-#%6()%'(40,(.

#8%.2((71%2#)('%+#6.$,2-0#61%&67% *#)%+#.-C%F#)1%)(%+&6%2'#7$+(%-'&6.+(0H('.%&**#)064%K?TAa.%-'&6.5

,0..0#6%.2((7.C%<$+/%7(H(*#2,(6-.%40H(%$.%-/(%#22#'-$60-3%-#%0,2'#H(%&67%8$'-/('%7(H(*#2%+#,,('+0&*

7&-&%6(-)#'_.%&67%-#%2'#H07(%$*-0,&-(%.#*$-0#6.%8#'%-/(%$A0[$0-#$.5068#',&-0#6%.#+0(-3C%

D#'%-/(%8$-$'(1%.$+/%0..$(.%&67%'(*&-(7%7(H(*#2,(6-.%)0**%A(%-/(%.$Af(+-%#8%&%H&'0(-3%#8%2'(.(6-&-0#6.%&-

;<<==%>??@1%&-%-/(%<&6%D'&6+0.+#%Z&''0#--%06%D(A'$&'3C%%:'(,(67#$.%+/&**(64(.%/&H(%-#%A(%'(.#*H(7%A3

(6406(('.%06%H&'0#$.%70.+02*06(.1%06+*$7064%2'#+(..1%+0'+$0-1%&67%.3.-(,1%+'(&-064%-/(%066#H&-0#6.%-/&-%)0**

&+/0(H(%06+'(&.064*3%/04/%.2((7.1%)(**%A(3#67%-/(%'(&+/%#8%+#6H(6-0#6&*%-(+/60[$(.C

I-% -/0.%=#68('(6+(%&--(67((.%)0**%.((% -/(% 8$-$'((,&631%,&63% 07(&.% 8#'((H(6% 8&.-('1%(H(6%A(--('1%&67(H(6%*#)('%+#.-1%.#*$-0#6.%-/&-%&'(%&*'(&73%#6%-/(%)&3C%

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LPP

'466>7?X(#/ FGHPBJ $%O%&.-

HKL55%6MHNHKL55%6MHN

**./.--0-%'1(./.--0-%'1(.#$(1+-.#$(1+-&%*/"!0''%#O(&%*/"!0''%#O(

Z0+'#2'#+(..#'% 8'([$(6+3% .+&*064% 0.% '$66064% $2% &4&06.-% 8$67&,(6-&*% 2/3.0+&*% *0,0-.1% )/0+/%)0**% '(.-'0+-

8$-$'(%2('8#',&6+(%4&06.%8#'%-'&70-0#6&*%.064*(52'#+(..#'%.3.-(,.C%GH(6%-/#$4/%-(+/6#*#43%.+&*064%+#65

-06$(.%-#%2'#H07(%(H('506+'(&.064%+0'+$0-%7(6.0-31%-'&6.0.-#'%*(&_&4(%&67%2#)('57(6.0-3%+#6+('6.%)0**%.*#)

-/(%+#6-06$064%&7H&6+(%#8%2(&_%+*#+_%8'([$(6+31%8#'+064%7(.046('.%-#%*##_%8#'%6()%)&3.%-#%&+/0(H(%/04/5

('%2('8#',&6+(C%I.%&%'(.$*-1%+/02%&'+/0-(+-.%&'(%(B2*#'064%)&3.%06%)/0+/%-#%$.(%2&'&**(*0.,%&67%,$*-02*(

2'#+(..064%$60-.%-#%(6/&6+(%#H('&**%+/02%2('8#',&6+(1%)/0*(%*0,0-064%-/(%70880+$*-0(.%&..#+0&-(7%)0-/%/04/('

+*#+_% 8'([$(6+0(.C% bQ&'&**(*0.,%+#6.0.-.%#8%&% '&64(%#8% -(+/60[$(.% 06%)/0+/%,$*-02*(%2'#4'&,%#2('&-0#6.

(B(+$-(%+#6+$''(6-*3%#6%&%.3.-(,Cc%:/0.%-'(67%)0**%A(%+*(&'*3%0**$.-'&-(7%&-%;<<==%>??@%06%-/(%.(..0#6%#6

,0+'#2'#+(..#'.%&67%.046&*%2'#+(..064%b<(..0#6%L?cC%%;6%-/0.%.(..0#61%&**%(04/-%2&2('.%7(.+'0A(%+/02.%+#65

-&06064%,$*-02*(52'#+(..#'%+#'(.%#8%H&'0#$.%-32(.1%(,2*#3064%,&63%7088('(6-%&22'#&+/(.%-#%.2(+083064%-/(0'

+#6+$''(6-%#2('&-0#6.C%

I.%6#-(71%.(H('&*%,(-/#7.%#8%(,2*#3064%06+'(&.(7%2&'&**(*0.,%8#'%(6/&6+(7%2('8#',&6+(%&'(%0**$.-'&-(7

06%-/(%;<<==%>??@%.(..0#6%#6%,0+'#2'#+(..#'.9%%:)#%2&2('.%bL?CL1%L?C^c%7(.+'0A(%-/(%+#6-06$&-0#6%#8%-/(

'(+(6-% -'(67%#8% 06-(4'&-064% -)#%/04/52('8#',&6+(%4(6('&*52$'2#.(%+#'(.%#6-#%&%.064*(%+/021% 06%#'7('% -#

A##.-%-/(%#H('&**%.064*(5+/02%2('8#',&6+(C%;6%2&'-0+$*&'1%2&2('%L?CL%7(.+'0A(.%&%7$&*5+#'(%;-&60$,o%+/02

)/0+/%$.(.%,$*-0-/'(&7064% -(+/60[$(.% -#%(B(+$-(% -)#%2'#4'&,.%+#6+$''(6-*3%)0-/06%(&+/%+#'(1%&.%)(**C

Y/0*(%-/(.(%&22'#&+/(.%/(*2%2('8#',&6+(1%-/(3%7#%*0--*(%A3%-/(,.(*H(.%-#%,0-04&-(%-/(%2#)('570..02&-0#6

2'#A*(,.%8&+(7%A3%-/(%/04/5.2((7%,0+'#2'#+(..#'%+#'(C%I6#-/('%&22'#&+/1%7(.+'0A(7%06%2&2('%L?C>%8#'%&

=Gee 2'#+(..#'1%2'(.(6-.%&%/(-('#4(6(#$.%&'+/0-(+-$'(%06%)/0+/%,$*-02*(%2'#+(..#'.%&'(%7(.046(7%)0-/

8(&-$'(.%.2(+080+&**3%-&'4(-(7%8#'%+('-&06%&22*0+&-0#6.1%.&H064%2#)('%&67%&'(&%A3%-/0.%6&''#)('%&22*0+&-0#6

8#+$.C%;6%-/0.%+&.(1%.2(+0&*%.-'(&,064%2'#+(..#'.%2'#H07(%&%/04/5%2('8#',&6+(%2*&-8#',%8#'%,$*-0,(70&%&67

.-'(&,064%)#'_*#&7.C%I6#-/('%&22'#&+/1%7(.+'0A(7% 06%2&2('%L?CK%#6%\*$(T(6(ae1%$.(.% *#)5+#.-%+/(&2

.,&**%*#)52#)('%,0+'#2'#+(..#'%+#'(.%06%&%,&..0H(*3%2&'&**(*%8&./0#6C%<3.-(,.%A&.(7%#6%-/0.%&22'#&+/

+&6%2'#H07(%&6%$6,&-+/(7%2#)('a+#.-52('8#',&6+(% -'&7(#881%&*-/#$4/%#6*3% 8#'%+('-&06%)#'_*#&7.%)/0+/

+&6%A(%.2'(&7%&+'#..%.$+/%&%,&..0H(*352&'&**(*%.3.-(,C

:/(%(B&,2*(.%&A#H(%0**$.-'&-(%.#,(%#8%-/(%2'#A*(,.%&67%-'&7(#88.%06/('(6-%06%.064*(5+/02%,$*-02'#+(..#'

.3.-(,%7(.046C%I*-/#$4/%6#-%&**%)#'_*#&7.%+&6%A(%./&'(7%#'%.2*0-%$2%-#%'$6%(880+0(6-*3%06%&%,$*-052'#+(..#'

(6H0'#6,(6-1%0-%0.%(B2(+-(7%-/&-%-/(.(%_067.%#8%&'+/0-(+-$'(.%'(2'(.(6-%-/(%)&H(%#8%-/(%8$-$'(C%Z#'(#H('1%0-

0.%*0_(*3%-/&-%,&63%6()%.-'$+-$'(.%)0**%&22(&'1%-&'4(-064%.2(+080+%&22*0+&-0#6.C%%;6%-/(%8$-$'(1%8$'-/('%066#5

H&-0#6%06%A#-/%/&'7)&'(%&67%.#8-)&'(%7(.046%)0**%&**#)%A(--('%(B2*#0-&-0#6%#8%*&'4('%6$,A('.%#8%2'#+(..#'.1

2'#H07064%&%2&-/%-#%+#6-06$(7%2('8#',&6+(%0,2'#H(,(6-1%(H(6%&.%,0+'#2'#+(..#'%8'([$(6+3%-'(67.%*(H(*

#88C

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LPN

*B845X(##"* FGHPOJ Y%/0-0''

HKL55%6MHNHKL55%6MHN

O%O.,%&(Y%/0-0''(0&Q0/#0&(O%O.,%&(Y%/0-0''(0&Q0/#0&(..&&

K`OQb(75(c/.$./("#(K`OQb(75(c/.$./("#(.. !Q%*c!Q%*c

:/(%*&.-%7(+&7(%/&.%.((6%&6%(B2#6(6-0&*%06+'(&.(%06%-/(%7(,&67%8#'%/04/('%A0-%'&-(.%8#'%,&63%(B0.-064%&67

(,('4064% &22*0+&-0#6.C%G,('4(6+(% #8% A'#&7A&67%/04/5[$&*0-3% H07(#% &67% &$70#% &22*0+&-0#6.1% &.%)(**% &.

/04/5.2((7%/#,(%&67%(6-('2'0.(%6(-)#'_0641%,&_(.% 0-%/04/*3%7(.0'&A*(% -#%&+/0(H(%H('35/04/%A0-% '&-(.% 06

)0'(*(..C%Y/0*(%)0'(*(..5eIF%.3.-(,.%&'(%+&2&A*(%#8%2'#H07064%A0-%'&-(.%#8%.(H('&*%-(6.%#8%ZAa.1%A0-%'&-(.

+*#.(% -#% &% T04&A0-% 2('% .(+#67% #H('% &% )0'(*(..% +/&66(*% /&H(% '(,&06(7% 0**$.0H(% -#% -/0.% 7&-(C% <$+/% &6

06+'(&.(%06%7&-&5/&67*064%+&2&+0-3%6(+(..0-&-(.%.$A.-&6-0&**3%,#'(%&44'(..0H(%&22'#&+/(.%-#%.3.-(,%&67

+0'+$0-%7(.046C%:/(%06.-'$,(6-&-0#61%.+0(6-080+1%&67%,(70+&*%b;<Zc%A&67%&-%>KTU]%#88('.%&6%&--'&+-0H(%&*-('5

6&-0H(%8#'%T04&A0-%Y0'(*(..%G-/('6(-%bTYGcC%

I-% ;<==% >??@% &-% -/(% <&6% D'&6+0.+#% Z&''0#--% 06% D(A'$&'31% 7(H(*#2('.% 8'#,% -/(% =&*08#'60&% ;6.-0-$-(% #8

:(+/6#*#43%)0**%2'(.(6-%&%8$**3%06-(4'&-(7%2/&.(75&''&3%-'&6.,0--('%)0-/%06-(4'&-(7%QI.%bLLCOc%$.064%?CLP',=ZE<C%;-%.$22#'-.%A0-%'&-(.%06%(B+(..%#8%?C@TAa.%)0-/%.-&67&'7%pQ<`%,#7$*&-0#61%&67%+&6%2'#H07(%'&-(.

&.%/04/%&.%&%T04&A0-%2('%.(+#67%#H('%&%)0'(*(..%+/&66(*1%$.064%,#'(%+#,2*(B%,#7$*&-0#6%.+/(,(.C%

:/(%2'#2&4&-0#6%+/&**(64(.%&-%/04/('%8'([$(6+0(.%&'(%#H('+#,(%A3%$.064%&%2/&.(75&''&3%,$*-02*(5%&6-(65

6&%.3.-(,%#6%A#-/%-/(%'(+(0H('%&67%-/(%-'&6.,0--('C%I6%06-(4'&-(7%2/&.(75&''&3%.3.-(,%$.(.%-/(%+#/('(6-

&770-0#6% #8% .046&*.% 06% 8'((% .2&+(% -#% 4(6('&-(% &% 8#+$.(7% A(&,%#8%RD%(6('43% -/&-% +&6% A(% (*(+-'#60+&**3

.-(('(7%&67%2#06-(7% 06%7088('(6-%70'(+-0#6.%H('3%[$0+_*3C%:/(%(88(+-0H(6(..%#8% -/0.%A(&,58#',064%.3.-(,1

)/(6%+#,2&'(7%-#%,#'(%-'&70-0#6&*%#,60570'(+-0#6&*%-'&6.+(0H('.1%0.%&6&*#4#$.%-#%&%*&.('%2#06-('%+#,2&'(7

)0-/%&6%06+&67(.+(6-%*04/-%A$*AC%D#'%-/(%.&,(%&,#$6-%#8%2#)('%$.(71%-/(%*&.('%2#06-('%2'#7$+(.%&%*04/-

.2#-%,&63%#'7('.%#8%,&460-$7(%A'04/-('% -/&6% -/&-%4(6('&-(7%A3%&% *04/-%A$*A% -/&-% 0**$,06&-(.%(H('3-/064

0670.+'0,06&-(*3C%I .0,0*&'%+#6+(2-%0.%$.(7%8#'%-/(%RD%.046&*%-#%06+'(&.(%-/(%'(+(0H(7%2#)('%&-%-/(%-&'4(-

'(+(0H('1%)0-/#$-%q0**$,06&-064q%#-/('%'(+(0H('.%)0-/%RD%2#)('1%'(.$*-064%06%,$+/%/04/('%.046&*5-#56#0.(%'&-0#

&-%-/(%'(+(0H('1%&67%4(6('&-064%&%*#-%*(..%06-('8('(6+(%8#'%#-/('%$.('.C%%

:/(%A(&,58#',064%8$6+-0#6%#8%-/(%+/02%+&6%&*.#%A(%$.(7%8#'%'&64064%&67%.(6.064%&22*0+&-0#6.1%(88(+-0H(*3

,&_064%0-%-/(%)#'*7i.%80'.-%'&7&'5#65&5+/02C%:/0.%,060&-$'0](7%'&7&'%+&6%A(%$.(7%06%&%H&'0(-3%#8%&22*0+&-0#6.1

.$+/%&.%&$-#,#-0H(%#A.-&+*(%.(6.064%&67%0,&4064C

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LN?

*B8456X(#!"#$%#!"! FGHQ8J Y%/0-%#0

HKL55%6MHNHKL55%6MHN

&Q0/0(%'()%,0/(%#(&Q0/0(%'()%,0/(%#(P"+/()+&+/0RP"+/()+&+/0R

=#,,$60+&-0#6%$.064%*04/-%.046&*.%#H('%#2-0+&*%80A('.%/#*7.%4'(&-%2'#,0.(%-#%*#)('%+#.-.%8#'%&**%-(''(.-'0&*

7&-&%-'&6.2#'-%#H('%A#-/%./#'-%&67%*#64%70.-&6+(.C%:/(%/$4(%A&67)07-/%&67%8&H#'&A*(%2/3.0+&*%+/&'&+-('5

0.-0+.%#8%#2-0+&*%80A('%A(4.%-#%A(%(B2*#0-(79%:(*(+#,%6(-)#'_.1%-'&6.,0--064%/04/57&-&5%'&-(%.046&*.%#H('%*#64

70.-&6+(.%A(+#,(%2'&+-0+&*r% 06%=#,2$-('5.3.-(,.%/04/5+&2&+0-3%06-('+#66(+-1%8'((%8'#,%(*(+-'#,&46(-0+

06-('8('(6+(%&67%&)_)&'7%A$*_3%+#22('%+&A*(.%70..(6&A*(7%A3%2&'&**(*%#2-0+.C%\$-1%#8% +#$'.(1%2'&+-0+&*

7(-&0*.% *0,0-% +#.-5(88(+-0H(% +#,,('+0&*% .#*$-0#6.C%I-% ;<<==%>??@1% 06% D(A'$&'31% .(H('&*% &7H&6+(.% 06% -/(

2'&+-0+&*%&'-%#8%.(67064%&67%'(+(0H064%#2-0+&*%.046&*.%&'(%2'(.(6-(7C%%<$+/%7(H(*#2,(6-.%)0**%*(&7%06(H0-&A*3

-#%(B+0-064%6()%+#.-5(88(+-0H(%-(*(+#,%.('H0+(.1%&67%/04/('52('8#',&6+(%+#,2$-('%.3.-(,.C

I L?TAa.% *&.('%7'0H('%)0-/% 0,2(7&6+(5,&-+/(7%(*(+-'0+&*% #$-2$-%)0**% A(%2'(.(6-(7%A3% 0-.%7(H(*#2('.%&-

I6&*#4%W(H0+(.%jL>CLkC%%;-%(6&A*(.%-/(%$.(%#8%&%*#)5+#.-%:E5+&6%2&+_&4(%8#'%-/(%*&.('C%Q'(H0#$.*31%-/(%068(5

'0#'%(*(+-'0+&*%+/&'&+-('0.-0+.%#8%.$+/%&%*#)5+#.-%2&+_&4(%0,2&0'(7%-/(%#2-0+&*%(3(%#8%-/(%-'&6.,0--(7%#2-05

+&*% .046&*C% % :/(% '(&.#6% 8#'% -/0.% 0,2&0',(6-% 0.% 0,2('8(+-% -(',06&-0#6% #8% -/(% (*(+-'0+&*% -'&6.,0..0#6% *06(

A(-)((6%-/(%7'0H('%&67%-/(%*&.('1%+&$.064%&%2#'-0#6%#8%-/(%(*(+-'0+&*%7'0H(%.046&*%-#%A(%'(8*(+-(7%&)&3%8'#,

-/(%*&.('1%&67%A&+_%-#%-/(%7'0H('C%%:/0.%'(8*(+-(7%.046&*%,$.-%A(%&A.#'A(7%&-%-/(%7'0H('1%#'%(*.(%0-%)0**%f$.-

'&--*(%&'#$67%A(-)((6%-/(%7'0H('%&67%-/(%*&.('1%&67%7(4'&7(%-/(%(3(C%Q'(H0#$.%,(-/#7.%#8%&A.#'A064%-/0.

'(8*(+-(7%.046&*%&-%-/(%7'0H('%$.(7%2&..0H(%'(.0.-#'%-(',06&-0#61&67%)&.-(7%/&*8%#8%-/(%.046&*%2#)('C%%:/0.

6()%+0'+$0-%.36-/(.0](.%&%-(',06&-0#6%0,2(7&6+(%)/0+/%7(*0H('.%&**%#8%-/(%.046&*%2#)('%-#%-/(%*&.('%70#7(C%%

I.%)(**1%&%.-(2%-#)&'7%./#'-570.-&6+(%/04/5+&2&+0-3%06-('+#66(+-0#6.%)0-/06%&%+#,2$-('%)0**%A(%7(.+'0A(7

A3%0-.%7(H(*#2('.%&-%;\Z1%=#'6(**%&67%I40*(6-%jL>C>kC%%;-%$.(.%2&'&**(*%#2-0+&*%80A('.%&..(,A*(7%06%&%'0AA#6

8#',&-C%I A&+_2*&6(%+#,2'0.064%KP%2&'&**(*%+/&66(*.1%(&+/%#2('&-064%&-%&%7&-&%'&-(%#8%>?TAa.%0.%-/(%4#&*

#8%-/(%*&.('57'0H('%7(.046C%%X.(%#8%(*(+-'0+&*%.046&*064%#6%+#22('%)0'(.%8#'%.$+/%&%/$4(%&44'(4&-(%7&-&%'&-(

)#$*7%-&_(%/$67'(7.%#8%)0'(.%&67%+#6.$,(%,&63%)&--.%#8%2#)('C%%I.%)(**1%.$+/%+#22('%)0'(.%)#$*7%'&705

&-(%(*(+-'0+&*%06-('8('(6+(1%&.%)(**%&.%A(%&%H0+-0,%#8%.$+/%06-('8('(6+(C%%<0,2*3%+##*064%&67%./0(*7064%.$+/

&%.3.-(,%)#$*7%A(%2'#/0A0-0H(*3%(B2(6.0H(C%%%E2-0+&*%.#*$-0#6.%/&H(%6#6(%#8%-/(.(%2'#A*(,.C%%g('-0+&*5+&H5

0-3%.$'8&+(5(,0--064%*&.('.%bg=<Ge.c%&'(%2&'-0+$*&'*3%.$0-(7%-#%-/0.%&22*0+&-0#6%A(+&$.(%-/(3%&'(%*#)5+#.-1

(&.35-#58&A'0+&-(%06-#%&6%&''&31%&67%*#)52#)('C%%U#)(H('1%-/(%g=<Ge /&.%.2((7%*0,0-&-0#6.9%%/&220*31%-/(.(

*0,0-&-0#6.%&'(%#H('+#,(%06%-/(%7(.046%-#%A(%'(2#'-(71%A3%$.064%2'(5(,2/&.0.%#6%-/(%(*(+-'0+&*%7'0H(%.045

6&*C% :/0.% 2&'&**(*% #2-0+&*% .#*$-0#6% 2'#,0.(.% -#% '(,#H(% &6% &6-0+02&-(7% A#--*(6(+_% 06% 7&-&% -/'#$4/2$-% 8#'

(H#*H064%/04/52('8#',&6+(%+#,2$-('%.3.-(,.C

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LNL

'466>7?X #' FGHQ7J %1.O0/'E(101'(B?@($%'*-.P'

HKL55%6MHNHKL55%6MHN

'0#'"/''0#'"/'

=#,A06(7%&7H&6+(,(6-.%06%06-(4'&-0#6%&67%06%.(6.#'57(H0+(%7(.046%/&H(%*(7%-#%.046080+&6-%&7H&6+(.%06

-/(%2('8#',&6+(%#8%.(6.#'.%#8%,&63%_067.1%06+*$70641%8#'%(B&,2*(1%-(,2('&-$'(1%&64$*&'%2#.0-0#61%+#,2&..

/(&70641% -#B0+0-31% &++(*('&-0#61% &67%A0#,(-'0+% 07(6-080+&-0#6C%Q('/&2.%$6(B2(+-(7*31% -/(.(%7(H(*#2,(6-.

+&6%A(%&++#,2*0./(7%$.064%.-&67&'7%=ZE<%2'#+(..0641% 06%,&63%+&.(.1%#'%$.064%=ZE<%)0-/%2#.-52'#5

+(..064%-#%+'(&-(%.(6.064%(*(,(6-.C

<2(+080+%(B&,2*(.%#8%.$+/%7(H(*#2,(6-.1%-#%A(%2'(.(6-(7%&-%;<<==%>??@1%06+*$7(%-/(%8#**#)0649

bLc%D#'% -(,2('&-$'(1% -/(% 06-(4'&-0#6%#8%&%A&674&25'(8('(6+(%+0'+$0-%)0-/%#-/('%2'(+0.0#6%&6&*#4%+0'+$0-'31

&67%&%<04,&5W(*-&%IW=1%)0-/06%&%=ZE<%2'#+(..%-/&-%&**#).%8#'%&%@%%0,2'#H(,(6-%06%-(,2('&-$'(%.(6.064

#H('%-/(%8$**%,0*0-&'3%'&64(%#8%#2('&-0#6%jL^CLkC

b>c%D#'%&64$*&'%2#.0-0#61%-/(%06-(4'&-0#6%#8%&%70'(+-0#6&*%.(6.#'%+'(&-(7%8'#,%ZE<DG:.%)0-/%#65+/02%70405

-&*%2'#+(..0641%-/&-%&+/0(H(.%?C^M%7(4'((.%#8%'#-&-0#6&*%2'(+0.0#61%&%70.-06+-%&7H&6+(%06%-/(%.-&-(5#85-/(5&'-

jL^C>kC

b^c%D#'%+#,2&..%70'(+-0#61%-/(%06-(4'&-0#6%#8%&%+&*0A'&-0#6%+#0*%&67%.$22#'-%+0'+$0-'3%)0-/06%&%.-&67&'7%=ZE<

2'#+(..1%&**#).%.(*85+&*0A'&-0#6%-#%#H('+#,(%(6H0'#6,(6-&*%(88(+-.%&67%&40641%-#%&+/0(H(%&%M%%0,2'#H(,(6-

06%,&46(-0+5.(6.#'%2('8#',&6+(C%%;6%-/0.%7(.0461%-/(%$.(%#8%#65+/02%+0'+$0-'3%&**#).%.20660645+$''(6-%#2('5

&-0#6% -#% +#,2(6.&-(% 8#'% +#,2#6(6-%#88.(-1% *(&7064% -#%&6% 06-(4'&-(7%+#,2&..%)0-/%&6%&++$'&+3%#8%?C@s

jL^C@kC

bKc%D#'%-#B0+.5,#60-#'0641%-/(%+#,A06&-0#6%#8%.(6.#'%7(.046%)0-/%2'#+(..%(6/&6+(,(6-.1%&67%#8%+#,2(65

.&-0#6%)0-/%#65+/02%.046&*%2'#+(..0641%*(&7.%-#%&%-#B0+5,(-&*%7(-(+-#'1%#2('&-064%7#)6%-#%-/(%?CP%2&'-.52('5

A0**0#6%*(H(*1%&%@P%%0,2'#H(,(6-%06%7(-(+-0#6%+&2&A0*0-3%2('%$60-%2#)('%jL^CKkC

b@c%%D#'%&++(*('&-0#6%,#60-#'0641%-/(%+#,A06&-0#6%#8%7040-&*%2'#+(..064%)0-/%8((7A&+_1%&67%#8%&%ZGZ<%2#.-

2'#+(..%-#%+'(&-(%&6%(6/&6+(7%.(6.#'1%(6&A*(.%&6%0,2'#H(7%&++(*('#,(-('%jL^CMkC

bMc%%D#'%8064('2'06-%'(+#460-0#61%-)#%(B&,2*(.%#8%0,2'#H(7%8064('2'06-%.(6.064%&'(%2'#H07(71%#6(%$.064%+#*5

$,652&'&**(*%2'#+(..0641%jL^COk%&67%-/(%#-/('%)0-/%&6%(,A(77(7%R;<=%2'#+(..#'%jL^CPkC%%\#-/%7(,#6.-'&-(

/#)% -/(% 06-0,&-(% +#,A06&-0#6% #8% &% +&2&+0-0H(% .(6.#'% &''&3% &67% 06-(4'&-(7% 2'#+(..064% +&6% 2'#H07(% &6

(6/&6+(7%8064('2'06-%0,&4(%8#'%A0#,(-'0+%07(6-080+&-0#6C

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LN>

*B8456X(#,"+$%#,",$%#,"& FGHQRJ $%O%&.-

HKL55%6MHNHKL55%6MHN

$P#.1%!(a"-$P#.1%!(a"-&&.O0(1.#.O010#&.O0(1.#.O010#&

:/(%2'0#'0-3%#8%2#)('%'(7$+-0#6%06%-#7&3i.%2'#+(..#'%7(.046.%0.%)(**5_6#)6C%%EH('/(&-064%&67%#-/('%2#)('

0..$(.%/&H(%2*&4$(7%'(+(6-%2'#+(..#'%7(.046.1%8#'+064%8'([$(6+3%'(7$+-0#6.%&67%2'#7$+-%+&6+(**&-0#6.C%%I

.2&-(%#8%2&2('.%-#%A(%2'(.(6-(7%&-%;<<==%>??@1%&-%-/(%<&6%D'&6+0.+#%Z&''0#--%06%D(A'$&'31%2'(.(6-%6()

&22'#&+/(.%-#%(B2*#0-064%#6(%#8%-/(%,#.-%2'#,0.064%&22'#&+/(.%-#%2#)('%'(7$+-0#61%6&,(*3%736&,0+%H#*-5

&4(%,&6&4(,(6-C

I H#*-&4(%,$.-%A(%2'#H07(7%-#%&%2'#+(..#'%b#'%&63%+0'+$0-c%8#'%0-%-#%8$6+-0#6%&-%&**C%%:/(%H&*$(%#8%-/0.%H#*-&4(

/&.%&%70'(+-%b*06(&'c%0,2&+-%#6%-/(%8'([$(6+3%&-%)/0+/%-/(%+/02%+&6%#2('&-(1%&67%&%[$&7'&-0+%b.[$&'(5*&)c

0,2&+-%#6%2#)('%+#6.$,2-0#6C%%U0.-#'0+&**31%-/(%H&*$(%#8%-/0.%H#*-&4(%/&.%A((6%7'#220641%8'#,%@%g#*-.1%L?

-#%L@%3(&'.%&4#1%7#)6%-#%A&'(*3%#H('%L%H#*-%06%-#7&3i.%2'#+(..#'.C%%;-%0.%#6(%#8%-/(%,0'&+*(.%#8%.(,0+#65

7$+-#'% .+&*064% -/&-% -'&6.0.-#'% .2((7% b&671% /(6+(1% 2'#+(..#'% +*#+_% '&-(c% /&.%,&06-&06(7% 0-.% (B2#6(6-0&*

06+'(&.(%06%-/(%8&+(%#8%'(7$+(7%H#*-&4(.C%%:/0.%H#*-&4(%'(7$+-0#61%)/0+/%'(.$*-.%06%&%.[$&'(5*&)%'(7$+-0#6

06%2#)('1%0.%)/&-%/&.%_(2-%2#)('%8'#,%(B2*#7064C%D#'%(B&,2*(1%-#7&3i.%Lg%2'#+(..#'%)0**%A$'6%La>@-/%#8

-/(%2#)('%#8%*&.-%7(+&7(i.%@g%2'#+(..#'%&-%-/(%.&,(%8'([$(6+3C

X68#'-$6&-(*31%-/(%7&3.%#8%(H('57(+'(&.064%H#*-&4(%&'(%#H('C%%Lg%0.%)07(*3%H0()(7%&.%&%2*&-(&$%A(*#)%)/0+/

0-%)0**%A(%H('3%/&'7%-#%4#%06%-/(%8#'(.((&A*(%8$-$'(C%%:/0.%/&.%8#'+(7%7(.046('.%-#%8067%)&3.%-#%736&,0+&**3

,#H(%&%2'#+(..#'i.%H#*-&4(%&'#$67%-#%(B2*#0-%-/(%.[$&'(5*&)%A(/&H0#'C%%W#6(%2'#2('*31%06%-/(#'3%&%2'#+(.5

.#'% +&6%2'#H07(% -/(%2('8#',&6+(%#8%&%/04/5H#*-&4(%7(.046% 8#'% -/(%2#)('%+#6.$,2-0#6%#8%&% *#)5H#*-&4(

7(.046C%%E6(%#6*3%/&.%-#%'&0.(%-/(%H#*-&4(%&-%-/(%'(*&-0H(*3%'&'(%-0,(.%)/(6%-/(%2'#+(..#'%6((7.%-#%'$6%&-

-#2%.2((71%&67%-#%*(&H(%0-%*#)%&-%#-/('%-0,(.C%%<(H('&*%#8%-/(%A044(.-%+/&**(64(.%-#%7#064%-/0.%(880+0(6-*3%)0**

A(%&77'(..(7%A3%2'(.(6-&-0#6.%-#%A(%,&7(%&-%;<<==%>??@C%%E6(%.$+/%2'#A*(,%0.%-/&-%-/(%2'#+(..#'i.%8'(5

[$(6+3%,$.-%&*.#%A(%,&6&4(7%-#%#A(3%-/(%*06(&'%'(*&-0#6./02%A(-)((6%H#*-&4(%&67%8'([$(6+3C%%I6#-/('%0.

-/&-%&63%2#)('5,&6&4(7%2'#+(..#'%'(&**3%6((7.%-#%_6#)%)/&-%0-.%06.-&6-&6(#$.%2#)('%+#6.$,2-0#6%0.1%06

#'7('%-#%.(-%-/(%H#*-&4(%2'#2('*3C

Y0-/%-/#.(%+/&**(64(.%A(064%,(-%)0-/%066#H&-0H(%.#*$-0#6.1%)(%)0**%.((%736&,0+%H#*-&4(%,&6&4(,(6-%2'#5

H07(%.#,(%'(*0(8%8'#,%-/(%*0,0-%#6%H#*-&4(%'(7$+-0#6%2#..0A*(%06%.0*0+#6%2'#+(..%-(+/6#*#40(.C%%:/0.%)0**%f$.-

A(%&%-(,2#'&'3%06f$6+-0#61%/#)(H('C%;6+'(&.064%8'([$(6+0(.%&67%06-(4'&-0#6%)0**%+#6-06$(%7'0H064%2#)('%$21

&671%06%&%8()%3(&'.1%7(.046('.%)0**%6((7%6()%A'(&_-/'#$4/.1%08%-/(3%&'(%-#%+#6-06$(%-#%+*064%-#%Z##'(i.%*&)C

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LN^

*B845X(#*"& FGH9CJ Y%/0-0''

HKL55%6MHNHKL55%6MHN

'%#O-0G!Q%*'%#O-0G!Q%* d+.$G,.#$(O'1eO*/'(d+.$G,.#$(O'1eO*/'(

!1"'(&/.#'!0%a0/!1"'(&/.#'!0%a0/

:/(%)#'*7)07(%.$A.+'0A('%A&.(%8#'%+(**$*&'%.('H0+(.%0.%4'#)064%.-(&70*31%&67%0.%(B2(+-(7%-#%(B+((7%LC@%A0*5

*0#6%A3%>??O1%,&_064%0-%-/(%*&'4(.-%,&'_(-%.(4,(6-%8#'%-/(%.(,0+#67$+-#'%067$.-'3C%:#7&31%+(**$*&'%.('H0+5

(.%8#'%H#0+(%+#,,$60+&-0#6.%&'(%2'0,&'0*3%A&.(7%#6%>T%.3.-(,.1%.$+/%&.%T<Z%&67%=WZIC%%E8%-/(%-)#1

T<Z%0.%-/(%,#.-52#2$*&'%)#'*7)07(%+(**$*&'%.-&67&'7%06%$.(%-#7&31%)0-/%#H('%O@m%#8%-/(%-#-&*%+(**$*&'%,&'5

_(-C%%Y0-/%-/(%'0.064%7(,&67%8#'%7&-&%.('H0+(.1%-/(%(,('4064%>C@T%&67%^T%.3.-(,.1%.$+/%&.%GWTGaTQR<

&67%XZ:<a=WZI>???%)0**%2*&3%&6%06+'(&.064*3%0,2#'-&6-%'#*(%06%(6&A*064%,$*-0,(70&%.('H0+(.%)0-/%8(&5

-$'(5'0+/%/&67.(-.C

:#%.$22#'-%(6/&6+(7%8$6+-0#6.%06%+$''(6-%&67%8$-$'(%,$*-0,(70&%/&67.(-.1%RD%+(**$*&'%;=.%,$.-%2'#H07(

.,&**58#',58&+-#'%*#)52#)('%.#*$-0#6.1%)/0*(%,((-064%.-'064(6-%.3.-(,5*(H(*%'([$0'(,(6-.1%06+*$7064%.(65

.0-0H0-3%&67%*06(&'0-3C%U04/%.(6.0-0H0-3%0,2'#H(.%-/(%'(+(2-0#6%#8%&6%#654#064%+&**1%&.%)(**%&.%(B-(67064%-/(

70.-&6+(%'&64(%#8%-/(%/&67.(-C%U04/%*06(&'0-3%0,2'#H(.%-/(%[$&*0-3%#8%-/(%+&**%06%&'(&.%)0-/%/04/%.$A.+'0A('

7(6.0-3C%

GB0.-064%+(**$*&'%2/#6(.%&'(% 0,2*(,(6-(7% 06%,$*-02*(5+/02%.(-.%A&.(7%,&06*3%#6%\02#*&'%&67%<0T(%-(+/5

6#*#43C%Y/0*(% +$''(6-% <0T(aA02#*&'% +/025.(-.% &+/0(H(% &7([$&-(% .3.-(,% 2('8#',&6+(1% -/(3% 7#% 6#-% *(67

-/(,.(*H(.%)(**%-#%/04/%*(H(*.%#8%06-(4'&-0#6%&-%*#)%+#.-C%

:/(%06+'(&.(7%06-(4'&-0#6%+&2&A0*0-3%#8%7((25.$A,0+'#6%=ZE<%-(+/6#*#43%+&6%(6&A*(%-/(%06-(4'&-0#6%#8%&

+#,2*(-(%RD%+(**$*&'%-'&6.+(0H('1%&.%)(**%&.%7040-&*%.046&*%2'#+(..0641%#6%&%.064*(%+/02C%%<$+/%06-(4'&-0#6

)0**%7'&,&-0+&**3%'(7$+(%2#)('1%8#'%(B-(67(7%A&--('3%*08(1%)/0*(%,&06-&06064%&7([$&-(%.3.-(,%2('8#',&6+(C

GB0.-064%=ZE<%.#*$-0#6.%8#'%RD%-'&6.+(0H('.%&'(%&*.#%A&.(7%#6%,$*-02*(%+/02.C%U#)(H('1%.(H('&*%.064*(5

+/02%=ZE<%.#*$-0#6.%&'(%A(4066064%-#%&22(&'C

;<<==%>??@%)0**%./#)+&.(1%8#'%-/(%80'.-%-0,(1%-/(%-(+/6#*#43%#8%&%8$**3506-(4'&-(7%[$&75A&67%T<Z%-'&6.5

+(0H('%06%,&-$'(%*#)5+#.-%?CLP5',%=ZE<C%%:/0.%7(.046%/&.%-/(%A(.-%.(6.0-0H0-3a*06(&'0-3%&67%2#)('%70..052&-0#6%-'&7(#88%#6%-/(%,&'_(-% -#7&3%8#'%=ZE<%-'&6.+(0H('.C%:/0.%.064*(5+/02% -'&6.+(0H('%&+/0(H(.%&% *065

(&'0-3%#8%%L@7\,%&67%&%.(6.0-0H0-3%#8%%LL?7\,1%)/0*(%+#6.$,064%N@,I 06%'(+(0H(%,#7(%&67%LL>,I 06%-'&6.5

,0-%,#7(1%A#-/%8'#,%&%>CO%-#%^g%.$22*3C%:/0.%)#'_%7(,#6.-'&-(.%-/(%H0&A0*0-3%#8%06-(4'&-064%/04/52('8#',5

&6+(%+(**$*&'%;=.1%.$+/%&.%T<Z1%06%&%.064*(%+/021%&67%#2(6.%-/(%2#..0A0*0-3%#8%8$-$'(%06-(4'&-0#6%#8%RD%-'&6.5

+(0H('%8$6+-0#6.%-#4(-/('%)0-/%A&.(A&67%.$22#'-%06%&%*#)5+#.-%=ZE<%+/02C

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LNK

*B8456X(#."& FGH99J Y%/0-%#0

HKL55%6MHNHKL55%6MHN

'*-%&&%#O('*-%&&%#O(.. '%#O-0(Y%/0'%#O-0(Y%/0

G*(+-'#60+%.046&*.%A(-)((6%+/02.%-'&H(*%#6%80B(7%)0'(.1%,$+/%*0_(%-'&06.%#6%&%-'&+_(#6(%,(..&4(%06%#6(70'(+-0#6%&-% &% -0,(C%Z&63% +/02.% +&6%$.(% -/(% .&,(%)0'(1% A$-% -/(3%/&H(% -#% +##2('&-(C% % D0'.-1% -/(%,0+'#5

2'#+(..#'%-&*_.%-#%-/(%,(,#'31%-/(61%*&-('1%-/(%,(,#'3%-&*_.%-#%-/(%2'#+(..#'C%:#%4(-%,$*-02*(%+/02.%-&*_064

-#%(&+/%#-/('1%-/(3%&**%/&H(%-#%+##'706&-(%&67%-&_(%-$'6.%-#%&H#07%,(-&2/#'0+%-'&06)'(+_.C%X6-0*%6#)d

I-%>??@1%0-.%7(H(*#2('.%8'#,%<<: =#,,$60+&-0#6.%&67%X=eI1%7(.+'0A(%&%-(+/60[$(%-/&-%*(-.%,$*-02*(%+/02.

-&*_%-#%(&+/%#-/('%#H('%-/(%.&,(%)0'(1%)0-/#$-%06-('8('064%)0-/%(&+/%#-/('C%X.064%-/0.%-(+/60[$(1%-/(%,0+'#5

2'#+(..#'%+&6%-&*_%-#%-/(%,(,#'31%)/0*(%-/(%,(,#'3%&6.)('.%A&+_%&-%-/(%.&,(%-0,(C%E'1%,&3A(1%-/(%,(,5

#'3%.(67.%7&-&%-#%-/(%,0+'#2'#+(..#'1%)/0*(%-/(%+2$%.(67.%7&-&%-#%-/(%H07(#%+&'71%)0-/#$-%+##'706&-0#6C

</&'064%&%)0'(%)&.%2#..0A*(%A(8#'(1%A$-%#6*3%08%#6(%#8%-/(%.046&*.%)&.%(B-'(,(*3%.*#)1%2#..0A*3%-/#$.&67.

#8% -0,(.%.*#)('% -/&6% -/(%#-/('C%\$-% -/('(% 0.%6#%.$+/%.*#)7#)6%6#)9%:/(%6()%+/02%.(67.%7&-&%&-%$2% -#

>CKTA0-.a.(+#67% 06%#6(%70'(+-0#61%&67%M??ZA0-.a.(+#67% 06% -/(%#-/('C% b:/&-i.% 8&.-%(6#$4/% -#% -'&6.,0-%&6

(6-0'(%$6+#,2'(..(7%=W%06%&A#$-%L?%.(+#67.1%06%-/(%.*#)%70'(+-0#6Cc%%I '(4$*&'%2/#6(%*06(%&*.#%-'&6.,0-.

.2((+/%06%A#-/%70'(+-0#6.%#H('%-/(%.&,(%*06(1%A$-% 0-%'([$0'(.%A$*_3%-'&6.8#',('.%&67%#-/('%.2(+0&*%/&'75

)&'(1%)/0+/%)#$*7%A(%-##%(B2(6.0H(%&-%-/(.(%.2((7.C

:/(%-(+/60[$(%-#%A(%2'(.(6-(7%&-%;<<==%>??@%-#%.2*0-%&%)0'(%/&.%A((6%A#''#)(7%8'#,%'&70#%&67%+(**2/#6(

+#,,$60+&-0#6.9%:/(%+/02%$.(.%7088('(6-%8'([$(6+0(.%-#%.(67%7088('(6-%.046&*.1%.#%-/&-%,$*-02*(%+#6H('.&5

-0#6.%+&6%-&_(%2*&+(%&-%-/(%.&,(%-0,(C%EAH0#$.1%3(.t%Y(**1%0-i.%(&.0('%0,&406(7%-/&6%7#6(d%I '&70#%#'%+(**5

2/#6(%/&.%H('3%+&'(8$**35-$6(7%80*-('.%-#%,&_(%.$'(%0-%#6*3%/(&'.%-/(%068#',&-0#6%06-(67(7%8#'%0-C%:/#.(%_067.

#8%80*-('.%&'(%0,2'&+-0+&*%06%&%+/021%&67%8&'%-##%(B2(6.0H(%-#%$.(%'#$-06(*3C%I67%)0-/#$-%-/(,1%-/(%+#6H('.&5

-0#6.%A(+#,(%/#2(*(..*3%f$,A*(7C%:/(%6()%+/02%,&6&4(.%-#%.(2&'&-(%,$*-02*(%7&-&%.-'(&,.%$.064%#6*3

#65+/02%80*-('.C%%:/&-%0-%+&6%A(%+/(&21%)0-/#$-%+#,2'#,0.064%7&-&%'&-(%#'%06-(4'0-31%0.%&%'(,&'_&A*(%8(&-d

D()('%)0'(.1%8&.-('%+#,,$60+&-0#61%,#'(%8*(B0A0*0-31%+/(&2('uC%&67%6#%7&-&5)'(+_.C%%<$+/%&'(%-/(%(B+0-5

064%7(H(*#2,(6-.%-#%A(%(B2('0(6+(7%&-%;<<==%>??@%06%D(A'$&'31%&-%-/(%<&6%D'&6+0.+#%Z&''0#--C

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LN@

'466>7?X(#- FGH9BJ %1.O0/'E 1016(B?@($%'*-.P'

HKL55HKL55 6MHN6MHN

TRENDS IN IMATRENDS IN IMAGE SENSORSGE SENSORS

As will be reported at ISSCC 2005, advancements continue in image-sensor design, enabling increasedperformance and functionality in smaller packages. These developments demonstrate several importanttrends:

(1) Pixels continue to shrink to allow more pixels per unit area, improving the image-resolution-to-costtradeoff. A CCD imager with 1.56 micron pixels [19.1] and a CMOS imager with 2.0 micron pixels is to bepresented [19.2].

(2) Process scaling continues, unabated, following the digital-logic lead: Image sensors use standardCMOS processes down to 0.15 micron, along with enhancements to obtain color response and increasedresponsivity. Such scaling allows additional integration to permit the incorporation of ADCs, providingincreased functionality in signal-processing and control, to enable increased performance, and to enableadditional pixel-processing in the focal plane. Examples of this direction include pixel structures providingincreased dynamic range, 12b ADC for HDTV video operation, binning in a CCD for increased viewfindersensitivity for a cell-phone camera, and region-of-interest tracking to allow high-speed readout of infract-ing license plates [19.3]

(3) Applications of image sensors, beyond simple photography, continue unabated. Applications to be pre-sented at ISSCC 2005 include wide-dynamic-range imaging for automotive and surveillance, use 3D imag-ing with a precision of millimeters per meter, and motion detection. The increased possibilities enabled byincreased integration allow multi-functional pixel arrays to provide detection as well as imaging, allow mul-tiple exposures that can be fused into higher-quality images, and allow on-chip calibration to smoothly tran-sition the intensity response from a linear to a logarithmic transfer function [19.4]

(4) Advancements in processing, such as wafer bonding and wafer-to-wafer vias within the pixel, enablethe demonstration of a one-megapixel image sensor with processing behind every pixel [19.6].

And so, pixel pace proceeds, as presented in February, at ISSCC 2005!

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LNM

*B8456X(!#"#$%!#"!$%!#"'$%!#", FGHB7J &0!Q#"-"OP $%/0!&%"#'

HKL55%6MHNHKL55%6MHN

/)(101'/)(101'

:'&70-0#6&**31%Z0+'#5G*(+-'#Z(+/&60+&*%<3.-(,.%bZGZ<c%/&H(%A((6%&22*0(7%06%&++(*('#,(-('.%&67%,0+'#5

,0''#'%70.2*&3.C%\$-1% '(+(6-*31%=ZE<%ZGZ<%/&H(%(,('4(7%-#%'(&*0](%6()%+#,,$60+&-0#6%&22*0+&-0#6.C

D#$'%+#6-'0A$-0#6.%-#%;<<==%>??@%&-%-/(%<&6%D'&6+0.+#%Z&''0#--1%06%D(A'$&'31%)0**%7(.+'0A(%,&f#'%&7H&6+(.

-#%-/0.%80(*7%b>LCL1%>LC>1%>LC^1%&67%>LC@c9

R(.(&'+/('.% 8'#,%=&'6(40(%Z(**#6%X60H('.0-3% b>LCLc%)0**% '(2#'-%#6%&7H&6+(.% 06%RD% 8#$67'3%2'#+(..(.C

:/(.(%/&H(%*(&7%-#%-/(%'(&*0]&-0#6%#8%/04/52('8#',&6+(%(*(+-'#,(+/&60+&*%,0B('%80*-('.C%<$+/%80*-('.%(6&A*(

7#)65+#6H('.0#6%8'#,%-/(%TUv%-#%-/(%ZU]%'&64(1%)0-/%A$0*-506%8'([$(6+3%.(*(+-0H0-3C

X.064%ZGZ<%-(+/60[$(.1%06-(4'&-(7%/04/5[$&*0-358&+-#'%\$*_5I+#$.-0+5Y&H(%b\IYc%'(.#6&-#'.%&67%80*-('.

/&H(%A((6%7(H(*#2(7%&-%=<GZ1%F($+/w-(*1%<)0-]('*&67%&67%<:Z0+'#(*(+-'#60+.1%T'(6#A*(1%D'&6+(%b>LC>

&67% >LC^cC% X.064% &% 2'#+(..% -/&-% 06-(4'&-(.% =ZE<1% \02#*&'% &67% \IY% 7(H0+(.1% RD% 2&..0H(% &67% &+-0H(

7(H0+(.%&'(%06-(4'&-(7%#6%&%.064*(%+/02C%:/0.%7(H(*#2,(6-%*(&7.%70'(+-*3%-#%-/(%'(&*0]&-0#6%#8%,$*-05.-&67&'7

&67%,$*-0A&67%+#,,$60+&-0#6%.3.-(,.C%

D$'-/('%&7H&6+(.% 06% 06-(4'&-(7%RD%+#,2#6(6-.1%.$+/%&.% 067$+-#'.1% '(.0.-#'.1%&67%+&2&+0-#'.%A&.(7%#6

-/06580*,% =$a\=\% b+#22('aA(6]#5+3+*#A$-(6(c1% )0**% A(% '(2#'-(7% A3% '(.(&'+/('.% 8'#,% ;ZG=1% e($H(61

\(*40$,%b>LC@cC%%:/(%-/06580*,%&22'#&+/%-#%A(%2'(.(6-(7%&**#).%8#'%-/(%'(&*0]&-0#6%#8%6()%+*&..(.%#8%*#)5

+#.-%/04/52('8#',&6+(%RD%.3.-(,.C

`(3%7(H(*#2,(6-.%06+*$7(9

:/(%&H&0*&A0*0-3%#8%(6/&6+(7%[$&*0-358&+-#'.%06%RD%ZGZ<%+&2&+0-#'.%&67%067$+-#'.C

:/(%06-(4'&-0#6%#8%\$*_5I+#$.-0+5Y&H(%W(H0+(.%06%&6%(880+0(6-%&A#H(5;=%2'#+(..%-/&-%)0**%8&+0*0-&-(%6()

-32(.%#8%)0'(*(..5+#,,$60+&-0#6%.3.-(,.C

:/(%&H&0*&A0*0-3%#8%&%-/06580*,%&22'#&+/%8#'%'(&*0]064%#65+/02%&67%0652&+_&4(%2&..0H(%RD%+#,2#6(6-.C

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LNO

*B8456X(!#"&$%!#"*$%!#". FGHBPJ &0!Q#"-"OP $%/0!&%"#'

HKL55%6MHNHKL55%6MHN

/)(&/0#$'X(!1"'(/0.!Q0'(/)(&/0#$'X(!1"'(/0.!Q0'(

1%--%10&0/GY1%--%10&0/GY..a0(*0/)"/1.#!0a0(*0/)"/1.#!0

Y#'*7)07(1%-/('(%0.%6#)%&%+#680',(7%06-('(.-%06%&22*0+&-0#6.%06%-/(%M?TU]%8'([$(6+3%A&671%06.20'(7%06%2&'-

A3%-/(%&H&0*&A0*0-3%#8%&%6()%$6*0+(6.(7%OTU]%A&67)07-/%&'#$67%M?TU]C%E2('&-0#6%06%-/0.%8'([$(6+3%A&67

)&.% #6+(% -/(% (B+*$.0H(% 7#,&06% #8% ;;;5g5+#,2#$67% .(,0+#67$+-#'.1% .$+/% &.%T&I.% &67% ;6QC% U#)(H('1

&44'(..0H(%.+&*064%#8%=ZE<%-(+/6#*#43%/&.%,&7(%-/(%8&A'0+&-0#6%#8%/04/*3506-(4'&-(7%=ZE<%,,5)&H(

+0'+$0-.%&%'(&*0-3%8#'%7&-&5+#,,$60+&-0#6.C%I.%&%'(.$*-1%#6(%(B2(+-.%&%.046080+&6-%'(7$+-0#6%06%-/(%2'#f(+-(7

+#.-%#8%-/(%'&70#%+/02.%'([$0'(7%8#'%/04/57&-&5'&-(%)0'(*(..%+#,,$60+&-0#6%&-%/#,(%#'%06%-/(%#880+(C%

I6#-/('%.046080+&6-%&.2(+-%#8%=ZE<%,,5)&H(%+0'+$0-.%0.%06%-/(0'%2#-(6-0&*%&22*0+&-0#6%06%&$-#,#-0H(%&6-05

+#**0.0#6%)&'6064%.3.-(,.C

:/(%-'(67%06%-/(%$.(%#8%/04/('%8'([$(6+0(.%bL??TU]%&67%A(3#67c%)0**%+#6-06$(%06%-/(%3(&'.%-#%+#,(C%I.

)0**% A(% 2'(.(6-(7% &-% ;<<==% >??@% &-% -/(% <&6% D'&6+0.+#%Z&''0#--1% 06% D(A'$&'31% '(.(&'+/('.% &-% F&-0#6&*

:&0)&6%X60H('.0-3%#88('1%8#'%-/(%80'.-%-0,(1%-)#%(..(6-0&*%A$0*7064%A*#+_.%b&%g=E%&67%A'#&7A&67%&,2*080('c

8#'%+'(&-064%&%'#A$.-%M?TU]%'&70#%06%&%+#6H(6-0#6&*%=ZE<%-(+/6#*#43C%:/(%g=E%0.%06%8&+-%#2('&-0#6&*%&-

&%,$+/%/04/('%8'([$(6+3%b-#%LLKTU]cC

E-/('%(..(6-0&*%A$0*7064%A*#+_.%8#'%'(&*0]064%&%M?TU]%'(+(0H('1%-#%A(%2'(.(6-(7%&-%;<<==%>??@1%06+*$7(%&

*#)56#0.(%&,2*080('%&67%&%,0B('%8#'%8'([$(6+3%-'&6.*&-0#6%70'(+-*3%-#%W=C%:/(%#2('&-0#6%#8%-/(.(%A*#+_.%/&.

A((6%.$++(..8$**3%7(,#6.-'&-(71%&.%\(]/&7%R&]&H0%#8%X=eI )0**%7(.+'0A(7C

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LNP

*B845X(!!"#$%!!"! FGHBQJ Y%/0-%#0

HKL55%6MHNHKL55%6MHN

*--6S*--6S */"O/0''*/"O/0''

W040-&*%7&-&%+#,,$60+&-0#6%'([$0'(.%+*#+_%.046&*.%b.[$&'(%)&H(.c%#8%&%2'(+0.(*3%_6#)6%8'([$(6+3C%%:0,(

$6+('-&06-3%06%+*#+_5(74(%2#.0-0#6%0.%+&**(7%f0--('C%%GB+(..0H(*3%/04/%f0--('%+&6%+&$.(%$6&++(2-&A*(%(''#'.%06

7&-&%-'&6.,0..0#6C

Q/&.(5*#+_(7% *##2.% bQee.c% #'% 7(*&35*#+_(7% *##2.% bWee.c% &'(% #8-(6% $.(7% -#% 4(6('&-(% +*#+_% .046&*.C

=#6.07('&A*(%7(.046%(88#'-%0.%,&7(%-#%'(&*0](%*#)5f0--('%+*#+_.C%%I.%7&-&%'&-(.%'0.(1%f0--('%,$.-%A(%'(7$+(7

-#%2(',0-%'(*0&A*(%7&-&%-'&6.,0..0#6C

I-%;<<==%>??@1%06%D(A'$&'31%2&2('.%>>CL%&67%>>C>%&'(%#$-.-&67064%(B&,2*(.%#8%Qee.%8#'%+*#+_%4(6('&5

-0#6C%%Qee.%&+-%&.%q+*#+_%,$*-02*0('.q%)/0+/%+#6H('-%&6%062$-%+*#+_%.046&*%#8%#6(%8'([$(6+3%b8#'%(B&,2*(1

8'#,%&%+'3.-&*%#.+0**&-#'c% 06-#%&6%#$-2$-%+*#+_%.046&*%#8%&%7088('(6-1%$.$&**3%/04/('1%8'([$(6+31%.$0-&A*(%8#'

+*#+_064%-/(%-'&6.,0--(7%7&-&C%%;6%-/(.(%-)#%2&2('.1%-/(%Qee.%2'#7$+(%2'(+0.(*35+#6-'#**(7%8'([$(6+0(.%#8

>C@%TU]%&67%^CL>@%TU]1%'(.2(+-0H(*3C%%:/(0'%+*#+_%(74(.%&'(%-320+&**3%)0-/06%&A#$-%L%20+#.(+#67%b2.c%#8

-/#.(%)/0+/%&%,&-/(,&-0+&**352('8(+-%+*#+_%)#$*7%2'#7$+(C%%<$+/%Qee.%&'(%&6%(..(6-0&*%2&'-%#8%.3.-(,.

)/0+/%-'&6.,0-%7&-&%&-%.(H('&*%TAa.C

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

LNN

'466>7?X(!' FGHBBJ Y%/0-0''

HKL55%6MHNHKL55%6MHN

'%#O-0G!Q%*'%#O-0G!Q%* &a(&+#0/&a(&+#0/

D(&-$'(5'0+/%7040-&*%:g%)0-/%20+-$'(506520+-$'(1%2('.#6&*5H07(#5'(+#'7('.1%+'3.-&*5+*(&'%/04/5'(.#*$-0#6%20+5

-$'(.9%%:/(.(%&'(%.#,(%#8%-/(%A(6(80-.%-/&-%+#6.$,('.%+&6%'(&2%8'#,%&7H&6+(7%:g.%(6&A*(7%A3%-/(%.-&-(5

#85-/(5&'-%:g%-$6('%-#%A(%2'(.(6-(7%&-%;<<==%>??@%A3%<: Z0+'#(*(+-'#60+.%j>^C^kC

:'&70-0#6&*% -$6('.% /&H(% '(*0(7% #6% A$*_3% x+&66(7y% -$6('%,#7$*(.% -/&-% (,2*#3% +#,2#6(6-.% -/&-% &'(% 6#-

&,(6&A*(%-#%06-(4'&-0#6%#6%&%.(,0+#67$+-#'%.$A.-'&-(C%%;6-(4'&-064%&%:g%-$6('%06%.0*0+#6%0.%6#%.,&**%8(&-C

I -$6('%,$.-%A(%+&2&A*(%#8%.(*(+-064%#6(%#8%#H('%L??%+/&66(*.%A(-)((6%KP%&67%PM>ZU]C%%;6%&%+&A*(5:g

.(-5-#25A#B1%-/(%*&'4(%6$,A('%#8%+/&66(*.%&H&0*&A*(%'([$0'(.%-/(%-$6('%%-#%70.-064$0./%A#-/%-063%&67%H('3

*&'4(%.046&*.1% -#%A(% 06.(6.0-0H(% -#%.2$'0#$.%.046&*.1%&67% -#% 0,2*(,(6-%H('35-#$4/% *#+&*5#.+0**&-#'% -$60645

'&64(%.2(+080+&-0#6.C

Y/0*(%-/('(%&'(%.#,(%.0*0+#6%-$6('.%&H&0*&A*(%-#7&31%-/(3%'(*3%#6%'(*&-0H(*3%(B2(6.0H(%\0=ZE<%-(+/6#*#5

40(.1%0,2*(,(6-%#6*3%-/(%&6&*#4%2&'-%#8%-/(%-$6('1%&67%+#6-06$(%-#%'(*3%#6%&%A$*_3%<IY%80*-('%8#'%806&*%+/&65

6(*%.(*(+-0#6C% %:/(%)#'_% -#%A(%2'(.(6-(7%&-% ;<<==%>??@1%A3% 0-.%7(H(*#2('.%&-%=/'#6-(*1% 06%<&6%W0(4#1

j>^C^k1%$.064%&%L>?6,%7040-&*%=ZE<%-(+/6#*#43%/&.%-/(%2#-(6-0&*%#8%'(7$+064%+#.-.%A3%-&_064%&7H&6-&4(%#8

-/(% (+#6#,0(.5#85.+&*(% #8% -/(% 7040-&*5.(,0+#67$+-#'% 067$.-'3C% % G[$&**3% 0,2#'-&6-*31% -/(% -$6('% -#% A(

7(.+'0A(7%06+*$7(.%&%LKA%&6&*#45-#57040-&*%+#6H('-('%)/0+/%&**#).%-/(%A$*_3%+/&66(*5.(*(+-%<IY%80*-('%-#

A(%'(2*&+(7%A3%70'-5+/(&2%#65+/02%7040-&*%80*-('064C%%

Z#A0*(%:g9%%=&6i-%4(-%/#,(%06%-0,(%-#%)&-+/%-/(%A04%4&,(t%%Y&-+/%0-%#6%3#$'%2/#6(d%%I-% ;<<==%>??@1

7(H(*#2('.% 8'#,% ;-#Z% 06% :/(% F(-/('*&67.% )0**% 2'(.(6-% j>^C^k% &% -$6('% ;=% 8#'% -/(% ,#A0*(% :g% .-&67&'71

X60H('.&*%Z#A0*(%:(*(5g0.0#6%bXZ:gcC%%E2-0,0](7%8#'%.,&**%.0](%&67%*#)%2#)('%bf$.-%L@?,Yc1%-/0.%-$6('

+&6%80-%+#,8#'-&A*3%06-#%&%+(**$*&'%2/#6(%#'%&%QWI1%)0-/#$-%'$66064%7#)6%-/(%A&--('3C%

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

>??

*B8456X(!+"#$%!+"! FGHBIJ '%O#.- */"!0''%#O

HKL55%6MHNHKL55%6MHN

+-+-&/.GY%$0G,.#$(f+Y,g()"/&/.GY%$0G,.#$(f+Y,g()"/

#"a0-#"a0- Y%/0-0''(#0&Y"/['Y%/0-0''(#0&Y"/['

;6-('(.-%06%$*-'&5)07(A&67%bXY\c%)0'(*(..%-(+/6#*#43%/&.%(B2*#7(7%#H('%-/(%*&.-%8()%3(&'.1%2'0,&'0*3%7'0H5

(6%A3%0-.%2'#,0.(%-#%7(*0H('%7&-&%'&-(.%06%(B+(..%#8%L??ZAa.%#H('%./#'-%70.-&6+(.1%)/0*(%+#6.$,064%H('3

*#)%2#)('%&-%H('3%*#)%+#.-C%:)#%.$+/%7(H(*#2,(6-.1%)/0+/%7(.+'0A(%*(&70645(74(%XY\%-'&6.+(0H('.1%)0**

A(%2'(.(6-(7%06%D(A'$&'3%&-%;<<==%>??@%06%<&6%D'&6+0.+#C

Y/0*(%#6(%-'&6.+(0H('%2$'.$(.%&6%&44'(..0H(%7&-&%'&-(1%-/(%#-/('%8#+$.(.%#6%$*-'&5*#)%2#)('%+#6.$,25

-0#6C%:/(%80'.-%.#*$-0#6%0,2*(,(6-.%&%/04/57&-&5'&-(%KP?ZAa.%XY\%A&.(A&67%-'&6.+(0H('%A&.(7%#6%+#7(75

,$*-05+&''0('%-(+/60[$(.C%:/0.%7(.046%+#6.$,(.%&%-#-&*%2#)('%#8%@O@,Y1%2'#H07064%&6%(6('43%(880+0(6+3%#8

LC>6naA0-C%%:/(%.(+#67%7(.046%0,2*(,(6-.%&%M>C@ZAa.%XY\%-'&6.+(0H('%)/0+/%+#6.$,(.%#6*3%MCO,Y1%&67

#++$20(.%&6%&'(&%#8%$67('%^%.[$&'(%,0**0,(-('.%06%?CLP%,0+'#6%=ZE<%-(+/6#*#43C%:/0.%+#''(.2#67.%-#%&6

0,2'(..0H(% (6('43% (880+0(6+3% #8% ?CL6naA0-d% :/(% 6#H(*% &.2(+-.% #8% A#-/% -'&6.+(0H('.% )0**% A(% 2'(.(6-(7% &-

;<<==%>??@C%%%%%%%

:/(.(%7(.046.%&'(%&,#64%-/(%8&.-(.-%&67%*#)(.-52#)('%XY\%-'&6.+(0H('.%'(2#'-(7%-#%7&-(1%&67%2#06-%-/(

)&3%-#%8$'-/('%&7H&6+(.%06%-/0.%'&207*357(H(*#2064%)0'(*(..%-(+/6#*#43C

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

>?L

*B8456X(!+"&$%!+"* FGHBOJ '%O#.- */"!0''%#O

HKL55%6MHNHKL55%6MHN

*+'Q%#O(&Q0(Y-.#(0#a0-"*0*+'Q%#O(&Q0(Y-.#(0#a0-"*0

;<<==%>??@%)0**%8(&-$'(%-)#%'(+(6-%066#H&-0#6.%06%YeIF%A&.(A&67%-'&6.+(0H('.C%%:/(3%&77'(..%4'#)064

0..$(.%06%A#-/%-/(%/#,(%&67%(6-('2'0.(%,&'_(-.C%%:/(%+/&**(64(%-#%A(%,(-%0.%-#%0,2'#H(%-/(%#H('&**%[$&*5

0-35#85.('H0+(%&67%$-0*0-3%#8%)0'(*(..%+#,,$60+&-0#6%6(-)#'_.C

E6%-/(%/#,(%8'#6-1%-/(%2$./%-#)&'7.%)0'(*(..%70.-'0A$-0#6%#8%/04/57(8060-0#6%H07(#%/&.%'(.$*-(7%06%-/(%6((7

-#%0,2'#H(%-/(%'(*0&A0*0-3%#8%)0'(*(..%+/&66(*.1%&67%-#%06+'(&.(%-/(%+#H('&4(%&'(&%#8%(B0.-064%YeIF.C%I-

;<<==%>??@9%I-/('#.%)0**%7(.+'0A(%-/(0'%,$*-05&6-(66&%.#*$-0#6%)/0+/%$-0*0](.%&7H&6+(7%.046&*52'#+(..064

-(+/60[$(.%-#%4'(&-*3%06+'(&.(%-/(%'(*0&A0*0-3%&67%'&64(%#8%+#6H(6-0#6&*%YeIF%+/&66(*.C%I-/('#.%)0**%&*.#

7(.+'0A(%-/(0'%&7H&6+(7%2&+_(-5,&6&4(,(6-%8$6+-0#6.%)/0+/%8&+0*0-&-(%H07(#%-'&6.,0..0#6C

;6% -/(%(6-('2'0.(%&'(6&1% -/(%)07(%'&64(%#8%7&-&%'&-(.%$.(7%)0-/06% -/(%.&,(%+/&66(*1% '(.$*-.% 06% *#)5'&-(

+*0(6-.%.(H('(*3%*0,0-064%-/(%2('8#',&6+(%#8%/04/5'&-(%+*0(6-.1%30(*7064%*#)%#H('&**%6(-)#'_%2('8#',&6+(C%I-

;<<==1%G640,%)0**%7(.+'0A(%&%)07(A&67%YeIF%.#*$-0#6% -/&-% 0.%+&2&A*(%#8%#2('&-064%#6% -/'((%&7f&+(6-

+/&66(*.%+#6+$''(6-*3C%:/0.%)07(A&67%,$*-05+/&66(*%&22'#&+/%&**#).%*#)5'&-(%+*0(6-.%-#%A(%4'#$2(7%#6%&

.*#)%+/&66(*1%)/0*(%/04/5'&-(%+*0(6-.%+&6%#2('&-(%$60,2(7(7%#6%&%8&.-%+/&66(*1%30(*7064%,$+/%A(--('%#H('5

&**%6(-)#'_%2('8#',&6+(C%G640,%)0**%&*.#%70.+$..%-/(0'%'(&*5-0,(%.2(+-'&*5,#60-#'064%8&+0*0-0(.%-/&-%2'#H07(

&7H&6+(7%6(-)#'_5,&6&4(,(6-%+&2&A0*0-0(.%-#%7(-(+-%06-('8('('.%&67%'#4$(%+*0(6-.1%&**#)064%8#'%0,2'#H(7

2('8#',&6+(%&67%.(+$'0-3C%%I67%.#1%YeIF%7(H(*#2,(6-.%2'#+((71%&-%&%+/&'&+-('0.-0+&**3%&.-#$67064%2&+(d

I 2&+(%)/0+/%+/&'&+-('0](.%-/(%2'(.(6-&-0#6%#8%,&63%7(H(*#2,(6-.%&-%;<<==d

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

>?>

*B845X(!,"' FGHR8J 101"/P

HKL55%6MHNHKL55%6MHN

)).'&0'&(/.#$"1G!P!-0(.'&0'&(/.#$"1G!P!-0(

01,0$0$($/.101,0$0$($/.1

I*-/#$4/%&%'&67#,5+3+*(5#2('&-(7%WRIZ%)&.%&66#$6+(7%&-%;<<==%>??K1%-/(%7(.046%)&.%*0,0-(7%-#%#2('5

&-0#6%&-%^L>ZU]%&67%6((7(7%&6%(B2(6.0H(%WRIZ57(70+&-(7%8&A'&+&-0#6%2'#+(..%8#'%'(&*0]064%0-.%>?8D%+(**

+&2&+0-#'.C%:/('(%&'(%-)#%,&f#'%'([$0'(,(6-.%0,2#.(7%#6%&63%(,A(77(75WRIZ%.$A.-0-$-(%8#'%<RIZ9%;-

0.% '([$0'(7% -/&-% -/(3%2'#H07(9%Lc% 8$**354$&'&6-((7% '&67#,%&++(..1%)0-/%,$*-052#'-% 06-('*(&H(7%#2('&-0#61

)/0*(%.&-0.83064%,$+/5,#'(% -#$4/%&67%+#,2*(B%.(6.0645.046&*506-(4'0-3% '([$0'(,(6-.r% %&67%>c% % %=ZE<

*#40+52'#+(..%+#,2&-0A0*0-3%)0-/#$-%$.064%(WRIZ57(70+&-(7%+(**% +&2&+0-#'.1%)/0*(%+#,2(6.&-064% 8#'% -/(

*#)('(7%6#0.(%0,,$60-3%+&$.(7%A3%&%.,&**('%+(**%+&2&+0-&6+(%b#6*3%@8DcC%

I.%)0**%A(% '(2#'-(7%&-% ;<<==%>??@1% -#%,((-% -/(.(% '([$0'(,(6-.1%&%K??ZU]%LC@g%7$&*52#'-% 06-('*(&H(7

WRIZ%/&.%A((6%7(H(*#2(71%)0-/%#6*3%%-)#%%_(3%&770-0#6.%-#%&%?CL@',%=ZE<%*#40+%2'#+(..9

Lc%%%%<(6.(5.046&*5*#..5+#,2(6.&-064%-(+/6#*#431%A&.(7%#6%&6%06-(6.(*357(-&0*(7%6#0.(5(*(,(6-%&6&*3.0.C

>c%%%%I .-'02(75-'(6+/%+&2&+0-#'%b<:=c%+(**%)/0+/%0.%8&A'0+&-(7%A3%&77064%#6*3%#6(%,&._C

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

>?^

*B845X(!,"& FGHRCJ 101"/P

HKL55%6MHNHKL55%6MHN

)%/'&(!"110/!%.--)%/'&(!"110/!%.--PPGa%.,-0(Ga%.,-0(

KO,($/.1KO,($/.1

I*-/#$4/%&%KTA%WRIZ%)&.%&66#$6+(7%&-%;<<==%>??L1%/04/5H#*$,(%+#,,('+0&**35&H&0*&A*(%WRIZ.%/&H(

A((6%*0,0-(7%-#%@L>ZAC%%Y/0*(%LTA%WRIZ.%&'(%&H&0*&A*(%+#,,('+0&**31%0-%0.%#6*3%06%*0,0-(7%[$&6-0-0(.C%E6(

0,2(70,(6-% -#% (88(+-0H(% +#,,('+0&*0]&-0#6% /&.% A((6% -/&-% 2'(H0#$.*35&66#$6+(7%>TA%&67% KTA%WRIZ.

/&H(%A((6%-##%*&'4(%-#%80-% 06-#%067$.-'35.-&67&'7%2&+_&4(.1%,&_064%-/(,%$6&H&0*&A*(%8#'%$.(%06%.3.-(,.

7(.046(7%&'#$67%067$.-'3%.-&67&'7.C%%

;6%D(A'$&'31%&-%;<<==%>??@1%&%2'(.(6-&-0#6%A3%,(,#'3%7(H(*#2('.%&-%<&,.$64%)0**%/04/*04/-%7(.046%&67

-(+/6#*#43%0,2'#H(,(6-.%(6&A*064%&%.,&**('%+/02%#$-*06(%-/&-%+#68#',.%-#%-/(%nGWG=%067$.-'3%.-&67&'7C

\3%-/(%+'(&-0H(%$.(%#8%&%-/0'7%*(H(*%#8%,(-&*%b-320+&**3%6#-%8#$67%06%WRIZ.c1%&67%&6%$6+#6H(6-0#6&*%A&6_

&''&64(,(6-1%0-%/&.%A((6%2#..0A*(%-#%,#7083%-/(%+/02%&.2(+-%'&-0#%-#%80-%-/(%.-&67&'7C%%

\(+&$.(%-/(%WWR>%06-('8&+(%.2(+080+&-0#6%*0,0-.%-/(%,&B0,$,%6$,A('%#8%+/02.%#6%-/(%A$.1%-#-&*%.3.-(,5

,(,#'3%+&2&+0-3% 0.% *0,0-(7%A3%+/02%7(6.0-3C% %:/$.1% -/(%&7H(6-%#8%&%>TA%WRIZ%06%&6% 067$.-'35%.-&67&'7

2&+_&4(%.046080+&6-*3%06+'(&.(.%-/(%,&B0,$,%.3.-(,5,(,#'3%+#6804$'&-0#6C%%:/(%0,2&+-%#6%8$-$'(%+#,5

2$-('5A&.(7%2'#7$+-.%0.%+*(&'*3%.046080+&6-d

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

>?K

*B845X(!&"! FGHR7J 101"/P

HKL55%6MHNHKL55%6MHN

Y"/-$^'(-./O0'&('/.1(Y"/-$^'(-./O0'&('/.1(..&(KMV1I&(KMV1I

I-%;<<==%>??@1%<&,.$64%)0**%06-'#7$+(%-/(%*&'4(.-%<RIZ%7(H0+(%(H('%'(2#'-(7C%%\&.(7%#6%-/(0'%?CLMz,>

.-&+_(7%.064*(5+'3.-&*5.0*0+#6%-/06580*,5-'&6.0.-#'%<RIZ%+(**1%06-'#7$+(7%&-%-/(%>??K%ge<;5<3,2#.0$,1%-/(

>@MZA%+/02%/&.%&6%&'(&%#8%f$.-%MLCL,,>C%%:/(%WWR%+/02%#2('&-(.%&-%LK?ZU]%)0-/%&%A$'.-%*&-(6+3%#8%^

+3+*(.1%)/0*(%7'&)064%#6*3%LO,I 8'#,%&%LCPg%.$22*3C

:/(%6#H(*%7(.046%&22'#&+/1%-#%A(%7(.+'0A(71%$.(.%-/(%.-&+_(7%.064*(5+'3.-&*5.0*0+#6%-/06580*,%-'&6.0.-#'%&.

-/(%*#+&*%+#*$,65.(*(+-%-'&6.0.-#'%06%&%/0('&'+/0+&*5A0-*06(%&'+/0-(+-$'(1%-#%H0'-$&**3%(*0,06&-(%-/(%$.$&*%&'(&

2(6&*-3%&..#+0&-(7%)0-/%-/0.%&22'#&+/C%%I6%&''&3%(880+0(6+3%#8%#H('%O?m%0.%&+/0(H(71%)/0*(%,&06-&06064%-/(

2#)('%&67%.2((7%A(6(80-.%#8%-/(%./#'-%/0('&'+/0+&*%A0-*06(.C

:/(% >@MZA% WWR% <RIZ% -#% A(% 7(.+'0A(7% 0.% #'4&60](7% &.% LMZA% B% LM% -#% 2'#H07(% &% -#-&*% A&67)07-/% #8

KCKPTAa.C%%"(-%)0-/%&%+$''(6-%7'&)%#8%#6*3%LO,I1%-/(%+/02%0.%07(&**3%.$0-(7%8#'%,#A0*(%&22*0+&-0#6.1%#2(65

064%-/0.%*#)52#)('%,&'_(-%-#%-/(%A(6(80-.%#8%H('35/04/57(6.0-31%/04/5A&67)07-/%.-&-0+%,(,#'3C

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

>?@

*B845X(!&". FGHRPJ 101"/P

HKL55%6MHNHKL55%6MHN

$+.-G!"/0(%&$+.-G!"/0(%&.#%+1h(0]*.#%+1h(0]*.#$'(-i.#$'(-i

!.!Q0(&!.!Q0(&"(K`1,"(K`1,

I.%)0**%A(%'(2#'-(7%&-%;<<==%>??@1%7(.046('.%&-%U()*(--5Q&+_&'7%&67%;6-(*%/&H(%(B-(67(7%-/(%;-&60$,o

Q'#+(..#'% -#%&%7$&*5+#'(%&'+/0-(+-$'(% -/&-% 8(&-$'(.%&%>KZ\%e^%+&+/(%( -/(% *&'4(.-%(,A(77(7%<RIZ

&''&3%(H('%'(2#'-(7C%%:/&6_.%-#%0,2'#H(,(6-.%06%&''&3%7(.0461%&67%&%'(7$+(7%&''&3%#2('&-064%H#*-&4(1%-/(

e^%+&+/(%+#6.$,(.%#6*3%KC>Y1%)0-/%+&+/(%*&-(6+3%'(7$+(7%8'#,%P%+3+*(.%-#%@C

Q#)('%70..02&-0#6%06%-/(%(B2&67(7%+&+/(%/&.%A((6%+#6-'#**(7%A3%*#)('064%-/(%+&+/(%H#*-&4(%A3%^??,g

8'#,%-/&-%#8%-/(%+#'(%.$22*31%-#%'(7$+(%4&-(%&67%7'&06%*(&_&4(%+$''(6-.%06%-/(%,(,#'3%+(**.C%%I ./08-%8'#,

-/(%-'&70-0#6&*%.36+/'#6#$.5<RIZ%7(.046%&22'#&+/%-#%&6%&.36+/'#6#$.%7(.046%&*.#%(*0,06&-(.%+*#+_064

2#)('%06%-/(%,(,#'3%&''&3.C

I6#-/('%A(6(80-%#8%-/(%$.(%#8%&.36+/'#6#$.%7(.046%0.%-/(%7(+'(&.(%06%e^%+&+/(%*&-(6+3%8'#,%P%-#%@%+3+*(.C

:/0.%0.%2#..0A*(%A(+&$.(%(B+(..%7(.046%,&'406.%8#'%+*#+_%._().1%*&-+/%7(*&3.1%&67%,&'406&*%A0-.1%&'(%&**

(*0,06&-(7C

GB2&67064%-/(%.0](%#8%-/(%(,A(77(7%,(,#'3%-#%.$22#'-%&%7$&*5+#'(%&'+/0-(+-$'(%#88('.%$.('.%-/(%8$**%+&2&5

A0*0-3%#8%,$*-05=QX%2('8#',&6+(%06%&%.064*(%+/02C

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

5>?M5

*B8456X(!."+$%!."- FGHRBJ $%O%&.-

HKL55%6MHNHKL55%6MHN

!%/!+%&'()"/(&0/.,%&'(*0/('0!"#$!%/!+%&'()"/(&0/.,%&'(*0/('0!"#$

:#%,&B0,0](%2('8#',&6+(%&67%,060,0](%2#)('%+#6.$,2-0#6%#8%&7H&6+(7%2'#+(..#'.1%-/(0'%#65+/02%.$25

2*3%H#*-&4(%&67%+*#+_%8'([$(6+3%&'(%A(064%736&,0+&**3%&7f$.-(7C%%I-%;<<==%>??@17(H(*#2('.%8'#,%%`#'(&

j>PCKk%)0**%2'(.(6-%&%W(*&35e#+_(75e##25A&.(7%+*#+_%4(6('&-#'%)/0+/%2'#H07(.%+*#+_%.046&*.%'&64064%8'#,

L>?%ZU]%-#%LCP%TU]C%:/(%8'([$(6+3%+&6%A(%+/&64(7%)0-/06%-/0.%L@9L%'&64(%H('3%[$0+_*3C%;6%&770-0#61%4'(&-

(,2/&.0.%0.%2$-%#6%2'#H07064%&%+*(&6%+*#+_%.046&*C%:/(%'(4$*&'%2(&_5-#52(&_%$6+('-&06-3%0.%#6*3%L^C>%2.C

:/0.%2('8#',&6+(%0.%&+/0(H(7%06%&%?C^@',%=ZE<%-(+/6#*#43C%;,2'#H(,(6-.%#8%K%-#%M%%+&6%A(%&6-0+02&-(78#'%&%,#'(%+$''(6-%2'#+(..%-(+/6#*#431%0670+&-064%-/(%8(&.0A0*0-3%#8%.3.-(,.%#2('&-064%&-%L?lTU]C

:/(%/04/%06-'06.0+%.2((7%#8%&7H&6+(7%2'#+(..#'.%,&_(.%-/(%-'&6.8('%#8%7&-&%#6%&67%#88%+/02%&%A#--*(6(+_C

I*.#1%&-%;<<==%>??@1%7(H(*#2('.%8'#,%<-&68#'7%j>PCNk%)0**%&77'(..%-/0.%0..$(1%&67%2'(.(6-%&6%;aE%06-('8&+(

)0-/%&%-#-&*%7&-&%'&-(%#8%,#'(%-/&6%?C@%-('&A0-.a.(+#67%b-/&-%0.1%@??%A0**0#6%A0-.%2('%.(+#67cd%:/(%+0'+$0-%2'#5

H07(.%&% -)(*H(5A3-(5)07(% 06-('8&+(% b.(H(6%A3-(.% 8#'% -'&6.,0--064%&67% 80H(%A3-(.% 8#'% '(+(0H064cC%G&+/%206

#2('&-(.%&-%&%7&-&%'&-(%#8%MCK%TAa.1%+#6.$,064%&6%(6('43%#8%#6*3%>?%2n%8#'%(H('3%-'&6.8(''(7%A0-C%:#%&+/0(H(

&6%&++$'&-(%-0,064%'(*&-0#6./02%A(-)((6%-/(%;aE%206.1%-/(%2'(.(6-('.%)0**%7(.+'0A(%-/(%#65+/02%+*#+_570.-'05

A$-0#6% -(+/60[$(% -/&-%7(*0H('.% -/(%'(8('(6+(%+*#+_%&+'#..% -/(%)07-/%#8% -/(% ;aE% 06-('8&+(1%&67%2'(.(6-.%&

+*#+_5-'&+_064%&'+/0-(+-$'(%)/0+/%&**#).%-/(%$.(%#8% *#)5+#.-%+*#+_%.#$'+(.C%:/(%+0'+$0-.%-#%A(%2'(.(6-(7

+&6%,&06-&06%/04/%&6&*#4%2('8#',&6+(%(H(6%06%&6%<E;%b.0*0+#6%#6%06.$*&-#'c%=ZE<%2'#+(..1%A3%.$0-&A*3

/&67*064%-/(%8*#&-0645A#73%(88(+-.C

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

>?O

'4667?X('/ FGHI8J %1.O0/'E(101' B?@ $%'*-.P'

HKL55%6MHNHKL55%6MHN

&/0#$'(%#(,%"'0#'"/'&/0#$'(%#(,%"'0#'"/'

\0#.(6.064%&7H&6+(.%&-%&%'&207%2&+(1%2'#2(**(7%A3%(H#*H064%-'(67.%06%*#)52#)('%(*(+-'#60+.C%:)#%70'(+5

-0#6.%8#'%-/(.(%&7H&6+(.%)0**%A(%2'(.(6-(7%-/0.%3(&'%&-%;<<==%>??@9

bLc%E6(% 0.%&0,(7%&-% 0,2*&6-&A*(%.3.-(,.% -#%(6&A*(% '(,#-(%&++(..% -#%&''&3.%#8%.(6.#'.C%<$+/%.3.-(,.

6((7%-#%A(%)0'(*(..1%-#%A(%*#)52#)('1%&67%-#%A(%2'#4'&,,&A*(1%06%#'7('%-#%.(*(+-%&,#64.-%7&-&%&H&0*&A*(

#6%,&63%2&'&**(*%+/&66(*.C%I6%I<;=%06+#'2#'&-064%&%W<Q 0.%$.(71%#6%-/(%8'#6-%*06(%b.#%-#%.2(&_c%06%#6(

.3.-(,%-#%+#,2'(..%-/(%'&)%7&-&1%A3%.046&*%2'#+(..0641% -#%7(-(+-1% *#+&**31% -/(%#++$''(6+(%#8% 06-('(.-064

(H(6-.1%'(.$*-064%06%+#,2'(..0#6%#8%-/(%7&-&%-'&6.,0--(71%&67%(6&A*064%&%L>C@%%06+'(&.(%06%-/(%6$,A('%#8

+/&66(*.%-/&-%+&6%A(%/&67*(7%#H('%-/(%)0'(*(..%*06_%j^?CKkC

b>c%I .(+#67% 0.%&0,(7%&-%+'(&-064% *&A5#65&5+/02%.(6.#'.1%&67%&''&3.%#8%.$+/%.(6.#'.1% -#%&+-%&.% 8*(B0A*(

A$0*7064%A*#+_.%8#'%A0#*#40+&*%&..&31%&67%WFI &6&*3.0.C%;6%#6(%+&.(%-#%A(%'(2#'-(71%-/0.%0.%&++#,2*0./(7

A3%+'(&-064%&6%(*(+-'#60+%A$0*7064%A*#+_%-/&-%.$22#'-.%H&'0#$.%.(6.#'%-32(.1%&**#)064%)0'(*(..%'(&7#$-%-/&-

(*0,06&-(.% -/(% 2'#A*(,% #8% +#6-&,06&-0#6% 8'#,% 70'(+-5)0'(% +#66(+-0#6C% ;6% &6#-/('% +&.(1% &++(..% (&.(% 0.

(6&A*(7%A3%&6%&''&3%#8%7(-(+-#'.1%(&+/%$.064%7088('(6-0&*%.(6.064%)0-/%'(.2(+-%-#%&%*#+&*%'(8('(6+(%8#'%+&*5

0A'&-0#61%&67%(&+/%A(064%2'#4'&,,&A*(%-#%&**#)%$.(%06%H&'0#$.%,#7(.C%:/0.%&**#).%2'(+0.0#6%-(.-.%-#%A(

+&''0(7%#$-%06%2&'&**(*1%(6&A*064%2#06-5#85$.(%&67%(6H0'#6,(6-&*%-(.-.%j^?CMkC

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

>?P

'466>7?X('/ FGHI8J %1.O0/'E 101'(B?@($%'*-.P'

HKL55%6MHNHKL55%6MHN

$%'*-.$%'*-.PP &/0#$'&/0#$'

W0.2*&3.%2'#H07(%&6%(..(6-0&*%06-('8&+(%A(-)((6%-)#%.#*0-$7(.9%%-/(%(*(+-'#60+%)#'*7%&67%-/(%2/3.0+&*%)#'*7C

;6% -/0.% '#*(1%70.2*&3.%,$.-% '(.2#67% -#%7(H(*#2,(6-.% 06% -(+/6#*#43% -/&-%&'(%&6&*#4#$.% -#1%A$-% '(H('.(7

8'#,1%-/#.(%0,2&+-064%0,&4(%.(6.#'.C%%I,#64.-%(H#*H064%'([$0'(,(6-.1%0.%-/(%6((7%-#%A(%&A*(%-#%2'#H07(

-/(%A&.0+%7'0H(%.046&*.%-#%&%70.2*&3%06%&%,&66('%.$880+0(6-*3%+#.-5(88(+-0H(%-#%(6&A*(%+#6.$,('%&22*0+&-0#6.r

:/('(%0.%&%70.-06+-%A(6(80-%06%7(H(*#2064%&6&*#4%+0'+$0-'3%-/&-%+&6%2'#H07(%-/(%7'0H(%06%&%2#)('5(880+0(6-%,&65

6('r%I.%)(**1%-/('(%0.%&%70.-06+-%A(6(80-%06%$.064%-/(%2#)('%#8%,0B(75.046&*%2'#+(..0641%&67%0-.%,(-/#7#*#5

40(.1%.$+/%&.%.(*85+&*0A'&-0#6%&67%8((7A&+_1%-#%2'#H07(%+#,2(-0-0H(%.#*$-0#6.%&67%2'0+(%2#06-.%+&2&A*(%#8

&7H&6+064%-/(%A$.06(..%+&.(C

:/0.%3(&'1%&-%;<<==%>??@1%-/('(%)0**%A(%-/'((%70.2*&3%2&2('.%-/&-%-#$+/%#6%7(H(*#2,(6-.%06%)/0+/%(*(+5

-'#60+.%,((-.%70.2*&3.C%%<(H('&*%-'(67.%&'(%06%(H07(6+(9

bLc%E6(%0.%7(,#6.-'&-(7%A3%&6%06-(4'&-(7%,0+'#70.2*&31%H0()&A*(%)0-/%0,&4(%06-(6.080+&-0#61%-/&-%7(,#65

.-'&-(.%70'(+-%*04/-%(,0..0#6%8'#,%&%.0*0+#6%06-(4'&-(75+0'+$0-%A&+_2*&6(C%%:/0.%70.2*&3%0.%8&A'0+&-(7%06%&%+#,5

,('+0&*%?CLP,0+'#6%=ZE<%2'#+(..%C%:/(%+/&**(64(.%,(-%A3%-/(%#65+/02%+0'+$0-'31% 06%&770-0#6%-#%+'(&-064

&67%&77'(..064%-/(%*04/-5(,0--064%20B(*.1%&'(%+&*0A'&-0#6%&67%#H('7'0H(%2'#-(+-0#6%$.(7%-#%2'#H07(%2('8#',5

&6+(%&67%'(*0&A0*0-3C%Q#-(6-0&**31%-/(.(%-(+/60[$(.%+#$*7%A(%(B-(67(7%-#%EeGW%7(2#.0-(7%,&-('0&*.1%-#%2'#5

H07(%&%,0+'#70.2*&3%)0-/%70'(+-%H0()064%j^?CLkC

b>c%I .(+#67%0.%7(,#6.-'&-(7%A3%&6%(B&,2*(%#8%7'0H(%(*(+-'#60+.%$.064%:D:5#654*&..%(*(+-'#60+.C%%:/0.%0.

*0_(*3%-#%2'#H07(%&%A(--('%80-%-#%-/(%8#',%8&+-#'%#8%-/(%70.2*&3%-/&6%)#$*7%A(%&H&0*&A*(%$.064%+#6H(6-0#6&*%A$*_

.0*0+#6%=ZE<%-(+/6#*#43C%:/(%-(+/60[$(%0.%7(,#6.-'&-(7%06%-/(%+#6-(B-%#8%&6%JgTI e=W%70.2*&3%j^?C>kC

b^c%:/(%-/0'7%0.%06%'(.2#6.(%-#%,&'_(-%7(,&67%-#%0,2'#H(%-/(%736&,0+%'&64(%#8%70.2*&3.%8#'%-(*(H0.0#61%8'#,

-/(%MA%-#%-/(%PA%#'%L?A%*(H(*C%%:/0.%/&.%,#-0H&-(7%-/(%7(.046%#8%&%.0*0+#6%;=%-/&-%$.(.%&%6()%WI=%&'+/05

-(+-$'(%&67%+&*0A'&-0#6%-(+/60[$(.%-#%,0-04&-(%+#,2#6(6-%,0.,&-+/%06%&%^PK5#$-2$-%7(H0+(C%%<$+/%.(*85+&*5

0A'&-0#6%-(+/60[$(.%'(2'(.(6-%&%.-'#64%-'(67%06%,&63%7(H(*#2,(6-.%-#%A(%'(2#'-(7%&-%;<<==%>??@%j^?C^kC

!"#$%&'(%)*"+,% ,+-&*+(% *).#/$%0#--%1+%(#$/2$$+(%&*% -+'3*"%&*% 45566%7889:% *"+

;),+<)$*%3-)1&-% ;),2<%;),%'+0%(+=+-).<+'*$% #'% *"+% #'*+3,&*+(>/#,/2#*% #'(2$*,?@

45566:% *"+% 4'*+,'&*#)'&-% 5)-#(>5*&*+% 6#,/2#*$% 6)';+,+'/+:% 0#--% 1+% "+-(% )'

A+1,2&,?%B>C8:%7889:%&*%*"+%5&'%A,&'/#$/)%D&,,#)**%E)*+-@

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

>?N

*B845X('!"' FGHIPJ &0!Q#"-"OP $%/0!&%"#'

HKL55%6MHNHKL55%6MHN

'Q00&'Q00&G&P*0('!.##0/(+'%#O(iG$G&P*0('!.##0/(+'%#O(iG$"/O.#%!(!%/!+%&'"/O.#%!(!%/!+%&'

E'4&60+5-'&6.0.-#'%-(+/6#*#43%'(*0(.%#6%+&'A#65A&.(7%,&-('0&*1%)/0+/%7088('.%4'(&-*3%8'#,%,&06.-'(&,%.0*05

+#6C%E'4&60+%+0'+$0-.%/&H(% -/(%2#-(6-0&*% -#%2'#H07(%7'&.-0+&**3%+/(&2('%(*(+-'#60+.1%$.064%2'06-064%&67a#'

2*&.-0+5./((-% -(+/6#*#43C%X6-0*%6#)1%-/(%7'&)A&+_%#8% -/(%#'4&60+%&22'#&+/%/&.%A((6%0-.%.*#)%#2('&-0#61

)/0+/%/&.%&22(&'(7%&.%&%,&f#'%A#--*(6(+_%06%(6&A*064%2'&+-0+&*%&22*0+&-0#6.C

I-%;<<==%>??@1%'(.(&'+/('.%8'#,%-/(%X60H('.0-3%#8%:#_3#%)0**%7(.+'0A(%&%6()%+0'+$0-%+#6+(2-%+&**(7%q7#$5

A*(%)#'75*06(%&67%A0-5*06(%.-'$+-$'(q%)/0+/%7'&,&-0+&**3%'(7$+(.%-/(%7(*&3%#8%-/(%+0'+$0-%A3%&%8&+-#'%#8%@1%&67

-/(%2#)('% A3%&% 8&+-#'% #8% OC% ;6% #'7('% -#% '(&*0](% -/(%6()%.-'$+-$'(1% -)#% *&3('.% #8% #'4&60+% -'&6.0.-#'.% &'(

.-&+_(7%06%-/'((%70,(6.0#6.1%8#'%-/(%80'.-%-0,(1%-#4(-/('%)0-/%#'4&60+%2/#-#57(-(+-#'.1%$.064%*&.('%7'0**064C

:/(% '(.$*-064% 8&.-('% +0'+$0-% /&.% (6&A*(7% &% ./((-5-32(% .+&66('% ,&7(% )0-/% 8*(B0A*(% 2*&.-0+% ./((-.C% :/0.

2#'-&A*(1%$*-'&5*04/-5)(04/-%&67%8*(B0A*(%.+&66('1%0.%,&7(%2#..0A*(%A3%#'4&60+5-'&6.0.-#'%-(+/6#*#43C

I 2'#-#-32(%#8%-/(%./((-5-32(%.+&66('%0.%*(..%-/&6%&%,0**0,(-('%-/0+_1%)0-/%&6%&'(&%#8%P%.[$&'(%+(6-0,(-('.1

)0-/%MK%B%MK%'(.#*$-0#6C%;8%K%,0**0#6%20B(*.%)('(%-#%A(%0,2*(,(6-(7%06%&%8$-$'(%7(.0461%-/(%-0,(%8#'%&%.064*(

.+&6%0.%(.-0,&-(7%-#%A(%*(..%-/&6%#6(%,06$-(%$.064%-/(.(%^5W%#'4&60+%+0'+$0-.C

S%=EQ"R;TU: >??K%;<<==VWE FE: RGQREWX=G Y;:UEX: QGRZ;<<;EF

>L?

SM!L5SM!L5

!!

!

!

!

!

!

"##$$!

%&'##()*!

!"#$$%&'!

!"#$%&'()*+,--.+'//""(0#+1#*+&2$&#03"2+4'*)#3*+$2&5'//'#1+

,67!

!!

(($$$$))))**!!""##$$$$%%&&''**"#$%!&&'&(')((*!

!

!&+&,! ! &!+-./01023-'&!,.4.5123-!

67! ! +81-9!7#/#-.213/!:1-#;#00!

6+! ! 6<+-./01023-!

)*! ! =#;2.<01>?.,)*@! ! =#;2.<01>?.!@39A;.23-!

!

B'=C!B=,!! B/.;3><23<=1>12.;!,3/$#-2#-!

BB,! ! B9$./5#9!BA913!,391/>!

B,D! ! B9E.5#/2!,8.//#;!D/2#-F.5#!

B,G"! ! B9E.5#/2!,8.//#;!G#.H.>#!I3J#-!".213!

B,I"! ! B9E.5#/2!,8.//#;!I3J#-!".213!

B==GG! ! B;;!=1>12.;!=#;.K!G35H#9!G334!

B=LG! ! B0K/58-3/3A0!=1>12.;!LAM05-1M#-!;1/#!

BNL! ! B9$./5#9!N/5-K4213/!L2./9.-9!

BO,! ! BA23?.215!O-#PA#/5K!,3/2-3;!

BON! ! B/.;3>!O-3/2!N/9!

B7,! ! BA23?.215!7.1/!,3/2-3;!

BGQ! ! B-128?#215!G3>15!Q/12!

B@! ! B?4;12A9#!@39A;.213/!

B@IL! ! B9$./5#9!@3M1;#!I83/#!L#-$15#!

B@L! ! B/.;3>!@1R#9!L1>/.;!

BI7! ! B;>3-128?15!I.22#-/!7#/#-.23-!

B"@! ! B9$./5#9!"DL,[email protected]/#!

BLD,! ! B44;15.213/<L4#51F15!D/2#>-.2#9!,1-5A12!

BLS! ! B?4;12A9#!L81F2!S#K1/>!

BLI! B9$./5#9!L1?4;#!I-3F1;#!T@IN7<*!U19#3V!

B+B! ! B+!B22.58?#/2!

B+=! ! B99-#00!+-./01213/!=#2#5213/!

B+N! ! BA23?.215!+#02!NPA14?#/2!

BU,! ! BA913!U10A.;!,W=N,!

!

XB:! ! XA;H!B53A0215!:.$#!

XX+! ! X./9!23!X./9!+A//#;1/>!

X=! ! X;A<-.K!9105!

XN"! ! X12!N--3-!".2#!

X7"! ! X./9!7.4!"#F#-#/5#!

X1,@WL! ! X143;.-!,3?4;#?#/2.-K!@WL!

XDWL! ! X.015!D/4A2'WA24A2!LK02#?!

XDL+! ! XA1;2<1/!L#;F<+#02!

XW@! ! M1;;!3F!?.2#-1.;0!

XY+! ! X143;.-!YA/5213/!+-./01023-!

XG! ! X12!G1/#!

XIO! ! X./94.00!O1;2#-!

XILS! ! X1/.-K!I8.0#!L81F2!S#K1/>!

X<UWI! ! X191-#5213/.;!U19#3!WME#52!I;./#0!

X:! ! X./9J1928!

!

,B=! ! ,3?4A2#-<B19#9!=#01>/!

,B@! ! ,3/2#/2!B99-#00.M;#!@#?3-K!

,BL!! ! ,3;A?/!B99-#00!L2-3M#!

,,,L! ! 5A--#/2<53/2-3;;#9!5A--#/2!03A-5#!

,,=! ! ,8.->#<53A4;#9!=#$15#!

,,UL! ! 5A--#/2<53/2-3;;#9!$3;2.>#!03A-5#!

,=@B! ! ,39#<=1$1013/!@A;214;#!B55#00!

,="! ! ,;35H!./9!=.2.!"#53$#-K!

,=L! ! 53--#;.2#9!93AM;#!0.?4;1/>!

,ZN! ! ,8.//#;!Z32!N;#52-3/!D/E#5213/!

,DL,! ! ,3?4;#R!D/02-A5213/!L#2!,3?4A2#-!

,@G! ! ,A--#/2<@39#!G3>15!

,@WL! ! ,3?4;#?#/2.-K!@WL!

,@""! ! ,3??3/<@39#!"#E#5213/!".213!

,@Q! ! ,;35H!@A;214;1#-!Q/12!

,W!! ! ,#/2-.;!WFF15#!

,W=N,! ! ,39#-<=#539#-!

,IQ! ! ,#/2-.;!I-35#001/>!Q/12!

,",! ! ,K5;15!"#9A/9./5K!,8#5H!

,L@B! ! ,.--1#-!L#/0#!@A;214;#!B55#00!

,+! ! 53/21/A3A0!21?#!

,QD! ! ,3??./9!Q0#-!D/2#-F.5#!

,U=! ! ,8#?15.;!U.43-!=#4301213/!

='BC!=B,!! =1>12.;<23<B/.;3>!,3/$#-2#-!

=BX! ! =1>12.;!BA913!X-3.95.021/>!

9XOL! ! 9X!-#;.21$#!23!OA;;!L5.;#!

=,W! ! =1>12.;;K!,3/2-3;;#9!W051;;.23-!

=,+! ! =105-#2#!,301/#!+-./0F3-?!

=,[W!! ! =1>12.;;K!,3/2-3;;#9!,-K02.;!W051;;.23-!

=="! ! =A.;!=.2.!".2#!

==L! ! =1-#52!=1>12.;!LK/28#010!

=N,+! =1>12.;;K!N/8./5#9!,3-9;#00!,3??A/15.213/!

=N@! ! 9K/.?15!#;#?#/2!?.2581/>!

=N@Q[! ! =#?A;214;#R#-!

=N@WL! ! =#4;#213/!@WL!

=ON! ! =#51013/!O##9M.5H!NPA.;1\#-!

=O@! ! =#01>/!F3-!@./AF.52A-.M1;12K!

=O+! =#01>/!F3-!+#02.M1;12KC!=105-#2#!O3A-1#-!+-./0F3-?!

=D@@! ! =A.;!D/!G1/#!@#?3-K!@39A;#!

=GG! ! =#;.K<G35H#9!G334!

=@B! ! =1-#52!@#?3-K!B55#00!

=@X! ! =1>12.;!@A;21?#91.!X-3.95.021/>!

=@WL! ! T=3AM;#<V=1FFA0#9!@WL!

=]B! ! =#3RK-1M3/A5;#15!B519!

=]G! ! =1FF#-#/21.;!]3/<G1/#.-12K!

="B@! ! =K/.?15!"./93?<B55#00!@#?3-K!

="! ! 9K/.?15!-./>#!

=",! ! =#01>/!"A;#!,8#5H!

=LG! ! =1>12.;!LAM05-1M#-!G1/#!

=LI! ! =1>12.;!L1>/.;!I-35#001/>!

=LLL! ! =1-#52!L#PA#/5#!L4-#.9!L4#52-A?!

=+! ! =105-#2#!+1?#!

=+G! ! =139#<+-./01023-!G3>15!

=Q+! ! =#$15#!Q/9#-!+#02!

=UX! ! =1>12.;!U19#3!X-3.95.021/>!

=UX<Z! ! =1>12.;!U19#3!X-3.95.021/><Z./98#;90!

=UX<L! ! =1>12.;!U19#3!X-3.95.021/><L.2#;;12#!

=UX<+! ! =1>12.;!U19#3!X-3.95.021/><+#--#02-1.;!

=U=! ! =1>12.;!U19#3!=105!

=UL! ! =K/.?15!U3;2.>#!L5.;1/>!

=:B! ! =.2.!:#1>82#9!B$#-.>1/>!

!

N,,! ! N--3-<,3--#521/>!,39#!

N,G! ! N?122#-<,3A4;#9!G3>15!

N,I! ! N?122#-<,3A4;#9!I.1-!

N=7N! N/8./5#9!=.2.!-.2#0!F3-!7;3M.;!N$3;A213/!

NNI"W@! N;#52-15.;;K!N-.0.M;#!I-3>-.??.M;#!"#.9<W/;K!

@#?3-K!

N@D! ! N;#52-3!@.>/#215!D/2#-F#-#/5#!

N]WX! ! NFF#521$#!]A?M#-!WF!X120!

NI"W@! N-.0.M;#!I-3>-.??.M;#!"#.9<W/;K!@#?3-K!

N"X:! ! NFF#521$#!"#03;A213/!X./9J1928!

NL=! ! N;#52-302.215!=1058.->#!

NU@! ! N--3-!U#523-!@.>/12A9#!

!

F0! 0.?4;1/>!F-#PA#/5K!

F+! 2-./01213/!F-#PA#/5K!

OB@WL! O;3.21/>!7.2#!B$.;./58#!D/E#5213/!@WL!+-./01023-!

O,,! O#9#-.;!,3??A/15.213/0!,3??10013/!TQ%L%V!

O=@B! ! O-#PA#/5K<=1$1013/!@A;214;#!B55#00!

O=]"! ! O-#PA#/5K!=#4#/9#/2!]#>.21$#!"#01023-!

ON,! ! O3-J.-9!N--3-!,8#5H1/>!

O#"B@! ! O#--3!N;#52-15!"./93?!B55#00!@#?3-K!

OO! ! O;14!O;34!

OON! ! O##9<O3-J.-9!NPA.;1\#-!

OO+! ! O.02!O3A-1#-!+-./0F3-?!

ODOW! ! O1-02!D/<O1-02!WA2!

GLOSSARY

©COPYRIGHT 2004 ISSCC#DO NOT REPRODUCE WITHOUT PERMISSION

214

FIR Finite Impulse Response Filter

FLOTOX Floating Gate Tunnel Oxide

FM Frequency Modulation

FN Fowler Nordheim

FOM Figure Of Merit

FPGA Field-Programmable Gate Array

FSK Frequency Shift Keying

FSM Finite State Machine

GBW Gain-Bandwidth Product

GCA Gain-Controlled Amplifier

GDDR Graphics Double Data Rate RAM

GFSK Gaussian Frequency-Shift Keying

GIDL Gate Induced Drain Leakage

GMSK Gaussian Minimum Shift Keying

GOPS Giga-Operations Per Second

GPRS General Packet Radio Service

GPS Global Positioning System

GSM Global Standard for Mobile Communication

HBT Hetero-junction Bipolar Transistor

HCI Host Controller Interface

HD High Density

HDL Hardware Description Language

HDTV High-Definition TeleVision

HPF High-Pass Filter

HVCMOS High Voltage Complementary MOS

HVMOS High Voltage MOS

I/O Input-Output

I/Q In Phase and Quadrature

IC Integrated Circuit

IF Intermediate Frequency

IIP3 Input referred Input Third-order Intercept Point

IIR Infinite Impulse Response Filter

IMD Inter-Modulation Distortion

INL Integral Non-Linearity

InP Indium Phosphide

IP Intellectual Property

IPSEC Internet (Network) Protocol for Security

ISI Inter Symbol Interference

ISM Industrial, Scientific and Medicine Band

JPEG Joint Photographic Expert Group

JTAG Joint Test Automation Group

LAN Local-Area Network

LCD Liquid Crystal Display

LDCMOS Laterally Diffused Complementary Metal Oxide Silicon

LDMOS Laterally Diffused Metal Oxide Silicon

LDO Low drop-out

LDPC Low-Density Parity Check

LED Light Emitting Diode

LFSR Linear Feedback Shift Register

LNA Low-Noise Amplifier

LO Local Oscillator

LPF Low-Pass Filter

LSB Least Significant Bit

LSI Large Scale Integration

LTPS Low Temperature Poly Silicon

LVDS Low Voltage Differential Signalling

LVS Layout Verification to Schematic

MAC Media Access Controller

MASH Multi-stage noise shaping

MB-OFDM Multi-Band OFDM

MCM Multi-Chip Module

MCU Micro Controller Unit

MEMS Micro-Electro-Mechanical System

MIM Metal-Insulator-Metal

MIMO Multiple Inputs, Multiple Outputs

MMIC Monolithic Microwave Integrated Circuit

MODEM Modulator-Demodulator

MOS Metal-Oxide-Semiconductor

MOST MOS Transistor

MPEG Motion Picture Expert Group

MSB Most Significant Bit

MRAM Magnetic Random Access Memory

MTJ Magnetic Tunnel Junction

MUX Multiplexer

NF Noise Figure

NMOS n-channel MOS transistor

NMOST NMOS transistor

NPN Negative-Positive-Negative bipolar transistor

NRTZ Non Return To Zero

NRZ Non-Return to Zero (also NRTZ)

NVM Non Volatile Memory

NVRAM Non Volatile Random Access Memory

OFDM Orthogonal Frequency Division Multiplexing

OIF Optical Internetworking Forum

OIP3 Output referred Third-Order Intercept Point

ONO Oxide Nitride Oxide

OOK On-Off Keying

OSR Over-Sampling Ratio

OTA Operational Trans-conductance Amplifier

OTP One Time Programmable

P1dB 1dB gain compression point

PA Power Amplifier

PAE Power Added Efficiency

PAM Pulse Amplitude Modulation

PAN Personal Area Network

PCB Printed Circuit Board

PCM Pulse Code Modulation

PDA Personal Data Assistant

PFD Phase and Frequency Detector

PGA Programmable Gain Amplifier

PHEMT Pseudomorphic High-Electron-Mobility Transistor

PHY Physical Layer

PLA Programmable Logic Array

PLC Power-Line Communication

PLD Programmable Logic Device

PLL Phase-Locked Loop

PMOS p-channel MOS transistor

PMOST PMOS transistor

PNP Positive-Negative-Positive bipolar transistor

PON Passive Optical Network

POTS Plain Old Telephone Service

PPM Pulse-Position Modulation

PRAM Phase-change RAM

PRBS Psuedo-Random Binary Sequence

PRML Partial Response, Maximum Likelihood

PROM Programmable Read-Only Memory

PSD Power Spectral Density

PSK Phase Shift Keying

PSNR Peak SNR

PSRR Power Supply Rejection Ratio

PTAT Proportional to Absolute Temperature

PVD Physical Vapor Deposition

PVT Process, Voltage, Temperature

PWM Pulse-Width Modulation

QAM Quadrature Amplitude Modulation

QDR Quad Data Rate

QoS Quality of Service

QPSK Quadrature Phase Shift Keying

QVCO Quadrature Voltage Controlled Oscillator

QVGA Quarter Video Graphics Array

RAM Random-Access Memory

RF Radio Frequency

RISC Reduced Instruction Set Computer

ROM Read-Only Memory

rms Root Mean Square

GLOSSARY

©COPYRIGHT 2004 ISSCC#DO NOT REPRODUCE WITHOUT PERMISSION

215

RSA A public-key cryptographic system, named after: Ron

Rivest, Adi Shamir, and Leonard Adleman

RSSI Received Signal Strength Indicator

RTL Resistor-Transistor Logic

RTZ Return To Zero

RX Receiver

RZ Return to Zero (also denoted by RTZ)

SATA Serail AT-Attachment

SC Switched Capacitor

SCP Source-coupled pair

SCR Silicon Controlled Rectifier

SDRAM Synchronous Dynamic Random-Access Memory

SEM Scanning Electron Microscope

SER Soft Error Rate

SerDes Serializer/Deserializer

SFDR Spurious Free Dynamic Range

SFI Serdes Framer Interface

S/H Sample-and-Hold

SHA Sample-and-Hold Amplifier

SiGe Silicon Germanium

SILC Stress Induced Leakage Current

SIMD Single Instruction, Multiple Data

SIP Single Inline Package

SiP System in a Package

SMP Symmetric Multi-Processing

SNDR Signal-to-Noise and Distortion Ration

SNR Signal-to-Noise Ratio

SoC System on a Chip

SOI Semiconductor on Insulator

SONET Synchronous Optical Network

SONOS Silicon-Oxide-Nitride-Oxide-Silicon

SOS Silicon On Saphire

SPI System Packet Interface

SRAM Static Random-Access Memory

SSB Single Side Band

SSTL Stub Series Terminated Logic

TC Temperature Coefficient

TCAM Ternary Content Addressable Memory

TDDB Time Dependent Dielectric Breakdown

TDMA Time Division Multiple Access

TEM Tunneling Electron Microscope

TFT Thin-Film Transistor

T/H Track and Hold

THA Track-and-Hold Amplifier

THD Total Harmonic Distortion

THD+N THD plus noise

TOPS Tera Operations Per Second

TTL Transistor-Transistor Logic

TX Transmitter

UDTV Ultra-High Definition Television

UHF Ultra-High Frequency

UI Unit Interval

UIPP Unit Interval Peak-to-Peak

U-NII Unlicensed National Information Infrastructure

UMTS Universal Mobile Telecommunication System

UPROM Unerasable Programmable Read Only Memory

USB Universal Serial Bus

UWB Ultra WideBand

VCCS Voltage-Controlled Current Source

VCDL Voltage-Controlled Delay Line

VCO Voltage-Controlled Oscillator

VCVS Voltage-Controlled Voltage Source

VCXO Voltage-Controlled Crystal Oscillator

VCSEL Vertical Cavity Surface Emitting Laser

VDMOS Vertically diffused MOS

VGA Variable-Gain Amplifier, Video Graphics Array

VLIW Very Long Instruction Word

VLF Very Low Frequency

VLSI Very Large-Scale Integration

VSWR Voltage Standing-Wave Ratio

WAN Wide-Area Network

WCDMA wideband Code-Division Multiple Access

WEP Wired Equivalent Privacy

WiFi Wireless Fideleity; an interoperability certification for

WLAN products based on the IEEE 802.11 standard

WL Word Line

WLAN Wireless Local-Area Network

XAUI 10 Gigabit eXtended Attachment Unit Interface

GLOSSARY

©COPYRIGHT 2004 ISSCC#DO NOT REPRODUCE WITHOUT PERMISSION

216

!!

PPRREEFFIIXXEESS AANNDD UUNNIITT AABBBBRREEVVIIAATTIIOONNSS

!!"!!!!!!"#$! ! ! ! !%!!!!!%&'(!! !!!!!!!!! !!$!!!!!!!$#)*&!! ! ! +!!!!!,#-.!! !!!!!!!!!!!!,!!!!!!,&''&! ! ! ! !/!!!!!-&-.!! !!!!!!!!!!!!$!!!!!!!,&$0(! ! ! !1!!!!!!*#0.!! !!!!!!!!!!!!)!!!!!!!).)(!! !!!!!!!!! !!2!!!!!!!2&$(!! !!!!!!!!!!!3!!!!!!!3#,*(!! !!!!!!!!!!!!.!!!!!!!.**(!______________________________________________________________________________

!!4!!!!!!.,2#0#5! !!!!!!!!!!!!!!!!!!!!!6!!!!5&#,#)5!!!!!!!!!!!!!!!!!!!!!!7!!!!!!.)-5*0(,5! ! ! !!!5!!!!5#$()"5!! ! !!8!!!!!!!8&*5! ! ! ! !!!9!!!!9('*5!! ! !!:!!!!!!!8;*#5! ! ! !!<!!!=.**5!! ! !!>! !$(?'(,85! ! !!!!!!!!!!!!!!!!(@,5!!! ! !!A>!!!!!"#-0##5!>#'5&?5!! ! !!B! !3.0."5!! !!!!!!!!!!!3*!!!!!!3##*!!!!!!!!!!!!!!!!!!!!!!C!!!!!!@#)0;5!!!!!! ! !!CD!!!!!@#0*D!!! ! !!&)E!!!!!&)$@#5! ! ! ! !! ! !!F!!!!!!!G(?'#5!! ! !!H!!!!!!H#'9&)!! ! !!,!!!!!,#*#05!!!!!!!!!!!!!!!!!!!!!!0."!!!0."&.)5!!

!!

!!

!"#$%!$&

'#(")*%$'"#&

&

! !

"#$%&'()*+!,--.!(//##01$!2$+!'3%'$14#3!5(+*$4+!%3'6(//($2!,78!

!!""##$$%%&&##''(())""**++"",,!!--))!

'%'(./!9/:;;<=>;!?@!8@!7A@!,BCD! ! ! ! 01234),56789:5;!E>FG=H!1:I<J:;!=KK<J:!9BL7C!8MBN7,?L!KFO!9BL7C!8MBN7-77!PFI<PQR=S:RT;=>UF>FG=HQJ=V!

!

0&/&!'(!9/:;;<=>;!7-@!7?@!,-@!,LCD! ! ! ! <3==31>)?5@A3==!(>T:G!!=KK<J:!98BLC!AAMN,L,8!KFO!98BLC!AAMN,L--!S<GGQS=WX<GGU<>T:GQJ=V!

!

&B'/",-!9/:;;<=>;!7M@!78@!M-CD! ! ! ! 01;37=)BC/819A!3F;TVF>!Y=PFZ!=KK<J:D!9ALAC!B,,N,--L!KFOD!9ALAC!.BBNA8A,!PF><:GQVJHRFTXUZ=PFZQJ=V!

!

B"B.,D!9/:;;<=>;!,@!,A@!,?CD! ! ! ! E19:FGFH3)-195!3G[<PF!6:V=R\!=KK<J:!]L7NMNM,L7N7B88!KFO!]L7NMNM,L7N7?MM!/FT=N!YFT;^\^Z<U:G[<PFQJ=V!

!

-&/%'()+,.#"--&%/!9/:;;<=>;!B@!,.@!M7CD! ! <1;41)/1::!+:OF;!(>;TR^V:>T;!(>JQ!=KK<J:!9,7.C!.L-N?-L-!KFO!9,7.C!.L-N,BA,!HF;;UT<QJ=V!

!

!"#$%.(./D)0&,"#!&.%-!9/:;;<=>;!.@!7.@!,7@!M,CD! ';1;9A1)#A1;481H1:1;!6F;;FJX^;:TT;!(>;T<T^T:!=K!+:JX>=G=H\!=KK<J:!9?7BC!,ALNB?78!KFO!9?7BC!,AMNA-AM!F>F>TXFUVTGQV<TQ:P^!!

<&,"("--!9/:;;<=>;!A@!77@!7B@!,M@!,8CD! ! ! !8F4G)-979I=78!+:OF;!(>;TR^V:>T;!=KK<J:!9,L7C!,B.NMBM?!KFO!9,L7C!,B.N.ML7!T;T:T_G:R7UT<QJ=V!!

<&,"(&%"!9/:;;<=>;!M@!L@!7,@!7L@!,,CD! ! ! J81;I)037=1CA78!(>K<>:=>!+:JXQ!E^;TR<F!=KK<J:!.MN.,.,NM-AN?MB?!KFO!.MN.,.,NM-AN?,,M!KRF>_QP<:GFJX:RU<>K<>:=>QJ=V!

!

! !

"#$%&'()*+!,--.!(//##01$!2$+!'3%'$14#3!5(+*$4+!%3'6(//($2!,20!

//""%%"",,''(())##..%%!!''##!!--))!!

+,./,'B)#$'&,K)&--##)LMMNO) !!!!!!! ! &1;)D5F;P!(>T:G!=KK<J:!9A-MC!?7MN88,M!KFO!9A-MC!?7MNL-M8!<F>Q\=^>HU<>T:GQJ=V!

!

+,./,'B)Q&#"R#$'&,K)&--##)LMMNO!! ! S1;)-727;A1;:!`3E!Ea#!=KK<J:!]M,!M!,-A!8,.,!KFO!]M,!M!,,?!..L.!b=F>>:;Q;:I:>XF>;UG:FJ=VQKR!

!

+,"--R,"('!&.%-)#$'&,O! ! ! ! E7;;79A)#T)->39A!4><I:R;<T\!=K!+=R=>T=!=KK<J:!9.7?C!.7LNM-M.!KFO!9.7?C!8B7N,,L?!GJK^b<>=UJ;QJ=V!

!

+,"--)(&'-&.%O! ! ! ! ! ! 031;7)B7=95;!#=^RT:;\!E;;=J<FT:;!=KK<J:!9,-,C!M?BN,.A?!KFO!9,-,C!8BMNLB,,!<;;JJ,--AUJ=^RT:;\F;;=JQJ=V!!

2005

PRESS KIT

ISSCC.ORG

Produced by: S3 Digital Publishing, Inc.

Lisbon Falls, Maine USA

[email protected]