PRESENTATION EXAMPLE - DATED MATERIALS - NOT FOR COMMERCIAL USE (2)
-
Upload
joseph-berwind -
Category
Documents
-
view
316 -
download
7
Transcript of PRESENTATION EXAMPLE - DATED MATERIALS - NOT FOR COMMERCIAL USE (2)
Alternative Energy Investing, LLC
PhotovoltaicsJuly 2012
• Solar Subsidies in Key Regions• Net Benefit Analysis• Margin of Safety Analysis
PV UPDATE | MARKET
Market
Industry
Returns
Demand
Supplies
Efficiency & Scale
Invest on R&D &
production
Improve Sales &
Revenue
Increase demand
Reduce cost and
price
Company Performance
Analysis
Industry Trend
Analysis
Cost Simulation
Analysis
• Leaders & Laggards• Solvency Analysis• Financial Health summary• Cost Structure Analysis• Cost Vs Price
• Revenue Trend Analysis• Volume Trend Analysis• R&D Trend Analysis• Inventory Trend Analysis• Price Trend Analysis
Identifying key technological development and simulating the cost of production of PV modules under different scenarios.
PV Demand Assessment
PV Supply Assessment
PV Market Trend
Analysis
• Demand Forecasting• Demand Equations• Demand Assessment in key regions• PV Market Share Distribution• Demand by Technology & cell type
• PV Supply Assessment• Top players• Global Capacity & Production • Technological distribution• PV Supply Chain Imbalances
Source: AEI Consulting
Source: AEI ConsultingJuly 2012 Joseph Berwind
7,400 7,500 8,330 8,916 9,570
6,050 5,398 2,781 2,346 2,372
1,100 2,161 2,716 3,040 3,374
600 1,900 3,263 4,141
5,021 980
1,216 1,500 1,899
2,093
2,709
3,251 4,659
8,795
9,718
20,187
23,895 25,110
31,602
34,918
-
5,000
10,000
15,000
20,000
25,000
30,000
35,000
40,000
2010 2011 2012 2013 2014
PV Demand: Current Assessment (MW)
Germany Italy Spain United States China Japan France South Korea India ROW
PV Demand: The Current Assessment
Source: AEI Consulting
July 2012 Joseph Berwind
21,713
27,866
31,318 25,110
31,602
34,918
23,895
31,414
38,592 41,712
2011 2012 2013 2014
Global PV Demand
PV DEMAND: UP/DOWN RISKS
Source: AEI Consulting
July 2012 Joseph Berwind
GERMAN SUBSIDY - UPDATE
23rd Feb 2012 Proposed one time cut from 9th March followed by monthly tariff reduction beginning May.
• The new tariff to be applicable starting 9th March to be as follows: Systems upto 10 KW: 19.5 cents; 10 kW to 1 MW: 16.5
cents and 1 to 10 MW: 13.5 cents. No subsidy for plants over 10 MW.
• The monthly tariff cut of 0.15 cents starting from May was proposed.
• Only 85% to 90% of energy produced would be eligible to receive subsidies
• If annual installations exceeds corridor of 2.5 to 3.5 GW, Ministry could cut tariff without going through Parliament
29th Feb 2012 Germany’s Cabinet approved the tariff cut proposal so that it will be sent to Parliament for consideration
05th Mar 2012 At Berlin, 12000 people gathered protesting the proposed FiT cut. Several solar companies including Q Cells, First Solar,
Conergy, Juwi, Bosch, RenoSolar, Centrosolar etc participated in the rally.
06th Mar 2012 The FiT cut was postponed to 1st April for roof top systems and 1st July to ground mounted systems
09th Mar 2012 The FiT amendment was discussed in the Parliament and Opposition parties rejected the new proposal. A final decision on
Germany’s new FIT is planned for 30th March.
27th Mar 2012 The revised PV draft revoked the clause that allowed the Ministry to make changes to the tariff if installation exceeds
corridor without going through Parliament. It is agreed that if annual installation exceeds 7.5 GW, a tariff cut upto 29% can
be made. If the annual installation is less than 1 GW, the subsidy cut will cease. If the installations are within prescribed
corridor 2.5 to 3.5, a maximum tariff cut of 11.4% can be made.
30th Mar 2012 Finally, German Parliament finally approved the drastic cuts to the solar subsidies. The cuts will be implemented on 1st April
and will be as high as 29% depending on the size of installation. This has been apparently done to curb the pace of solar
development in the country
16th Apr 2012 Financial Times Deutschland reported that 1.9 GW new solar capacity has been installed in Germany during 1st Quarter
2012. It is reported that 450 MW in January, 200 MW in February and 1.25 GW IN March have been installed. The “mini
boom” occurred in March was attributable to confusion over subsidy hanging since February.
Joseph BerwindJuly 2012
GERMAN SUBSIDY - UPDATE
11th May 2012 Germany’s Bundestrat (Federal Council) voted for invocation of mediation committee with the Federal Parliament to
revise renewable energy policy. The mediation committee will comprise members of Federal Council and Parliament and
will now consult on a compromise for PV Subsidies. The new changes recommended by mediation committee will come
into force from 1st Jun 2012 instead of 1st April.
07th Jun 2012 The mediation committee convened a meeting of Bundestrat Upper house. The new EEG due to come into effect on 1st
July has been stopped for immediate future. However the German government is expecting that they would be able to
have a retrospective validity.
28th Jun 2012 The mediation committee reached a compromise. The new EEG is accepted by both the houses. The Highlights:
• The new tariff to be applicable retrospectively from 1st April
• The new tariff will be as follows: system upto 10kW: 19.5 cents; 10 to 40 kW: 18.5 cents; 40 kW to 1 MW: 16.5 cents; 1 MW
to 10MW: 13.5 cents; No incentives for systems above 10 MW.
• A monthly degression of 1% will be retrospectively effective from 1st May.
• From November, depending on the MW installed, monthly degression can be increased or decreased between +2.8%
to -0.5%
• The rates are to remain stable in 2013 after 15 monthly cuts. The annual cut will begin next in 2014.
Joseph BerwindJuly 2012
SUBSIDY CHANGE IN GERMANY
€ 0.2443€ 0.2323
€ 0.2198
€ 0.1833 € 0.1833€ 0.1950 € 0.1850
€ 0.1650
€ 0.1350
€ 0.0000€ 0.0000
€ 0.0500
€ 0.1000
€ 0.1500
€ 0.2000
€ 0.2500
€ 0.3000
<10kW 10 to 40kW 40kW to 1MW 1 to 10MW > 10 MW
Situation in April 2012
Existing Proposed
System Size (kW) Apr-12 May-12 Jun-12 Jul-12 Aug-12 Sep-12 Oct-12 Nov-12
<10kW € 0.1950 € 0.1931 € 0.1911 € 0.1892 € 0.1873 € 0.1854 € 0.1836 € 0.1818
10 to 40kW € 0.1850 € 0.1832 € 0.1813 € 0.1795 € 0.1777 € 0.1759 € 0.1742 € 0.1724
40kW to 1MW € 0.1650 € 0.1634 € 0.1617 € 0.1601 € 0.1585 € 0.1569 € 0.1553 € 0.1538
1 to 10MW € 0.1350 € 0.1337 € 0.1323 € 0.1310 € 0.1297 € 0.1284 € 0.1271 € 0.1258
> 10 MW € 0.0000 € 0.0000 € 0.0000 € 0.0000 € 0.0000 € 0.0000 € 0.0000 € 0.0000
Subsidy in Germany as per new EEG
Source: AEI Consulting
Source: EEG & AEI Consulting
Joseph BerwindJuly 2012
IMPACT OF SUBSIDY CHANGE
€ 0.2323€ 0.2091
€ 0.1882€ 0.1659
€ 0.1523€ 0.1370
€ 0.0000
€ 0.0500
€ 0.1000
€ 0.1500
€ 0.2000
€ 0.2500
2012 2013 2014
Average subsidy for a 100 kW Rooftop
Existing Proposed
3.84%4.11%
4.41%
1.76%2.26%
2.67%
0.00%
1.00%
2.00%
3.00%
4.00%
5.00%
2012 2013 2014
Average Excess Return for a 100 kW Rooftop
Existing Proposed
8,330 8,916
9,570
5,813 4,909
5,791
-
2,000
4,000
6,000
8,000
10,000
12,000
2012 2013 2014
Germany PV Demand (MW)
Existing Proposed
Subsidy Cut
Fall in Excess Return
Drop in PV Demand
Source: AEI Consulting
Source: AEI ConsultingSource: AEI Consulting
Joseph BerwindJuly 2012
ITALY: V CONTO ENERGIA
July 2012
• Italy is likely to implement a new subsidy program called Fifth Conto Energia. This will replace the existing subsidy program, Fourth Conto Energia
• Fifth Conto Energia is likely to be effective when €6 bn subsidy cap is reached. Contoenergia IV will be valid for 45 days after the limit is met. This could happen between July and October 2012.
• The Italian Government has provided only additional €700 mn for photovoltaics under V Conto Energia.
• It has been said that for removal of asbestos and/or use of European component, a bonus of €0.03/kWh is paid.
Joseph Berwind
ITALY: V CONTO ENERGIA
July 2012
System Type 2Q12
BIPV 1 to 3 kW € 0.4180
BIPV 3 to 20 kW € 0.4180
BIPV 20 to 200 kW € 0.3800
BIPV 200 to 1000 kW € 0.3800
BIPV 1000 to 5000 kW € 0.3520
BIPV > 5000 kW € 0.3520
BAPV 1 to 3 kW € 0.2740
BAPV 3 to 20 kW € 0.2470
BAPV 20 to 200 kW € 0.2330
BAPV 200 to 1000 kW € 0.2240
BAPV 1000 to 5000 kW € 0.1820
BAPV > 5000 kW € 0.1710
System Type 1-Semister 2-Semister 3-Semister 4-Semister 5-Semister
BIPV 1 to 3 kW € 0.2080 € 0.1820 € 0.1570 € 0.1440 € 0.1330
BIPV 3 to 20 kW € 0.1960 € 0.1710 € 0.1490 € 0.1370 € 0.1280
BIPV 20 to 200 kW € 0.1750 € 0.1570 € 0.1410 € 0.1310 € 0.1220
BIPV 200 to 1000 kW € 0.1420 € 0.1300 € 0.1180 € 0.1110 € 0.1060
BIPV 1000 to 5000 kW € 0.1260 € 0.1180 € 0.1100 € 0.1050 € 0.1000
BIPV > 5000 kW € 0.1190 € 0.1120 € 0.1040 € 0.0990 € 0.9500
BAPV 1 to 3 kW € 0.2010 € 0.1760 € 0.1520 € 0.1400 € 0.1300
BAPV 3 to 20 kW € 0.1890 € 0.1650 € 0.1440 € 0.1330 € 0.1240
BAPV 20 to 200 kW € 0.1680 € 0.1510 € 0.1360 € 0.1260 € 0.1180
BAPV 200 to 1000 kW € 0.1350 € 0.1240 € 0.1130 € 0.1070 € 0.1020
BAPV 1000 to 5000 kW € 0.1200 € 0.1130 € 0.1060 € 0.1010 € 0.9700
BAPV > 5000 kW € 0.1130 € 0.1060 € 0.9900 € 0.0950 € 0.9200
Existing FiT
Proposed FiT
Joseph Berwind
SOLAR TRADE WAR – STORY SO FAR
July 2012
Event AD CVD
Petition filed by
SolarWorld & others
19th Oct 2011 19th Oct 2011
DoC initiates
investigation
8th Nov 2011 8th Nov 2011
ITC preliminary
determination1
5th Dec 2011 5th Dec 2011
DoC preliminary
determination2
27th Mar 2012 12th Jan 2012
DoC Final
determination2
11th Jun 2012 27th Mar 2012
ITC final
determination3
25th Jul 2012 11th May 2012
Issuance of Orders4 1st Aug 2012 18th May 2012
1. If ITC makes negetive determination of injury, the investigations are
terminated
2. These deadlines may be extended by governing statute
3. This will take place only in the event of final affirmative determinations from
Commerce
4. This will take place only in the event of final affirmative determinations from
Commerce & ITC
• Led by SolarWorld, a group called CASM filed antidumping petitions with the US Department of Commerce (DoC) and the US International Trade Commission (ITC), claiming Chinese manufacturers are illegally dumping c-Si cells into the US market and are receiving illegal subsidies from China government
• Another group CASE (including Chinese solar makers such as STP, TSL etc) said that competition is making affordable solar energy a reality and CASM’s action to block or dramatically curtail solar product imports from China places that goal at risk.
• In late January, DoC issued a critical circumstances ruling by stating that there is a reasonable basis to believe or suspect that certain subsidy allegations under investigation are inconsistent with SCM agreement and there has been massive imports from STP, TSL in a short span of time.
• On March 12, President Obama signed a law authorizing DoC to apply CVD on non market economy countries like China.
• On March 20, DoC released its preliminary determination of CVD for Chinese solar manufacturers at 2.9% to 4.73% range.
Joseph Berwind
SOLAR TRADE WAR – WHAT IS THE IMPLICATION?
July 2012
• Although the determined duty is much lower than anticipated by some investors, it could be material headwind to Chinese solar makers, with potentially much more anti-dumping duty decision yet to come.
• Already suffering from lean margins, Chinese solar makers may not be able to take the additional charges on account of these duties and hence we believe these could be passed on to the customers.
• Currently China’s share in the global production could be roughly 50%. Preliminary determined CVD is in the range of 2.90% to 4.73%. This implies,1.5% to 2.5% additional charges or ASP increase.
• In our view, 1.5% to 2.5% increase in module pricing may not significantly change the demand dynamics and project timing. As per our assessment 3% price rise could reduce demand by 300 MW to 400 MW
• Coupled with this, a higher anti-dumping duty could change the module prices significantly resulting in making solar returns unattractive and hence reducing the demand. There are rumors that anti-dumping duties could be as high as 20% to 80%
25,171 24,726
22,259
28,860 28,457
26,225
32,376 32,005
29,953
20,000
22,000
24,000
26,000
28,000
30,000
32,000
34,000
Cu
rre
nt
1.0
%
2.0
%
3.0
%
4.0
%
5.0
%
6.0
%
7.0
%
8.0
%
9.0
%
10.0
%
11.0
%
12.0
%
13.0
%
14.0
%
15.0
%
16.0
%
17.0
%
18.0
%
19.0
%
20.0
%
Glo
ba
l P
V D
em
an
d (
MW
)Increase in ASP $/W (due to CVD etc)
Global PV Demand sensitivity to Price
2012 2013 2014
• A preliminary decision on anti-dumping duty is scheduled for May 27 and a final decision on both the duties are known by end of the year.
• We estimated the global demand at 25.1 GW, 28.9 GW and 32.4 GW for 2012, 2013 and 2014 respectively. Increase in module price due to CVD or AD could reduce the demand. However, the impact of proposed CVD could be <400 MW demand risk.
Source: AEI Consulting
Joseph Berwind
3%3%3%9%
6%
28%11%
12%
10%
15%
Malaysia
Philippines
Qatar
Russia
Singapore
Australia
Thailand
Taiwan
India
South Korea
17%
19%
20%
44%
Mexico
Argentina
Brazil
Canada
15%
15%
16%9%
13%
16%
16%
Iran
Iraq
Morocco
Saudi Arabia
Israel
5%
17%
3%1%6%
9%32%
20%
3%3%1%AustriaBelgiumCyprusCzech RepublicPortugalSwitzerlandUnited KingdomGreeceHungaryNorwaySweden
Americas,
17%
Asia &
Australia,
30%
Europe,
32%
ME &
Africa, 21%
PV Demand: Growth in ROW
July 2012
8,330
8,916
9,570
2,781
2,346
2,372
3,263
4,141
5,021
5,022
9,481
10,475
- 10,000 20,000 30,000
2012
2013
2014
PV Demand - MW
Germany ItalySpain United StatesChina JapanFrance ROW
ROW BREAKUP
Key Markets
2013
1593 MW
2013
2840 MW
2013
3068 MW
2013
1979 MW
Source: AEI Consulting Source: AEI Consulting
Joseph Berwind
ROW: PV Demand Catalyst
Sl
NoCountry Drivers & Catalysts Chart
1 Australia Australian FiT schemes are state based. Several stakeholders are pushing for a
nation-wide subsidy. But some states are already considering phasing out
subsidy programs. New South Wales is considering retroactive subsidy cuts. The
political instability is expected to slow down the growth in the near term.
2 Canada Ontario Province introduced generous subsidy in 2010 which led to significant
growth in PV demand. The subsidies were cut in 2011 end, but other provinces
are also introducing subsidy, which should support further growth, demand
shifting away from Ontario.
3 UK UK market boomed in 2011 when the government announced a fast track
review of FiT. Though subsidies are slashed more than 50%, still the market
generates attractive returns. Recently the PV target by 2020 is revised to 22 GW,
which should spur the demand going forward.
4 Belgium Belgium is made up of three markets: Flanders, Wallonia and Brussels. The PV
policy supports small scale and residential systems. The support is given by way
of green certificates and net metering. For systems above 10kVA, 50% should be
self consumed. The support for systems over 250kW is still insufficient.
5 Greece Although the market is constrained by debt crisis and difficulty to finance PV
projects in the short-run, future for PV looks promising in Greece. By 2020 Greece
has an objective of 2.2 GW of cumulative PV. FiT rates in Greece is higher than in
several other European countries. Helios project announced in Aug 2011 aims at
installing >10 GW by 2020.
Joseph BerwindJuly 2012
ROW: PV Demand Catalyst
Sl
NoCountry Drivers & Catalysts Chart
6 India India’s National Solar Mission was launched in 2010 in order to achieve the
target of 22 GW by 2020. Several State governments have adopted policies to
promote solar. The Gujarat State has set a target of 7% Renewable Energy
standard of which 1% is solar. The state of Rajasthan has signed 1.5 GW of solar
projects with developer with a target of 10-12 GW in next 10 years
7 S Africa Despite of attractive solar radiation, the PV installation remained low until
recently. In 2012 large CPV systems are getting installed. By 2014, the
government expects to install 1450 MW under Renewable Energy Independent
Power Procurement Program
8 Thailand Government has set a target to produce 25% of electricity through renewable
sources by 2021. Around 950 MW systems are approved for PPA (or FiT) by March
2012 of which ~150 MW has already been installed by 2011, according to
Ministry of energy.
Joseph BerwindJuly 2012
CURRENT ASSESSMENT: GROWTH
Source: AEI Consulting
July 2012
MW 2010 2011 2012 2013 2014
Germany 7,400 7,500 8,330 8,916 9,570
Italy 6,050 5,398 2,781 2,346 2,372
Spain 400 582 405 432 457
USA 1,100 2,161 2,716 3,040 3,374
China 600 1,900 3,263 4,141 5,021
Japan 980 1,216 1,500 1,899 2,093
France 817 1,634 1,094 1,347 1,555
Korea 131 152 218 411 455
India - 101 145 274 303
ROW 2,709 3,251 4,659 8,795 9,718
Global 20,187 23,895 25,110 31,602 34,918
Germany
Italy
USA
China
JapanKorea
0%
200%
400%
600%
800%
1000%
20112012
20132014
Percentage Growth over the Base
800%-1000%
600%-800%
400%-600%
200%-400%
0%-200%
MW 2010 2011 2012 2013 2014
Germany 100% 101% 113% 120% 129%
Italy 100% 89% 46% 39% 39%
Spain 100% 146% 101% 108% 114%
USA 100% 196% 247% 276% 307%
China 100% 317% 544% 690% 837%
Japan 100% 124% 153% 194% 214%
France 100% 200% 134% 165% 190%
Korea 100% 116% 166% 314% 347%
India 100% NA NA NA NA
ROW 100% 120% 172% 325% 359%Joseph Berwind
Demand EquationsFactors of Demand
PV DEMAND
System Price
Subsidies
Bond Rates
Interest Rates
Grid Prices
4Q11 TO 1Q12 CHANGE
Source: AEI ConsultingJuly 2012 Joseph Berwind
0.0%
1.0%
2.0%
3.0%
4.0%
5.0%
6.0%
7.0%Historical Interest Rates
Germany Italy Spain USAChina Japan France
0.0%
1.0%
2.0%
3.0%
4.0%
5.0%
6.0%
7.0%
1Q092Q093Q094Q091Q102Q103Q104Q101Q112Q113Q114Q11
Hisotorical Bond RatesGermany Italy SpainUSA China JapanFrance
-
0.10
0.20
0.30
0.40
0.50
0.60Historical Subsidies
Germany €/kWh Italy €/kWh
Spain €/kWh California USD/kWh
China USD/kWh Japan USD/kWh
Factors of Demand
Source: AEI Consulting
Source: AEI Consulting
Source: AEI Consulting`
Source: AEI Consulting
July 2012
$0.00
$1.00
$2.00
$3.00
$4.00
$5.00
$6.00
$7.00
1Q
07
2Q
07
3Q
07
4Q
07
1Q
08
2Q
08
3Q
08
4Q
08
1Q
09
2Q
09
3Q
09
4Q
09
1Q
10
2Q
10
3Q
10
4Q
10
1Q
11
2Q
11
3Q
11
4Q
11
Historical System Prices $/W
Module Prices BoS
Joseph Berwind
713
6,050 5,398
3.43%
4.64%
4.00%
1% excess
return
implies
1008 MW
demand
2009 2010 2011
Italy: Demand Vs Excess Return
650
1,100
2,161
1.71%
2.22%
4.33%1% excess
return
implies
474 MW
demand
2009 2010 2011
USA: Demand Vs Excess Return
400 400
582
4.00%
5.04%
2.98%
1%
excess
return
implies
115 MW
demand
2009 2010 2011
Spain: Demand Vs Excess Return
3,000
7,400 7,500
2.46%
2.90% 2.88%
1%
excess
return
implies
2172 MW
demand
2009 2010 2011
Germany: Demand Vs Excess Return
Demand EquationsHistorical Excess Returns & Demand
Source: AEI Consulting
Source: AEI Consulting
Source: AEI Consulting
Source: AEI Consulting
July 2012 Joseph Berwind
420
980
1,216
4.60%5.01%
6.77%
1% excess
return
implies
160 MW
demand
2009 2010 2011
Japan: Demand Vs Excess Return
120
600
1,900
0.03%0.13%
3.69%1% excess
return
implies
680 MW
demand
2009 2010 2011
China: Demand Vs Excess Return
Demand EquationsHistorical Excess Returns & Demand
Source: AEI Consulting
Source: AEI Consulting
Source: AEI Consulting
Source: AEI Consulting
July 2012
817
1,634 5.42%
4.16%
1% excess
return
implies 256
MW
demand
2010 2011
France: Demand Vs Excess Return
Joseph Berwind
26%
12%
12%11%
10%
5%
24%
Bayern
Brandenburg
Baden-Wurttemberg
Nordrhein-Westfaien
Neidersachsen
Sachsen-Anhalt
Others
Demand Risk in Germany
Source: AEI Consulting
Source: AEI Consulting
Source: BSW & AEI Consulting
July 2012 Source: AEI Consulting
7,153 7,804
8,525
8,330 8,916 9,570
7,500
10,468 10,948 11,487
2011 2012 2013 2014
Germany: MW
Jan to Sep
2011
Installations
In Germany
$1.91
$1.59
$1.32
$2.12
$1.76
$1.47
$2.34
$1.94
$1.62
2012 2013 2014
System Prices ($/W)
0.21
0.19
0.17
0.23
0.21
0.19
0.26
0.23
0.21
2012 2013 2014
Subsidies (/kWh)
3.43% 3.42% 3.43%
3.81% 3.81% 3.81%
4.19% 4.19% 4.19%
2012 2013 2014
Interest Rates %
1.88%2.03% 2.02%
2.09%
2.26% 2.24%
2.30%
2.48% 2.47%
2012 2013 2014
Bond Rates %
12.13 12.32 12.51
13.48 13.69 13.90
14.83 15.06 15.29
2012 2013 2014
Grid Prices (/kWh)
Assumption of Key Variables GermanyVariables 2012 2013 2014
System Prices $1.91 to $2.34 $1.59 to $1.94 $1.32 to $1.62
Subsidies €0.21 to €0.26 €0.19 to €0.23 €0.17 to €0.21
Interest Rates 3.43% to 4.19% 3.42% to 4.19% 3.43% to 4.19%
Bond Rates 1.88% to 2.3% 2.03% to 2.48% 2.02% to 2.47%
Grid Prices €12.13 to €14.83 €12.32 to €15.06 €12.51 to €15.29
Excess Returns 3.29% to 4.82% 3.59% to 5.04% 3.93% to 5.29%
Joseph Berwind
17.1%
10.4%
9.9%
9.1%8.4%
6.8%
6.7%
6.1%
3.8%
3.5%
18.2%
PUGLIA
LOMBARDIA
EMILIA ROMAGNA
VENETO
PIEMONTE
LAZIO
SICILIA
MARCHE
TOSCANA
ABRUZZO
Others
Demand Risk in Italy
Source: AEI Consulting
Source: AEI Consulting
July 2012
Source: AEI Consulting
2,171 1,744 1,791
2,781
2,346 2,372
5,398
3,919
3,463 3,450
2011 2012 2013 2014
Italy: MW
Cumulative
Installation
in Italy
$1.91
$1.59
$1.32
$2.12
$1.76
$1.47
$2.34
$1.94
$1.62
2012 2013 2014
System Prices ($/W)
0.19
0.15
0.13
0.21
0.17
0.14
0.23
0.18
0.16
2012 2013 2014
Subsidies (/kWh)
2.94% 2.93% 2.94%
3.27% 3.26% 3.26%
3.59% 3.58% 3.59%
2012 2013 2014
Interest Rates %
4.95% 4.96% 4.92%
5.50% 5.51% 5.47%
6.05%6.07% 6.01%
2012 2013 2014
Bond Rates %
14.09 14.11 14.13
€15.6
6
€15.6
8
€15.7
0
17.22 17.25 17.27
2012 2013 2014
Grid Prices (/kWh)
Assumption of Key Variables ItalyVariables 2012 2013 2014
System Prices $1.91 to $2.34 $1.59 to $1.94 $1.32 to $1.62
Subsidies €0.19 to €0.23 €0.15 to €0.18 €0.13 to €0.16
Interest Rates 2.94% to 3.59% 2.93% to 3.58% 2.94% to 3.59%
Bond Rates 4.95% to 6.05% 4.96% to 6.07% 4.92% to 6.01%
Grid Prices €14.09 to €17.22 €14.11 to €17.25 €14.13 to €17.27
Excess Returns 2.15% to 3.89% 1.73% to 3.44% 1.78% to 3.42%
Joseph Berwind
Demand Risk in Spain
Source: AEI Consulting
Source: AEI Consulting
July 2012
Source: AEI Consulting
335 366
393
405 432 457
582 535
558 578
2011 2012 2013 2014
Spain: MW
17%
15%
7%
6%6%5%
4%4%
3%3%
3%2%
25%
ZARAGOZAMURCIATENERIFEVALENCIAMADRIDBADAJOZBARCELONASEVILLACORDOBAALICANTEGRANADABALEARESOthers
4Q11
Installations
in Spain
$1.91
$1.59
$1.32
$2.12
$1.76
$1.47
$2.34
$1.94
$1.62
2012 2013 2014
System Prices ($/W)
0.17
0.15
0.14
0.19
0.17
0.15
0.21
0.18
0.17
2012 2013 2014
Subsidies (/kWh)
3.26% 3.26% 3.26%
3.62% 3.62% 3.62%
3.98% 3.98% 3.99%
2012 2013 2014
Interest Rates %
4.92% 4.93% 4.93%
5.47% 5.48% 5.48%
6.02% 6.03% 6.03%
2012 2013 2014
Bond Rates %
11.89
13.16
14.56 13.21
14.62
16.18 14.53
16.08
17.80
2012 2013 2014
Grid Prices (/kWh)
Assumption of Key Variables Spain
Variables 2012 2013 2014
System Prices $1.91 to $2.34 $1.59 to $1.94 $1.32 to $1.62
Subsidies €0.17 to €0.21 €0.15 to €0.18 €0.14 to €0.17
Interest Rates 3.26% to 3.98% 3.26% to 3.98% 3.26% to 3.99%
Bond Rates 4.92% to 6.02% 4.93% to 6.03% 4.93% to 6.03%
Grid Prices €11.89 to €14.53 €13.16 to €16.08 €14.56 to €17.8
Excess Returns 2.91% to 4.66% 3.18% to 4.85% 3.42% to 5.02%
Joseph Berwind
44%
14%
11%
8%
6%
4%2%
11% California
New Jersey
New Mexico
Arizona
Pennsylvania
North Carolina
Colorado
Others
Demand Risk in the USA
Source: AEI Consulting
Source: AEI Consulting
Source: AEI Consulting
July 2012 Source: AEI Consulting
2,521
2,852
3,192 2,716 3,040
3,374
2,161
3,083
3,397 3,721
2011 2012 2013 2014
USA: MW
3Q11
Installations
in the USA
$1.91
$1.59
$1.32
$2.12
$1.76
$1.47
$2.34
$1.94
$1.62
2012 2013 2014
System Prices ($/W)
0.03
0.03 0.02
0.04
0.03 0.03
0.04
0.03 0.03
2012 2013 2014
Subsidies (/kWh)
3.80% 3.80% 3.80%
4.23% 4.23% 4.23%
4.65% 4.65% 4.65%
2012 2013 2014
Interest Rates %
2.04%2.14% 2.15%
2.26%
2.37% 2.39%
2.49%
2.61% 2.63%
2012 2013 2014
Bond Rates %
11.49 11.54 11.59
12.76 12.82 12.88
14.04 14.10 14.17
2012 2013 2014
Grid Prices (/kWh)
Assumption of Key Variables USA
Variables 2012 2013 2014
System Prices $1.91 to $2.34 $1.59 to $1.94 $1.32 to $1.62
Subsidies $0.03 to $0.04 $0.03 to $0.03 $0.02 to $0.03
Interest Rates 3.8% to 4.65% 3.8% to 4.65% 3.8% to 4.65%
Bond Rates 2.04% to 2.49% 2.14% to 2.61% 2.15% to 2.63%
Grid Prices $11.49 to $14.04 $11.54 to $14.1 $11.59 to $14.17
Excess Returns 5.32% to 6.51% 6.02% to 7.17% 6.74% to 7.86%
Joseph Berwind
Demand Risk in France
25
July 2012
Source: AEI Consulting
Source: AEI Consulting
Source: AEI Consulting
21%
20%
16%
10%
7%
6%
6%
13%
Méditerranée
Sud-Ouest
Ouest
Rhône Alpes - Bourgogne
Est
Grand Centre
Réunion
Others
Source: ENR & AEI Consulting
1H11
cumulative
Installations
in France
$1.91
$1.59
$1.32
$2.12
$1.76
$1.47
$2.34
$1.94
$1.62
2012 2013 2014
System Prices ($/W)
0.19 0.19 0.19
0.21 0.21 0.21
0.23 0.23 0.23
2012 2013 2014
Subsidies (/kWh)
3.21% 3.20% 3.21%
3.56% 3.56% 3.56%
3.92% 3.92% 3.92%
2012 2013 2014
Interest Rates %
2.68%2.79% 2.78%
2.98%
3.10% 3.09%
3.28%
3.41% 3.40%
2012 2013 2014
Bond Rates %
8.14 8.21 8.27
9.05 9.12 9.19
9.95 10.03 10.11
2012 2013 2014
Grid Prices (/kWh)
965
1,227
1,440
1,094
1,347
1,555
1,634
1,333
1,575
1,773
2011 2012 2013 2014
France - MW
Assumption of Key Variables FranceVariables 2012 2013 2014
System Prices $1.91 to $2.34 $1.59 to $1.94 $1.32 to $1.62
Subsidies €0.19 to €0.23 €0.19 to €0.23 €0.19 to €0.23
Interest Rates 3.21% to 3.92% 3.2% to 3.92% 3.21% to 3.92%
Bond Rates 2.68% to 3.28% 2.79% to 3.41% 2.78% to 3.4%
Grid Prices €8.14 to €9.95 €8.21 to €10.03 €8.27 to €10.11
Excess Returns 3.78% to 5.21% 4.8% to 6.16% 5.63% to 6.93%
Joseph Berwind
Assumption of Key Variables China
Variables 2012 2013 2014
System Prices $1.91 to $2.34 $1.59 to $1.94 $1.32 to $1.62
Subsidies CNY0.9 to CNY1.1 CNY0.9 to CNY1.1 CNY0.9 to CNY1.1
Interest Rates 5.73% to 7% 5.7% to 6.97% 5.71% to 6.98%
Bond Rates 3.19% to 3.9% 3.27% to 4% 3.26% to 3.98%
Grid Prices CNY0.5 to CNY0.61 CNY0.51 to CNY0.62 CNY0.51 to CNY0.62
Excess Returns 4.37% to 6.62% 5.77% to 8.01% 7.14% to 9.47%
35%
25%
25%
10%5%
Jiangsu
Hebei
Qinghai
Tibet
Ningxia
2,774
3,660
4,532
3,263
4,141
5,021
1,900
4,198
5,087
6,013
2011 2012 2013 2014
China: MW
Demand Risk in China
26
July 2012
Source: Greentech Media
Source: AEI Consulting
Source: AEI Consulting
STP Projects
under
progress
$1.91
$1.59
$1.32
$2.12
$1.76
$1.47
$2.34
$1.94
$1.62
2012 2013 2014
System Prices ($/W)
0.90 0.90 0.90
1.00 1.00 1.00
1.10 1.10 1.10
2012 2013 2014
Subsidies (/kWh)
5.73% 5.70% 5.71%
6.37% 6.34% 6.34%
7.00% 6.97% 6.98%
2012 2013 2014
Interest Rates %
3.19% 3.27% 3.26%
3.54%3.64% 3.62%
3.90%4.00% 3.98%
2012 2013 2014
Bond Rates %
0.50 0.51 0.51
0.56 0.56 0.57
0.61 0.62 0.62
2012 2013 2014
Grid Prices (/kWh)
Joseph Berwind
1,451
1,853
2,049
1,500
1,899
2,093
1,216
1,594
1,988 2,176
2011 2012 2013 2014
Japan: MW
Demand Risk in Japan
27
July 2012
Source: AEI Consulting
Source: AEI Consulting
Source: AEI Consulting
$1.91
$1.59
$1.32
$2.12
$1.76
$1.47
$2.34
$1.94
$1.62
2012 2013 2014
System Prices ($/W)
36.00 36.00 36.00
40.00 40.00 40.00
44.00 44.00 44.00
2012 2013 2014
Subsidies (/kWh)
1.60% 1.61% 1.61%
1.78% 1.79% 1.79%
1.96% 1.97% 1.97%
2012 2013 2014
Interest Rates %
0.91%0.96% 0.97%
1.01%1.07% 1.08%
1.11%
1.18% 1.18%
2012 2013 2014
Bond Rates %
23.64 23.78 23.92
26.26 26.42 26.58
28.89 29.06 29.24
2012 2013 2014
Grid Prices (/kWh)
Assumption of Key Variables Japan
Variables 2012 2013 2014
System Prices $1.91 to $2.34 $1.59 to $1.94 $1.32 to $1.62
Subsidies JPY36 to JPY44 JPY36 to JPY44 JPY36 to JPY44
Interest Rates 1.6% to 1.96% 1.61% to 1.97% 1.61% to 1.97%
Bond Rates 0.91% to 1.11% 0.96% to 1.18% 0.97% to 1.18%
Grid Prices JPY23.64 to JPY28.89 JPY23.78 to JPY29.06 JPY23.92 to JPY29.24
Excess Returns 7.84% to 8.73% 8.47% to 9.32% 9.08% to 9.87%
Joseph Berwind
PV Demand Evolution
28
July 2012 Source: AEI Consulting
2,012 3,100
5,961 6,620
20,187
23,895 25,110
31,602
34,918
CAGR (2006-2014)
43%
2006 2007 2008 2009 2010 2011 2012 2013 2014
Global PV Demand Evolution (MW)
Joseph Berwind
PV Market Share DistributionDemand Growth Rates
29
July 2012 Source: AEI ConsultingJoseph Berwind
PV Market Share DistributionDemand Growth Rates
30
July 2012 Source: AEI ConsultingJoseph Berwind
PV Market Share DistributionAnnual Installations
31
July 2012Source: AEI Consulting
• Annual installation in Germany remains highest going forward.
• Italy’s installations are expected to drop given the planned subsidy cut under V Conto Energie.
• Annual installations in China should increase steadily given the recent policy supports.
• Japan should see meaningful growth given the recent subsidy announcement.
• ROW should see greater capacity installations going forward.
Source: AEI Consulting
-
2
4
6
8
102011
2012
2013
2014
2011
2012
2013
2014
2011
2012
2013
2014
2011
2012
2013
2014
2011
2012
2013
2014GW
PV Annual Installation (GW)
Germany
Italy
USA
China
ROW
0% 20% 40% 60% 80% 100%
2011
2012
2013
2014
PV Annual Installation Market Share %
Germany
Italy
Spain
USA
China
Japan
France
ROW
Joseph Berwind
16,014
7,194
2,820
2,945
737
3,770
1,168
12,845
50,331
20,092
4,697
14,236
15,062
10,477
6,798
67,749
Germ…
Italy
Spain
USA
China
Japan
France
ROW
2011 to 2014 Cumulative Installation (MW)
PV Market Share DistributionCumulative Installations
• Despite below market demand growth, Germany remains a “key” market.
• In Italy, there was spike in 2010 demand due to subsidy reduction by III ContoEnergie and introduction of SALVA ALCOA.
• The China, Korea and India are emerging as the major growth markets with sizable future volumes.
Source: AEI Consulting
Source: AEI ConsultingJuly 2012
32
Germany
40%
Italy
1%
Spain
4%
USA
9%
Japan
20%
ROW
25%
2007 Market
Germany
34%
Italy
15%Spain
6%
USA
6%
China
2%
Japan
8%
France
2%
ROW
27%
2010 Market
Germany
27%
Italy
11%
Spain
2%
USA
7%China
8%
Japan
5%
France
4%
ROW
36%
2014 Market
Joseph Berwind
16,117 19,754 20,895 26,812
29,675 1,171
777 701
829 901
1,422 1,585 1,672
1,893 2,070
-
10,000
20,000
30,000
40,000
2010 2011 2012 2013 2014
Module Demand by Cell type - MW
c-Si a-Si a-Si/µc-Si CIGS CdTe
2010 2011 2012 2013 2014
TF 4,070 4,142 4,214 4,790 5,243
c-Si 16,117 19,754 20,895 26,812 29,675
0%
20%
40%
60%
80%
100%
Market Share of c-Si & TF
16,117
19,754 20,895
26,812 29,675
-
5,000
10,000
15,000
20,000
25,000
30,000
35,000
2010 2011 2012 2013 2014
Demand for c-Si Modules
2010 2011 2012 2013 2014
CdTe 1,422 1,585 1,672 1,893 2,070
CIGS 566 726 834 983 1,081
a-Si/µc-Si 1,171 777 701 829 901
a-Si 911 1,054 1,007 1,085 1,191
0%
20%
40%
60%
80%
100%Thin Film Demand Assessment
PV Demand by Technology & Cell type
Source: AEI Consulting
Source: AEI Consulting
Source: AEI Consulting
Source: AEI Consulting
July 2012
33
Joseph Berwind
2,447 4,295
8,172
13,373
21,029
31,591
39,790
44,341
48,664
2006 2007 2008 2009 2010 2011 2012 2013 2014
Global PV Supply (potential) Evolution (MW)
PV Supply Potential
34
Source: AEI Consulting
July 2012
Supply potential implies production potential assuming 100% capacity utilization.
The announced production capacities are adjusted for ramp-up delays, misstatements and over-statements by applying suitable discounting.
The resultant adjusted capacity is considered for production calculation assuming capacity addition to happen in 1:2:3:4 ratio over the four quarters of an yearJoseph Berwind
Available SupplyAvailable Supply=Supply Potential – Normal Inventory – Under Utilization
35
Source: AEI Consulting
July 2012
PV System price Fall
Demand Pick up
Supply bottleneck
Increase Production Capacity
Supply grow faster than demand
Over Supply
DEMAND SUPPLY
IMBALANCE
Source: AEI Consulting
• Of the total supply potential, normal inventory and under utilized units should be reduced to arrive at available supply.
• Normal inventory is assumed at 6 weeks production.
• Under utilization could happen either due to ramp-up issues or as a response to external demand environment.
Particulars 2006 2007 2008 2009 2010 2011 2012 2013 2014
Supply Potential (MW) 2,447 4,295 8,172 13,354 20,970 31,493 39,674 44,219 48,529
Normal Inventory week 6 6 6 6 6 6 6 6 6
Normal Inventory (MW) 282 496 943 1,541 2,420 3,634 4,578 5,102 5,600
Capacity Utilization % 95% 85% 85% 75% 95% 90% 85% 80% 80%
Under Utilized (MW) 122 644 1,226 3,338 1,049 3,149 5,951 8,844 9,706
Available supply 2,042 3,155 6,004 8,474 17,502 24,710 29,145 30,273 33,224
Installation
(Demand)
Supply
Potential
(MW)
Available
supply
-
10,000
20,000
30,000
40,000
50,000
60,000
2006 2007 2008 2009 2010 2011 2012 2013 2014
Joseph Berwind
(50)
(40)
(30)
(20)
(10)
-
10
20
-
20,000
40,000
60,000
80,000
100,000
120,000
140,000
160,000
2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020
Inv
en
tory
We
ek
s
Su
pp
ly/D
em
an
d -
MW
Supply-Demand Imbalances
Over Supply Under Supply Total Inventory Weeks
Supply – Demand Imbalances
36
July 2012
Oversupply to last till 2015
Supply-Demand should
balance from 2015 onwards
Source: AEI Consulting
Normal inventory – 6 weeks
95%
85% 85%
75%
95%90%
85%80% 80%
85%90%
100% 100% 100% 100%
2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020
Capacity Utilization %
Joseph Berwind
20,857 30,275
35,753 39,281 43,202 5,136
7,255
7,807 7,923
9,175
25,993
37,531
43,560 47,204
52,377
-
10,000
20,000
30,000
40,000
50,000
60,000
2010 2011 2012 2013 2014
Global Unadj Module Capacity (MW)
c-Si TF
16,742 26,035
33,015 37,516 41,243 4,228
5,459
6,659 6,703
7,286
20,970
31,493
39,674 44,219
48,529
-
10,000
20,000
30,000
40,000
50,000
60,000
2010 2011 2012 2013 2014
Global Module Production Potential (MW)
c-Si Thin Film
1,276 1,611 1,684 1,839 2,003 1,395
1,573 1,717 1,853 2,002 798 1,599 1,596 1,742 1,903
1,667
2,473 2,810 2,489 3,267
5,136
7,255 7,807 7,923
9,175
-
2,000
4,000
6,000
8,000
10,000
2010 2011 2012 2013 2014
Global TF Module Capacity (MW)
a-Si a-Si/µc-Si CIGS CdTe
947 1,389 1,591 1,517 1,655 1,216
1,024 1,107 1,161 1,253 588 957
1,318 1,376 1,502 1,477
2,089
2,642 2,649 2,876
4,228
5,459
6,659 6,703 7,286
-
2,000
4,000
6,000
8,000
2010 2011 2012 2013 2014
Global TF Module Production Potential (MW)
a-Si Tandem a-Si/µc-Si CIGS CdTe
Technological DistributionModule Capacity & Production
37
Source: AEI Consulting
Source: AEI Consulting
Source: AEI Consulting
Source: AEI Consulting
July 2012 Production potential implies production potential assuming 100% capacity utilization. Actual production could be different
because of lower utilization in response to soft external demand.Joseph Berwind
Top Players (2011 Production)
July 2012
17%
17%
15%16%
8%
7%
6%
3%2%1%
8%
GCL Silicon Technology
DC Chemical
Wacker Chemi
Hemlock
Renewable Energy Corporation
(REC)MEMC
LDK Solar Co., Ltd
Tokuyama
M. Setek
ReneSola
Others
Polysilicon
187,128 MT
17%
12%
4%
6%
5%4%4%4%
3%3%
38%
GCL Silicon Technology
LDK Solar Co., Ltd
Canadian Solar Inc.
ReneSola
Renewable Energy Corporation
(REC)Suntech Power Co., Ltd.
Green Energy Technology Inc.,
Jinko Solar Holdings Co., Ltd.
Nexolon Co. Ltd.
Hanwha SolarOne Co., Ltd.
Others
10%
8%
6%
4%
4%
4%
3%4%
4%4%
49%
JA Solar Co., Ltd.
Suntech Power Co., Ltd.
Trina Solar Energy Co., Ltd
LDK Solar Co., Ltd
Gintech
Jinko Solar Holdings Co., Ltd.
Hanwha SolarOne Co., Ltd.
Canadian Solar Inc.
Q-Cells
Neo Solar
Others
Wafer
25.90 GW
c-Si Cell
24.51 GW
6%6%
5%
6%
5%
4%
4%
3%3%
3%
55%
LDK Solar Co., Ltd
Suntech Power Co., Ltd.
Sharp
First Solar, Inc.
Canadian Solar Inc.
Trina Solar Energy Co., Ltd
Yingli Green Energy
Hanwha SolarOne Co., Ltd.
Jinko Solar Holdings Co., Ltd.
SolarWorld AG
Others
Module
31.59 GW
Source: AEI Consulting Source: AEI Consulting
Source: AEI ConsultingSource: AEI Consulting
38
Joseph Berwind
35,366 44,412 23,262 22,568 23,245
734 764 764 787 811
80,344
136,185 164,198 169,123 174,197
116,444
181,360 188,223 192,478 198,253
2010 2011 2012 2013 2014
Adjusted Ingot Capacity
Europe North America Asia Africa Oceania South America Middle East
32,886 41,937 33,837 22,914 22,907 734 695 764
775 799
66,553
113,073 150,191 166,661 171,661
100,172
155,705
184,792 190,350 195,366
2010 2011 2012 2013 2014
Adjusted Ingot Production
Europe North America Asia Africa
116,444
181,360 188,223 192,478 198,253
2010 2011 2012 2013 2014
Global Adjusted Ingot Capacity - by quarter
Opening 1Q 2Q 3Q 4Q Series6
21,789 33,795 45,512 47,162 48,264 23,416 36,361
45,855 47,375 48,553 25,856
40,209
46,369 47,694 48,987
29,111
45,340
47,056 48,120 49,563
100,172
155,705
184,792 190,350 195,366
2010 2011 2012 2013 2014
Global Ingot Production - by quarter
1Q 2Q 3Q 4Q Series5
Global Capacity & Production Potential Ingots
39
Source: AEI Consulting
Source: AEI ConsultingSource: AEI Consulting
Source: AEI Consulting
July 2012 Joseph Berwind
3,388 2,845 2,911 3,202 3,523 2,012 2,142 1,983 2,181 2,399
14,002
25,501 27,798 30,577
33,634 19,401
30,487 32,692
35,960 39,556
2010 2011 2012 2013 2014
Adjusted Wafer Capacity
Europe North America Asia Africa Oceania South America Middle East
19,401
30,487 32,692
35,960
39,556
2010 2011 2012 2013 2014
Global Adjusted Wafer Capacity - by quarter
Opening 1Q 2Q 3Q 4Q
3,314 5,559 7,677 8,254 9,081 3,656 6,018
7,787 8,418 9,259
4,168
6,705
7,952 8,664
9,530
4,850
7,622
8,173 8,990
9,889
15,988
25,904
31,589 34,326
37,760
2010 2011 2012 2013 2014
Global Wafer Production - by quarter
1Q 2Q 3Q 4Q
3,084 3,138 2,878 3,057 3,362 1,928 2,135 2,063 2,082 2,290
10,977
20,631 26,649
29,187 32,107
15,988
25,904
31,589 34,326
37,760
2010 2011 2012 2013 2014
Adjusted Wafer Production
Europe North America Asia Africa
Global Capacity & Production Potential Wafers
40
July 2012
Source: AEI Consulting
Source: AEI ConsultingSource: AEI Consulting
Source: AEI Consulting
Joseph Berwind
19,650
28,092
32,986
36,267
39,887
2010 2011 2012 2013 2014
Global Adjusted Cell Capacity - by quarter
Opening 1Q 2Q 3Q 4Q
3,213 5,414 7,146 8,328 9,158 3,590 5,771
7,390 8,493 9,338
4,157
6,308
7,757 8,738
9,608
4,913
7,023
8,246
9,067 9,972
15,872
24,515
30,539
34,625 38,076
2010 2011 2012 2013 2014
Global CellProduction - by quarter
1Q 2Q 3Q 4Q
2,494 2,584 2,671 2,841 3,122 955 1,337 1,351 1,372 1,502
12,372
20,543 26,466
30,358 33,393 15,872
24,515
30,539
34,625 38,076
2010 2011 2012 2013 2014
Adjusted Cell Production
Europe North America Asia Africa Oceania South America Middle East
2,731 2,633 2,710 2,973 3,274 1,251 1,391 1,311 1,433 1,569
15,617 24,017
28,914 31,804 34,982
19,650
28,092
32,986 36,267
39,887
2010 2011 2012 2013 2014
Adjusted Cell Capacity
Europe North America Asia AfricaOceania South America Middle East TOTAL
Global Capacity & Production Potential c-Si Cell
41July 2012
Source: AEI Consulting
Source: AEI ConsultingSource: AEI Consulting
Source: AEI Consulting
Joseph Berwind
c-Si PV Supply Assessment
42
Source: AEI Consulting
July 2012
Production potential implies production potential assuming 100% capacity utilization. Actual production could be different because of lower
utilization in response to soft external demand.
2011 2012 2013 2014
Polysilicon 26,021 32,860 40,490 41,997
Ingot 25,271 31,951 35,058 36,423
Wafer 25,904 31,589 34,326 37,760
Cell 24,515 30,539 34,625 38,076
Module 26,035 33,015 37,516 41,243
-
5,000
10,000
15,000
20,000
25,000
30,000
35,000
40,000
45,000Production Potential (MW)
Joseph Berwind
Global Capacity & Production Potential Polysilicon
43
Global PV Production, 2010-2014
Polysilicon Supply Driven Model
(All figures are in tons except noted otherwise)
Source: AEI ConsultingJuly 2012
Polysilicon Sources and Uses 2010 2011 2012 2013 2014
End-Year Polysilicon Capacity 225,383 306,350 419,299 431,878 444,826
Current Year Average Capacity 190,782 265,867 362,825 425,589 438,352
Plant Utilization 90.0% 70.0% 65.0% 60.0% 60.0%
Annual Polysilicon Production 171,703 186,107 235,836 255,353 263,011
Average-Year Polysilicon Production 146,475 178,905 210,971 245,595 259,182
Less: Microelectronics Demand (29,380) (33,787) (38,854) (46,625) (55,950)
Plus: Reject / Reprocessed Silicon 11,718 15,207 17,933 20,876 22,030
Available for PV Production (in tons) 128,813 160,325 190,049 219,845 225,262
c-Si PV Production Potential (in MW) 19,691 26,021 32,860 40,490 41,997
Key Assumptions
Growth in Microelectronics Demand 5.0% 15.0% 15.0% 20.0% 20.0%
Reclaimed Sil. as % of Poly-Si Output 8.0% 8.5% 8.5% 8.5% 8.5%
Tons of Silicon / MW of PV (adj.) 6.54 6.16 5.78 5.43 5.36
Joseph Berwind
4,018 5,354 5,774 6,352 6,983 2,118 2,444 2,577 2,785 3,063
14,636
22,380 27,304
30,035 33,038 20,857
30,275
35,753 39,281
43,202
2010 2011 2012 2013 2014
Adjusted Module Capacity
Europe North America Asia Africa Oceania South America Middle East TOTAL
3,551 4,688 5,564 6,062 6,668 1,387 2,233 2,511 2,681 2,924 11,730
19,027 24,842
28,669 31,538
16,742
26,035
33,015 37,516
41,243
2010 2011 2012 2013 2014
Adjusted Module Production
Europe North America Asia Africa Oceania South America Middle East TOTAL
20,857
30,275
35,753 39,281
43,202
2010 2011 2012 2013 2014
Global Adjusted Module Capacity - by quarter
Opening 1Q 2Q 3Q 4Q
3,363 5,660 7,706 9,025 9,919 3,774 6,085
7,979 9,204 10,115
4,391
6,721
8,391 9,467
10,409
5,214
7,569
8,938 9,820
10,801
16,742
26,035
33,015
37,516 41,243
2010 2011 2012 2013 2014
Global Module Production - by quarter
1Q 2Q 3Q 4Q
Global Capacity & Production Potential c-Si Modules
44Source: AEI Consulting
July 2012
Source: AEI Consulting
Source: AEI ConsultingSource: AEI Consulting
Source: AEI Consulting
Joseph Berwind
Supply Chain Imbalances2011 & 2012
45
• Over supply situations could continue in the foreseeable future given the huge capacity expansion in all the parts of the value chain. Weak demand and Strong supply should meaningfully pull down the prices of all the elements in the value chain. The price fall could be far higher than the cost fall leading to margin shrinkage for module segment. The cell and wafer segment could see some profit as the cost fall could be higher than price fall.
Source: AEI Consulting
Source: AEI Consulting
Under Utilization
Normal Inventory
July 2012
Source: AEI Consulting
Joseph Berwind
Supply Chain Imbalances2013 & 2014
46
• The situation could further worsen for module segment, their production exceeds end demand. The price fall is partially offset by cost fall due to over-supply of poly feed stock.
Source: AEI Consulting
July 2012
Source: AEI Consulting Source: AEI Consulting
Joseph Berwind
Solar SubsidiesGermany, Italy, Spain, France
47
Germany *
Italy
Spain
France
Source: AEI Consulting, Country Subsidy ProgramsJuly 2012
System Type 1Q12 2Q12
Rooftop <=30kW € 0.2443 € 0.2443
Rooftop 30kW to 100kW € 0.2323 € 0.2323
Rooftop 100kW to 1000kW € 0.2198 € 0.2198
Rooftop >1000kW € 0.1833 € 0.1833
Conversion Area € 0.1876 € 0.1876
Ground Mounted Installations € 0.1794 € 0.1794
Acre Land € 0.0000 € 0.0000
System Type 1Q12 2Q12
BIPV 1 to 3 kW € 0.4180 € 0.4180
BIPV 3 to 20 kW € 0.4180 € 0.4180
BIPV 20 to 200 kW € 0.3800 € 0.3800
BIPV 200 to 1000 kW € 0.3800 € 0.3800
BIPV 1000 to 5000 kW € 0.3520 € 0.3520
BIPV > 5000 kW € 0.3520 € 0.3520
BAPV 1 to 3 kW € 0.2740 € 0.2740
BAPV 3 to 20 kW € 0.2470 € 0.2470
BAPV 20 to 200 kW € 0.2330 € 0.2330
BAPV 200 to 1000 kW € 0.2240 € 0.2240
BAPV 1000 to 5000 kW € 0.1820 € 0.1820
BAPV > 5000 kW € 0.1710 € 0.1710
Non Integrated 1 to 3 kW € 0.2400 € 0.2400
Non Integrated 3 to 20 kW € 0.2190 € 0.2190
Non Integrated 20 to 200 kW € 0.2060 € 0.2060
Non Integrated 200 to 1000 kW € 0.1720 € 0.1720
Non Integrated 1000 to 5000 kW € 0.1560 € 0.1560
Non Integrated > 5000 kW € 0.1480 € 0.1480
System Type 1Q12 2Q12
Rooftop <20 kW € 0.2662 € 0.2662
Rooftop >20 kW € 0.1932 € 0.1932
Ground Mounted € 0.1217 € 0.1217
System Type 1Q12 2Q12
BIPV Residential < 9 kW € 0.3880 € 0.3706
BIPV Residential < 9 to 36 kW € 0.3395 € 0.3242
BIPV Residential < 36 to 100 kW € 0.2609 € 0.1934
BIPV Residential >100 kW € 0.1108 € 0.1108
BIPV Edu & Health < 9 kW € 0.3009 € 0.2035
BIPV Edu & Health < 9 to 36 kW € 0.3009 € 0.2035
BIPV Edu & Health < 36 to 100 kW € 0.2609 € 0.1934
BIPV Edu & Health >100 kW € 0.1108 € 0.1108
BIPV Other buildings < 9 kW € 0.2609 € 0.2361
BIPV Other buildings < 9 to 36 kW € 0.2609 € 0.2035
BIPV Other buildings < 36 to 100 kW € 0.2609 € 0.1934
BIPV Other buildings >100 kW € 0.1108 € 0.1108
Simplified BIPV < 36 kW € 0.2249 € 0.2035
Simplified BIPV < 36 to 100 kW € 0.2137 € 0.1934
Simplified BIPV >100 kW € 0.1108 € 0.1108
Ground Mounted € 0.1108 € 0.1079
* In Germany, there are proposals to reduce subsidies by 20% to 30% in March
2012. From May onwards monthly FiT reduction by 0.15 Euro cents is
proposed. The proposal aims at reducing the PV demand to 2.5 to 3.5 GW
level during 2012 & 2013
Joseph Berwind
Solar SubsidiesNY, Vermont, Japan, India, Canada, Czech, Korea, China
48
New York
Vermont
Japan
Ontario, Canada
Czech Republic
South Korea
Jiangsu, China
Source: AEI Consulting, Country Subsidy ProgramsJuly 2012
System Type 1Q12 2Q12
Residential $1.75 $1.75
Commercial $1.75 $1.75
Non Profit $1.75 $1.75
System Type System Size 1Q12 2Q12
Residential 0 kW to10 $0.70 $0.70
Commercial/Industrial 0 kW to10 $0.70 $0.70
Commercial/Industrial 10 kW to60 $0.60 $0.60
Commercial/Industrial 60 kW to150 $0.60 $0.60
Non Profit 0 kW to10 $2.25 $2.25
Non Profit 10 kW to60 $1.50 $1.50
Non Profit 60 kW to150 $1.50 $1.50
System type 1Q12 2Q12
Residential < 10kW ¥42.00 ¥42.00
Residential > 10kW ¥40.00 ¥40.00
Non Residential - Local govt etc ¥40.00 ¥40.00
Non Residential - Private operators ¥40.00 ¥40.00
System Type 1Q12 2Q12
Rooftop <= 10 kW CAD 0.802 CAD 0.80
Rooftop 10 to 250 kW CAD 0.713 CAD 0.71
Rooftop 250 to 500 kW CAD 0.635 CAD 0.64
Rooftop > 500 kW CAD 0.539 CAD 0.54
Ground mounted <= 10 kW CAD 0.642 CAD 0.64
Ground mounted 10 kW to 10 MW CAD 0.443 CAD 0.44
System Type 1Q12 2Q12
< 30 kWp CZK 6.16 CZK 6.16
30 kWp to 100 kWp CZK 6.02 CZK 6.02
> 100 kWp CZK 5.61 CZK 5.61
System Type 1Q12 2Q12
< 30 kW Ground Mount KRW 566.95 KRW 566.95
< 30 kW Building KRW 606.64 KRW 606.64
30 to 200 kW Ground Mount KRW 541.42 KRW 541.42
30 to 200 kW Building KRW 579.32 KRW 579.32
200 kW to 1 MW Ground Mount KRW 510.77 KRW 510.77
200 kW to 1 MW Building KRW 546.52 KRW 546.52
1 MW to 3 MW Ground Mount KRW 485.23 KRW 485.23
> 3 MW Ground Mount KRW 408.62 KRW 408.62
System Type 1Q12 2Q12
Ground mounted CNY 1.20 CNY 1.20
Rooftop CNY 2.06 CNY 2.06
BIPV CNY 2.49 CNY 2.49
Particulars 1Q12 2Q12
1 to 100 kWp - with Accelerated Depreciation INR 11.14 INR 11.14
1 to 100 kWp - No Accelerated Depreciation INR 12.44 INR 12.44
>= 100kWp - with Accelerated Depreciation INR 9.28 INR 9.28
>= 100kWp - No Accelerated Depereciation INR 10.37 INR 10.37
Ahmedabad, India
Joseph Berwind
Solar SubsidiesTaiwan, Thailand, Hawaii, Florida, NJ, UK & CA
49
Taiwan
Hawaii, USA
New Jersey, USA
Florida, USA
Source: AEI Consulting, Country Subsidy ProgramsJuly 2012
System Type 1Q12 2Q12
Rooftop 1 to 10 kW NT$10.32 NT$10.32
Rooftop 10 to 100 kW NT$9.18 NT$9.18
Rooftop 100 to 500 kW NT$8.82 NT$8.82
Rooftop > 500 kW NT$7.97 NT$7.97
Ground Mount NT$7.33 NT$7.33
System Type 1Q12 2Q12
Solar ADDER THB 6.50 THB 6.50
Thailand
System Type 1Q12 2Q12
<= 20kWp $0.218 $0.218
20 kW to 500 kW $0.189 $0.189
500 kW to 5 MW $0.197 $0.197
> 5 MW $0.138 $0.138
System type $/W
Solar window of >85% $1.50
Solar window 70% to 85% $1.15
Maximum Limit $7,500.00
System Type 1Q12 2Q12
SREC $/kWh $0.603 $0.603
System Type 1Q12 2Q12
< 4 kW New GBP 0.378 GBP 0.210
< 4 kW Retrofit GBP 0.433 GBP 0.210
4 to 10 kW GBP 0.378 GBP 0.168
10 to 50 kW GBP 0.329 GBP 0.152
50 to 100 kW GBP 0.190 GBP 0.129
100 to 150 kW GBP 0.190 GBP 0.129
150 to 250 kW GBP 0.150 GBP 0.129
250 kW to 5 MW GBP 0.085 GBP 0.085
Standalone PV fields GBP 0.085 ########
United Kingdom
Program Steps
System Type 1 2 3 4 5 6 7 8 9 10
EPBB – Res/Comm $0.00 $2.50 $2.20 $1.90 $1.55 $1.10 $0.65 $0.35 $0.25 $0.20
EPBB - Govt/Non profit $0.00 $3.25 $2.95 $2.65 $2.30 $1.85 $1.40 $1.10 $0.90 $0.70
PBI – Res/Comm $0.00 $0.39 $0.34 $0.26 $0.22 $0.15 $0.09 $0.05 $0.03 $0.03
PBI - Govt/Non profit $0.00 $0.50 $0.46 $0.37 $0.32 $0.26 $0.19 $0.15 $0.12 $0.10
Date when the step
started – Residential
01/Jun
/08
06/Feb
/09
14/Oct
/09
21/Jun
/10
26/Feb
/11
03/No
v/11
10/Jul/
12
17/Mar
/13
22/Nov
/13
Date when the step
started – Commercial
01/Jun
/08
29/Sep
/08
06/Feb
/09
06/Jul/
09
13/De
c/09
01/Jul/
10
08/Mar
/11
13/No
v/11
20/Jul/
12
California
Joseph Berwind
Solar SubsidiesChina
50Source: AEI Consulting, Country Subsidy Programs
July 2012 Joseph Berwind
PV Market Trend Analysis1Q12 to 2Q12 Change
51
Commercial
Segment
1
2
34
5
6
7
89
10
11 12
13
14
15
1
23
4
56
78
9
10
1112
13
14
15
Residential
Segment
Source: AEI Consulting
Source: AEI Consulting
Source: AEI Consulting
July 2012
1617
18
19
20
16
17
181920
Joseph Berwind
Solar SubsidiesKey Developments
52
• Germany cut 15% subsidies as installation spiked in 4Q11. Germany installed 7.5 GW in 2011.
• Italy introduced Conto Energia IV from Jun 2011 onwards, which cuts subsidies on a monthly basis. Recently Italy announced its plans to terminate subsidies for ground mounted systems.
• China introduced National Level Feed In Tariff scheme in 2011. The systems installed within Dec 2011 gets a subsidy of CNY 1.15/W, while systems installed in 2012 receives a lower tariff of CNY 1/kWh
• France cut subsidies in the range of 4% to 10% depending on the installation size and type.
• Spain temporarily suspended FiT on all the new projects.
Source: AEI Consulting, Subsidy Program DataSource: AEI Consulting, Subsidy Program Data
July 2012 Joseph Berwind
Net Benefit & Margin of SafetySubsidy Risk
53
The “Margin of Safety” is
the maximum subsidy cut
as a % of the current
program a market can
take before it’s makes the
net benefit negative.
The “Net Benefit” is the present value costs vs. incomes taken as a % of cost. A net benefit of 40% implies, the project generates $140 over its life on an investment of $100
Source: AEI ConsultingJuly 2012
Ger
man
y
ItalySpai
n
Fran
ce
River
side
CA
SanFr
anc
CA
New
York
Japa
n
Verm
ont
Onta
rioIndia
Chin
a
Kore
aUK
Czec
h
Taiw
an
Thail
and
Haw
aii
Florid
a
New
Jerse
y
Res Net Benefit 42% 42% 63% 39% 50% 63% 65% 74% 77% 97% 23% 15% 83% 44% 35% 63% 29% 95% 145% 118%
Comm Net Benefit 37% 42% 44% 39% 56% 54% 59% 78% 35% 94% 16% 82% 87% 31% 42% 61% 34% 76% 31% 119%
Res MoS 52% 47% 55% 47% 100% 84% 91% 95% 75% 72% 26% 15% 57% 73% 28% 49% 44% 63% 73% 100%
Comm MoS 43% 46% 43% 46% 94% 77% 81% 100% 56% 71% 19% 40% 59% 59% 32% 49% 49% 65% 47% 100%
0%
20%
40%
60%
80%
100%
120%
140%
160%Net Benefit & Margin of Safety
Joseph Berwind
Solar Excess ReturnSubsidy Risk
54
Source: AEI Consulting
July 2012
The “excess return” implies return in excess of
threshold returns. This is calculated as the
difference between modified internal rate of
return (MIRR) and threshold rate. The threshold
rate is assumed at 110% of 10 year Government
bond yield in the respective region.
Ger
man
y
ItalySpai
n
Fran
ce
River
side
CA
SanF
ranc
CA
New
York
Jap
an
Ver
mon
t
Ont
ario
Indi
a
Chin
a
Kore
aUK
Cze
ch
Taiw
an
Thail
and
Haw
aii
Flori
da
New
Jers
ey
Residential 4% 3% 5% 4% 5% 6% 6% 8% 6% 9% 2% 1% 7% 5% 3% 4% 3% 8% 9% 13%
Commercial 4% 3% 3% 4% 5% 5% 5% 8% 4% 9% 1% 5% 7% 4% 3% 4% 3% 7% 3% 13%
0%
2%
4%
6%
8%
10%
12%
14%
16%
Excess Returns
Joseph Berwind
Residential SystemRank 2Q09 2Q10 2Q11 2Q12
1 N Jersey N Jersey N Jersey N Jersey
2 France Vermont Ontario Florida
3 Vermont France Hawaii Ontario
4 Spain Ontario UK Hawaii
5 Florida Czech Florida Korea
6 Czech Japan Japan Japan
7 Italy Florida Italy Vermont
8 New York Spain New York New York
9 SanF, CA Italy Spain SanF, CA
10 Germany UK Korea Spain
11 RivSid, CA New York France RivSid, CA
12 Korea Germany Vermont UK
13 Japan SanF, CA SanF, CA Taiwan
14 Hawaii Korea RivSid, CA Germany
15 China RivSid, CA Taiwan Italy
16 UK Taiwan Germany France
17 India Thailand Czech Czech
18 Thailand India Thailand Thailand
19 Taiwan Hawaii India India
20 Ontario China China China
Commercial SystemRank 2Q09 2Q10 2Q11 2Q12
1 N Jersey N Jersey N Jersey N Jersey
2 France France Ontario Ontario
3 RivSid, CA Czech Japan Japan
4 Czech Ontario UK Korea
5 Spain Spain Hawaii Hawaii
6 SanF, CA Taiwan Korea China
7 Italy Italy Italy RivSid, CA
8 New York Japan France New York
9 Korea RivSid, CA New York Taiwan
10 Germany UK RivSid, CA SanF, CA
11 Florida Korea China Germany
12 Vermont SanF, CA SanF, CA France
13 Japan Germany Taiwan Czech
14 China New York Germany Spain
15 UK Thailand Spain UK
16 Hawaii India Thailand Vermont
17 Thailand China India Italy
18 India Vermont Florida Thailand
19 Taiwan Florida Vermont Florida
20 Ontario Hawaii Czech India
Ranking Movement
55Source: AEI Consulting
July 2012
• We ranked the regions based on net benefit and excess returns generated. Therefore, the ranking is determined by interplay of various externalities such as subsidies bond rates, interest rates etc.
• New Jersey stood rank-1 for all the four years due to very high returns backed by generous subsidies by way of SRECs.
• A favourable rank movement is noticed for Ontario, Florida, UK, Vermont in Residential segment; China, Ontario, Japan, Korea, NY in commercial segment.
• A unfavourable rank movement is observed for France, Spain, Hawaii, Japan in Residential segment; India, Thailand, France, Spain in commercial segment.
Joseph Berwind
• Solar Subsidies in Key Regions• Net Benefit Analysis• Margin of Safety Analysis
PV UPDATE | INDUSTRY
Market
Industry
Returns
Demand
Supplies
Efficiency & Scale
Invest on R&D &
production
Improve Sales &
Revenue
Increase demand
Reduce cost and
price
Company Performance
Analysis
Industry Trend
Analysis
Cost Simulation
Analysis
• Leaders & Laggards• Solvency Analysis• Financial Health summary• Cost Structure Analysis• Cost Vs Price
• Revenue Trend Analysis• Volume Trend Analysis• R&D Trend Analysis• Inventory Trend Analysis• Price Trend Analysis
Identifying key technological development and simulating the cost of production of PV modules under different scenarios.
PV Demand Assessment
PV Supply Assessment
PV Market Trend
Analysis
• Demand Forecasting Method• Demand Equations• Demand Assessment in key regions• PV Market Share Distribution• Demand by Technology & cell type
• PV Supply Assessment method• Top players• Global Capacity & Production • Technological distribution• PV Supply Chain Imbalances
July 2012 Joseph Berwind
PV COMPANIES – KPI RANKING
July 2012
OM%Solvency
Altman
OM%
Solvency
Altman
OM%
Solvency
Altman
OM%
Solvency
AltmanOM%SolvencyAltman
OM%
Solvency
Altman
OM%
Solvency
Altman
OM%
Solvency
Altman
OM%
SolvencyAltman
1Q12
OM%Solvency
Altman
OM%
Solvency
Altman
OM%
Solvency
Altman
OM%
Solvency
AltmanOM%SolvencyAltman
OM%
Solvency
Altman
OM%
Solvency
Altman
OM%
Solvency
Altman
OM%
SolvencyAltman
1Q10
OM%Solvency
Altman
OM%
Solvency
Altman
OM%
Solvency
Altman
OM%
Solvency
AltmanOM%SolvencyAltman
OM%
Solvency
Altman
OM%
Solvency
Altman
OM%
Solvency
Altman
OM%
SolvencyAltman
1Q11
ALEO
SPWR
TSL
CSIQ
STP
YGE
HSOL
FSLR
REC
• Given the challenging environment characterized by falling prices, lean margins, increasing losses and growing insolvencies, we believe Operating margin, Solvency ratio and Altman Z score are critical KPIs to watch for.
• FSLR, TSL & CSIQ stood top in most parameters. .
• FSLR which was standing no.1 for all parameters in the previous years, witnessed significant downside due to cut throat competition for c-Si.
• Significant improvement in ranking for most parameters are noticed for CSIQ, TSL and STP. This signifies dominance of Chinese c-Si players in the PV industry.
Source: AEI Consulting, Company Reports
Joseph Berwind
Company Performance Analysis
58
• TSL & CSIQ stood top in 1Q12.
• REC, & SPWR in the overall ranking.
1Q12: Over and Under Performers• We ranked each company for all the 11 parameters. If
a company stands in first three ranks we treated it as an “over performer,” and if it stands in last three ranks, we treated it as “under performer”.
• We counted the # of over and under performance for each company. It is desirable to have high # of over performance and low # of under performances.
• Based on this, we consider, TSL & FSLR are considered as leaders for 1Q12 while REC is considered as laggard
Competition
•Average Selling Price/W
• Volume Shipment (MW)
• Sales - $ Mn
Operation
•Inventory T/O
• DSO
• Opex to Sales
•Variable Cost/W
Profitability
•ROA
•ROCE
•G Margin
•N Margin
Source: AEI Consulting, Company ReportsJuly 2012 Joseph Berwind
Leaders & Laggards(Competition)
59
Competition
Operation
Profitability
Source: AEI Consulting, Company Reports
“Competition” is measured by companies ability lower
ASPs and increase sales volumes. Profitable competition
is not ranked here.
• First Solar (FSLR) consistently has lowest ASP, which
was replaced by HSOL in 1Q12
• Almost all companies have demonstrated a decrease in
their ASPs over the past year.
• SunTech Power (STP) has highest volume consistently,
which was replaced by YGE in 1Q12.
July 2012 Joseph Berwind
Leaders & Laggards(Operations)
60
Competition
Operation
Profitability
Source: AEI Consulting, Company Reports
July 2012 Joseph Berwind
Leaders & Laggards(Profitability)
61
Competition
Operation
Profitability
Source: AEI Consulting, Company Reports
July 2012 Joseph Berwind
Leaders & Laggards(ROA & Net Margin)
62
2
3
4
5
6
7
8
9
1
2
3
4
5
6
7
8
9
1
2
3
4
5
6
7
8
9
1
2
3
4
5
6
7
8
9
1
Module Alone
Cell+Module
Wafer+Cell+Module
Ingot+Wafer+Cell+Module
Poly+Ingot+Wafer+Cell+Module
Poly+Ingot+Wafer+Cell+Module+System
Cell+Module+System
Module+System
• Our sample constitutes ~45% of the total module market size based on a total module market size of ~24 GW in ’11
• FSLR’s ranking deteriorated gradually. It incurred huge restructuring charges which pulled down ROA and margin significantly
• TSL, CSIQ consistently appear in favorable region (H,H)
• YGE & REC are considered laggards as they consistently appeared in unfavorable region (L,L).
• ENER filed for bankruptcy petition during 4Q11.
Source: AEI Consulting, Company Reports
July 2012 Joseph Berwind
Leaders & Laggards(ROA & Net Margin)
63
1Q11 Report 1Q12 Report
• Generally we observed fall in net margin and ROA for all the companies without any exception.
• FSLR witnessed steep decline its ranking due to margin deterioration on account of heavy weighing restructuring charges.
• CSIQ ranking rose from last to first in past one year.
• A fall in the ranking is noticed for FSLR, YGE, HSOL & REC
• An increase in ranking is noticed for CSIQ, ALEO & STP
Module Alone
Cell+Module
Wafer+Cell+Module
Ingot+Wafer+Cell+Module
Poly+Ingot+Wafer+Cell+Module
Poly+Ingot+Wafer+Cell+Module+System
Cell+Module+System
Source: AEI Consulting, Company ReportsSource: AEI Consulting, Company Reports
July 2012 Joseph Berwind
Solvency Analysis
64
Solvency Ratio
• A measure of a company's ability to service debts, expressed as a percentage.
• It is calculated by dividing YTD Cash profit by Total Liabilities. YTD Cash profit implies net income plus non cash depreciation.
• A high solvency ratio indicates a healthy company, while a low ratio indicates the opposite. A low solvency ratio further indicates likelihood of default.
• The ratio was negetive for all the companies and overall industry level ratio dropped to -41%.
Module Segment Solvency ratio
Source: AEI Consulting, Company ReportsJuly 2012 Joseph Berwind
Solvency Analysis(Industry Vs Companies)
65
• The industry average solvency ratio continued to decline during 4Q11 and reached -41%.
• FSLR has been above industry average for all the quarters.
• ALEO, SPWR, TSL, CSIQ, STP, YGE, HSOL and FSLR managed above industry average ratio in the current quarter.
• REC & ENER had below industry average ratio during 1Q12.
• Except TSL all the companies had negative solvency ratio during the quarter.
Red : Industry
Tan: Individual company
Source: AEI Consulting, Company Reports
July 2012 Joseph Berwind
Financial Health Summary
66
Source: AEI Consulting Source: AEI Consulting
Source: AEI Consulting Source: AEI Consulting
July 2012 Joseph Berwind
Cost Structure AnalysisBreakeven & Profitability
67
Source: AEI Consulting, Company Reports
July 2012
Particulars Ref ALEO SPWR TSL CSIQ STP YGE HSOL FSLR RECSolar
YE 1Q12 Cost of Sales A $610,593 $1,142,650$1,645,306$1,626,604$2,872,800$1,858,560 $852,794 $1,200,264 $739,334
YE 1Q11 Cost of Sales B $592,556 $740,950 $1,490,294$1,349,803$2,634,746$1,355,428$1,131,472$1,060,280 $703,786
Cost Difference C=A-B $18,037 $401,700 $155,012 $276,801 $238,054 $503,132 -$278,678 $139,984 $35,548
YE 1Q12 MW shipment D 330.8 901.5 1,571.1 1,421.0 2,024.0 1,819.4 641.2 1,712.3 648.0
YE 1Q11 MW shipment E 283.2 435.5 1,184.8 862.6 1,718.1 1,180.9 785.6 1,439.3 506.0
Shipment Difference F=D-E 47.60 466.0 386.3 558.4 305.9 638.5 (144.4) 273.0 142.0
Variable Cost $/W G=C/F $0.38 $0.86 $0.40 $0.50 $0.78 $0.79 $1.93 $0.51 $0.25
Fixed Cost p.a H=A-(D*G) $485,246 $365,541 $1,014,865 $922,209 $1,297,756 $424,904 -$384,948 $322,198 $577,116
YE 3Q11 ASP I $1.87 $1.45 $1.18 $1.25 $1.32 $1.22 $1.18 $1.04 $1.45
Breakeven Volume MW J=H/(I-G) 326 619 1,311 1,217 2,379 991 512 611 480
Margin of Safety MW K=D-J 4 283 260 204 (355) 829 129 1,101 168
Margin of Safety % L=K/J 1% 46% 20% 17% -15% 84% 25% 180% 35%
YE 3Q11 Revenue M=D*I $617,028 $1,309,672$1,846,929$1,781,323$2,679,000$2,213,966 $755,499 $1,781,121 $940,951
YTD 2Q11 Profit N=M-A $6,435 $167,022 $201,623 $154,719 -$193,800 $355,406 -$97,295 $580,857 $201,617
Current Profit % O=N/M 1.0% 12.8% 10.9% 8.7% -7.2% 16.1% -12.9% 32.6% 21.4%
Future ASP - 20% cut P=I-20% $1.49 $1.16 $0.94 $1.00 $1.06 $0.97 $0.94 $0.83 $1.16
Future Guided Volume Q 295 525 2,050 1,900 2,300 1,700 875 1,650 750
Future Revenue R=Q*P $440,000 $610,163 $1,927,925$1,905,426$2,435,468$1,654,927 $824,744 $1,373,046 $871,251
Future COST S=(G*Q)+H $596,976 $818,100 $1,837,476$1,864,046$3,087,589$1,764,466$1,304,036$1,168,312 $764,868
Future Profit/(Loss) T=R-S -$156,976 -$207,937 $90,449 $41,380 -$652,120 -$109,539 -$479,292 $204,734 $106,383
Future Profit % U=T/R -36% -34% 5% 2% -27% -7% -58% 15% 12%
Joseph Berwind
Cost Structure Analysis,Future Profitability
68
• Given the price fall and flattish volume growth 2012 could be again a challenging year for solar companies. The profits are expected to decline for majority of the companies.
• However, there are possibilities for profits for TSL, CSIQ, FSLR & REC
• ALEO and SPWR are expected to suffer huge losses going forward due to inability to grow volumes and unfavorable cost structures.
Source: AEI Consulting, Company Reports
July 2012
CURRENT VERSUS FUTURE PROFIBABILITY
Particulars ALEO SPWR TSL CSIQ STP YGE HSOL FSLR RECSolar
FUTURE ASP
Current ASP $1.87 $1.45 $1.18 $1.25 $1.32 $1.22 $1.18 $1.04 $1.45
5% ASP Cut $1.77 $1.38 $1.12 $1.19 $1.26 $1.16 $1.12 $0.99 $1.38
10% ASP Cut $1.68 $1.31 $1.06 $1.13 $1.19 $1.10 $1.06 $0.94 $1.31
15% ASP Cut $1.59 $1.23 $1.00 $1.07 $1.13 $1.03 $1.00 $0.88 $1.23
20% ASP Cut $1.49 $1.16 $0.94 $1.00 $1.06 $0.97 $0.94 $0.83 $1.16
FUTURE PROFIT %
Current Profit 1.0% 12.8% 10.9% 8.7% -7.2% 16.1% -12.9% 32.6% 21.4%
5% ASP Fall -14.3% -12.9% 19.7% 17.6% -6.8% 10.2% -33.1% 28.3% 26.1%
10% ASP Fall -20.6% -19.2% 15.3% 13.0% -12.7% 5.2% -40.5% 24.4% 22.0%
15% ASP Fall -27.7% -26.2% 10.3% 7.9% -19.3% -0.3% -48.8% 19.9% 17.4%
20% ASP Fall -35.7% -34.1% 4.7% 2.2% -26.8% -6.6% -58.1% 14.9% 12.2%
Joseph Berwind
-11%
-42%
30%34%
14%
-7%
36%
-4%
16%
-50%
-40%
-30%
-20%
-10%
0%
10%
20%
30%
40%
50%
2012 Guided Volume growth over 2011
Cost Structure Analysis,Current & Guided Volumes
69
• In year ending 1Q12 (2Q11 to 1Q12) STP has the highest volume shipment followed by FSLR, YGE & TSL
• High margin of safety is noticed for FSLR, YGE, TSL & STP.
Source: AEI Consulting, Company Reports
Source: AEI Consulting, Company Reports
Source: AEI Consulting, Company Reports
July 2012
331
902
1,571 1,421
2,024 1,819
641
1,712
648
-
500
1,000
1,500
2,000
2,500YE 1Q12 Total Volume Shipment (MW)
Breakeven Volume Margin of Safety
295 525
2,050 1,900
2,300
1,700
875
1,650
750
-
500
1,000
1,500
2,000
2,5002012 Guided Volume Shipment (MW)
Joseph Berwind
Cost Vs Price
70
Red : Price Reduction
Tan: Cost Reduction
Source: AEI Consulting, Company Reports, Bloomberg
Source: AEI ConsultingJuly 2012 Joseph Berwind
Revenue Trend Analysis
71
x =
1Q12 Revenue Growth:
Module: -24.3%
Cell: -53.6%
Wafer: -24.2%
1Q12 Volume Growth:
Module: -14.4%
Cell: -44.8%
Wafer: -18.2%
4Q11 APS Growth:
Module: -18.1%
Cell: -27.7%
Wafer: -29.1%
• Sales declined sequentially
• Prices declined across the board
• Prices for all segments declined in the
range of 18% to 30%
• Volumes were almost flat for all the
segments
• R&D spending significantly increased
in the cell segment
• R&D flat for wafer companies
• Inventories of module and wafers
companies increased
• Cell companies inventories fell
• Wafer companies inventory to sales
spiked because of poor sales
Source: AEI Consulting, Company Reports
Source: AEI Consulting, Company Reports Source: AEI Consulting, Company Reports Source: AEI Consulting, Company Reports
July 2012 Joseph Berwind
Volume Trend Analysis
72
1Q12 Volume Growth:
Module: -14.4%
Cell: -44.8%
Wafer: -18.2%Source: AEI Consulting, Company Reports
Source: AEI Consulting, Company Reports
July 2012
926 749 749 613
422 517 517 285
2,578 2,983 2,968
2,540
3,925 4,249
4,234
3,438
-
500
1,000
1,500
2,000
2,500
3,000
3,500
4,000
4,500
2Q11 3Q11 4Q11 1Q12
Shipment by Segment
Wafer Cell Module
Joseph Berwind
R&D Trend Analysis
73
1Q12 R&D Growth:
Module: -6.5%
Cell: -23.2%
Wafer: -51.9%Source: AEI Consulting, Company Reports
Source: AEI Consulting, Company Reports Source: AEI Consulting, Company Reports
July 2012
4.13%
6.15%
8.41%
5.34%
1.09% 1.19% 2.02%
3.35%2.41%2.55% 2.70%
3.34%
0.00%
2.00%
4.00%
6.00%
8.00%
10.00%
2Q11 3Q11 4Q11 1Q12
R&D to Sales
W Average Wafer Cell Module
$¢2.77
$¢3.42 $¢3.32
$¢1.95
$¢1.28$¢1.21 $¢1.49
$¢2.08
$¢3.80
$¢3.47
$¢3.01$¢3.29
$¢0.00
$¢1.00
$¢2.00
$¢3.00
$¢4.00
2Q11 3Q11 4Q11 1Q12
R&D /W shipped
W Average Wafer Cell Module
Joseph Berwind
Inventory Trend Analysis
74
1Q12 Inventory Growth:
Module: 14.7%
Cell: 108.9%
Wafer: -83.6%
Source: AEI Consulting, Company Reports Source: AEI Consulting, Company Reports
Source: AEI Consulting, Company Reports
July 2012
$981 $1,137 $1,073$176
$900 $738 $597
$1,248
$3,369 $3,693
$2,983 $3,421
$5,250$5,568
$4,653
$4,846
$0
$1,000
$2,000
$3,000
$4,000
$5,000
$6,000
2Q11 3Q11 4Q11 1Q12
Inventory by Segment
Wafer Cell Module Series4
0.0%
20.0%
40.0%
60.0%
80.0%
100.0%
2Q11 3Q11 4Q11 1Q12
Inventory to LTM Sales
Wafer Cell Module
Joseph Berwind
$0.67$0.56
$0.39 $0.37
$1.18$1.02
$0.74$0.62
$1.58
$1.36
$1.11$0.98
$0.00
$0.20
$0.40
$0.60
$0.80
$1.00
$1.20
$1.40
$1.60
$1.80
2Q11 3Q11 4Q11 1Q12
ASP Movement by Segment
W Average Wafer Cell Module
Pricing Trend Analysis
75
1Q12 Price Growth:
Module: -7.3%
Cell: -16.0%
Wafer: -11.6%
Weighted average price implies sigma module revenue of all companies divided by sigma module volume shipment (MW) of all the companies.
Source: AEI Consulting, Company Reports Source: AEI Consulting, Company Reports
Source: AEI Consulting, Company Reports
July 2012 Joseph Berwind
Cost Simulation Analysis: 1Q 2011 Basis
76
Going forward falling polysilicon prices, wafer thickness reduction, reduced pasteconsumption, increased efficiencies should drive further cost reduction. So, how are wedoing?
+32% = $1.72/W
ASP in 1Q11
+17% = $0.86/W
ASP in 4Q13
Source: AEI Consulting, Company Reports, ITRPV Roadmap
July 2012 Joseph Berwind
Cost Simulation Analysis 1Q12 Basis
77
1Q12 Original Vs Actual Price
July 2012
$0.31 $0.28
$0.67$0.58
$0.08$0.06
$1.06$0.92
$0.00
$0.50
$1.00
$1.50
Original Actual
1Q12: Original Vs Actual
Silicon cost Non silicon cost Profit
$1.06
$0.92$0.03$0.09
$0.02
$0.60
$0.70
$0.80
$0.90
$1.00
$1.10
Original Silicon
Cost
Non
Silicon
Cost
Profit Actual
Original
AssessmentActual
$0.00
$0.50
$1.00
$1.50
$2.00
Original Assessment Vs Actual
Joseph Berwind
Cost Sensitivity
78
$1.16$1.14
$1.13$1.12
$1.10$1.09
$1.04
$1.09
$1.14
$1.19
15.0% 15.2% 15.4% 15.6% 15.8% 16.0%
Module Efficiency %
Cost Sensitivity to Efficiency %
$1.16$1.14
$1.11$1.09
$1.06
$1.00
$1.05
$1.10
$1.15
$1.20
180 170 160 150 140
Wafer thickness µm
Cost Sensitivity to Wafer thickness$1.16
$1.12$1.08
$1.04$1.00
$0.96$0.93
$0.80
$0.90
$1.00
$1.10
$1.20
$55 $50 $45 $40 $35 $30 $25
Polysilicon Price $/Kg
Cost Sensitivity to Polysilicon Price
$0.63
$0.73
$0.83
$0.93
$1.03
$1.13
1Q11 2Q11 3Q11 4Q11 1Q12 2Q12 3Q12 4Q12 1Q13 2Q13 3Q13 4Q13
Cost $/W Accelerated Polysilicon ReductionPoly cost reaching $25/Kg by 4Q13
Poly cost reaching $20/Kg by 4Q13
Poly cost reaching $15/Kg by 4Q13
Poly cost reaching $10/Kg by 4Q13
It was expected that polycost could fall to $25/Kg by4Q13 and hence themodule cost would reach$0.71/W by 4Q13… butthere is acceleratedpolysilicon cost reductions.This is accelerating the fallin module costs beyond ouroriginal figures.
In the acceleratedscenario, module costscould reach $0.71/Wbetween 2Q13 to4Q13… By 4Q13,module cost could reach<$0.65/W
July 2012 Joseph Berwind
Cost Sensitivity
79
QUARTERLY VALUESParticulars 1Q11 2Q11 3Q11 4Q11 1Q12 2Q12 3Q12 4Q12 1Q13 2Q13 3Q13 4Q13
Module CharacteristicsModule Area m2 1.64 1.64 1.64 1.64 1.64 1.64 1.64 1.64 1.64 1.64 1.64 1.64Module Efficiency 15.00% 15.09% 15.18% 15.27% 15.36% 15.45% 15.55% 15.64% 15.73% 15.82% 15.91% 16.00%density (m2/kWp) 6.67 6.63 6.59 6.55 6.51 6.47 6.43 6.40 6.36 6.32 6.29 6.25
power per module (Wp) 246.00 247.49 248.98 250.47 251.96 253.45 254.95 256.44 257.93 259.42 260.91 262.40 Silicon cost Calculation
wafer thickness 180.00 176.36 172.73 169.09 165.45 161.82 158.18 154.55 150.91 147.27 143.64 140.00 module area m2*µm 295.20 289.24 283.27 277.31 271.35 265.38 259.42 253.45 247.49 241.53 235.56 229.60
silicon cost per kg $55.00 $52.27 $49.55 $46.82 $44.09 $41.36 $38.64 $35.91 $33.18 $30.45 $27.73 $25.00silicon usage per m2*µm 6.52 6.52 6.52 6.52 6.52 6.52 6.52 6.52 6.52 6.52 6.52 6.52
silicon cost $/module $105.78 $98.50 $91.44 $84.59 $77.95 $71.52 $65.30 $59.30 $53.50 $47.92 $42.55 $37.40silicon cost $/W $0.43 $0.40 $0.37 $0.34 $0.31 $0.28 $0.26 $0.23 $0.21 $0.18 $0.16 $0.14
Paste cost Calculationpaste usage g/cell 0.30 0.29 0.28 0.27 0.26 0.25 0.25 0.24 0.23 0.22 0.21 0.20paste cost $/gms $2.90 $2.90 $2.90 $2.90 $2.90 $2.90 $2.90 $2.90 $2.90 $2.90 $2.90 $2.90paste cost $/cell $0.87 $0.84 $0.82 $0.79 $0.76 $0.74 $0.71 $0.69 $0.66 $0.63 $0.61 $0.58
# of cells per module 72.00 72.00 72.00 72.00 72.00 72.00 72.00 72.00 72.00 72.00 72.00 72.00 paste $/Module $62.64 $60.75 $58.85 $56.95 $55.05 $53.15 $51.25 $49.36 $47.46 $45.56 $43.66 $41.76paste cost $/W $0.25 $0.25 $0.24 $0.23 $0.22 $0.21 $0.20 $0.19 $0.18 $0.18 $0.17 $0.16
Other costs calculationOther costs $/module $116.94 $116.09 $115.23 $114.38 $113.53 $112.68 $111.83 $110.98 $110.13 $109.28 $108.43 $107.58Fall due to scale etc -0.7% -0.7% -0.7% -0.7% -0.7% -0.8% -0.8% -0.8% -0.8% -0.8% -0.8%
other cost $/W $0.48 $0.47 $0.46 $0.46 $0.45 $0.44 $0.44 $0.43 $0.43 $0.42 $0.42 $0.41
Total Cost $/W $1.16 $1.11 $1.07 $1.02 $0.98 $0.94 $0.90 $0.86 $0.82 $0.78 $0.75 $0.71
Original Cost Assessment • Originally, we anticipated that silicon cost could drop from $55/Kg in 1Q11 to $25/Kg in 4Q13.
• This implies, module manufacturing cost reduction from $1.16/W in 1Q11 to $0.71/W by 4Q13.
• However, the accelerated decline in polysilicon cost and accepting lower margins leading to an accelerated decline in module prices.
• By1Q12, we had anticipated total module cost at $0.98/W, of which silicon $0.31/W and non silicon $0.67/W.
• However, the cost reduction accelerated and fell to $0.86/W of which silicon was $0.28/W and non silicon $0.58/W.
Actual 1Q12 cost stood at $0.86/W
Silicon cost: $0.28/W
Non Silicon cost: $0.58/W
July 2012 Joseph Berwind
COST OF PRODUCTIONC R I T I C A L A S S E S S M E N T O F C O S T O F P R O D U C T I O N O F C - S I A N D T H I N F I L M
P H O T O V O L T A I C M O D U L E S W I T H K E Y F O C U S O N P R O D U C T I O N P R O C E S S
July 2012 Joseph Berwind
July 2012
Source: AEI Consulting
Source: AEI Consulting
Solar PV Technology
• At its most basic structure a PV cell is a semiconductor that is a solid material that has electrical conductivity in between a conductor and an insulator
• PV broadly consists of two main categories of cells and modules, crystalline silicon and thin-film.
• c-Si which accounts for majority of the market size uses polysilicon as the starting raw material, whereas, thin-film which is relatively new process from commercial perspective uses other materials such as cadmium telluride, amorphous silicon etc as starting raw material.
Source: AEI Consulting
c-Si
83%
a-Si
4%
Tandem
3%
CIGS
3%
CdTe
7%Thin Film
17%
2011: Technology View
16,742 26,035
33,015 37,516 41,243 45,356
4,228
5,459
6,659 6,703
7,286 8,216
20,970
31,493
39,674 44,219
48,529 53,572
-
10,000
20,000
30,000
40,000
50,000
60,000
2010 2011 2012 2013 2014 2015
Global Module Production (MW)
c-Si Thin Film
947 1,389 1,591 1,517 1,655 1,806 1,216 1,024 1,107 1,161 1,253 1,354 588
957 1,318 1,376 1,502 1,642
1,477 2,089
2,642 2,649 2,876
3,414
4,228
5,459
6,659 6,703 7,286
8,216
-
2,000
4,000
6,000
8,000
10,000
2010 2011 2012 2013 2014 2015
Global TF Module Production (MW)
a-Si Tandem a-Si/µc-Si CIGS CdTeJoseph Berwind
Solar PV Value Chain
Polysilicon-based PV
WafersPolysiliconPV
ModulesPV Cells Distribution Installation Energy Sales
Thin film-based PV
PV Modules
PV Cells
Capital EquipmentBalance of
System
Distribution InstallationEnergy Sales
Balance of System
Capital Equipment
Source: AEI Consulting
Source: AEI Consulting
Ingot
July 2012 Joseph Berwind
83
c-Si Module Cost of Production
Ingot Wafer Cell Module
Prep Silicon
Cast/Grow Ingot
Cut ingot into
bricks
Slicing bricks into
wafers
Wafer Separation
Wafer Cleaning
Texturing
Diffusion
HF Surface Etching
AR Coating
Metal Line
Firing
Glass Washing
Tab & String Cells
Module Layup &
Bussing
Lamination &
Curing
Framing &
Termination
Power Testing
Source: AEI Consulting
July 2012 Joseph Berwind
c-Si Manufacturing Process
Ingot Fab
Polysilicon
DI Water
Argon
Pre Coated Crucible
Varnish
Saw Blade
Cooling Water
Electricity
Silicon Carbide 10µm
Glass support beam
Brick Epoxy
Glycol
KOH 50%
Saw Wire, stainless steel
City Water
Electricity
Plastic Bag 12.7 x 17.8 cm
Styrofoam
Box 17.6 x 17.6 x 7cm
HF 49%, HNO3 65%
HCL 37%, KOH 50%
Compressed, Air Nitrogen
Oxygen, POCl3,Ammonia, Nitrogen
Silane, Silver Paste,Al Paste,
Silk Screen,Doctor Blade,
Cooling Water,Electricity Plastic,
Bag,Styrofoam, Cell package Tape,
Box ,Flash Lamp
FlashLamp, Electricity,
Compressed Air, Detergent, DI
Water Ribbon 060“,IR Lamps,
Module Front
Glass,EVA,BusbarRibbon,TPAT,Di
aphragm O-ring,PTFE Release
Sheet Moduel Tape, Frame,Frame
Sides, Frame Inserts,Flash Lamp,
Jbox Bypass Diodes,Silicone
Sealant Potting Epoxy, Labels
Box,Molded plastic stacking
corners
FA
BP
RO
CE
SS
Wafer Fab Cell Fab
Prep Silicon
DSS Cast ingot
Slice into bricks
Slice bricks into wafer
Pre Wafer Clean
Package wafer
Incoming wafer inspection
Isotexture Etch
Diffusion
HF Surface Etch
A/R Coating
Metal Line
Firing Furnace
Cell Test & Sort
Package Cells
Incoming cell inspection
Glass Washing
Tab & String Cells
Module Layup
Bussing and Inspection
Module Lamination
Module Curing
Module Trim & Taping
Frame Module
Module Termination
Module Power Test
Module Safety Test
Package and Label Module
Module Fab
MA
TE
RIA
LS
TS3 Twin Spindle CNC Grinder
Measuring units
TS207 ID Saw
Robot Grinding
Robot Cropping
BKS Brick Gluing Station
Wafer Separation
Wafer Fine Cleaning
Wafer Transfer
Wafer Inspection & Sorting
July 2012 Joseph Berwind
c-Si Technology View
Thin
Film
17%
Mono
21%
Multi
62%
c-Si
83%
2011: c-Si Technology Viewc-Si Technology
Mono Silicon Multi Silicon
Grain size: >10 cm Grain size: 1mm to 10 cm• Higher efficiency
• Expensive • Low cost
• Lower efficiency
Czochralski
Flat Zone Silicon
Source: pveducation.org, siliconsultant.com/SImulticrs & AEI Consulting
Directional Solidification
Source: AEI Consulting
Source: AEI Consulting
July 2012
13,393 19,526
23,110 25,886 28,045 30,388 3,348
6,509
9,904 11,630
13,198 14,967
16,742
26,035
33,015
37,516 41,243
45,356
-
10,000
20,000
30,000
40,000
50,000
2010 2011 2012 2013 2014 2015
c-Si Module Production (MW)
Multi MonoJoseph Berwind
Silicon Ingot
• A PV module can be manufactured from mono or multi crystalline silicon. 75% of the PV uses multi while balance 25% uses mono. Mono is costlier than multi, whereas mono has better material characteristics implying higher efficiency.
• Mono silicon ingots are manufactured using Czochralski (CZ) or Float Zone (FZ)
process. Multi silicon ingots are manufactured using Directional Solidification
(DS).
• Since FZ requires uniform, crack free cylindrical feed rod which is 100% higher than
normal poly rod, FZ method is not popular among PV ingot manufactures.
14.00%
15.00%
16.00%
2010 2011 2012 2013 2014 2015
Cell Efficiencies %
Mono
Multi
Source: AEI Consulting
• FZ requires 30kWh/Kg silicon whereas CZ requires 60kWh/Kg silicon.
• Multicrystalline ingots as large as 690 mm x 690 mm in cross section and weighing as much as 240 kg are grown in total cycle
times of 56 hours. The resultant throughput is 4.3 kg/h. The energy consumption for DS is in the range of 8-15 kWh/kg
• Unlike CZ growth, the solid/liquid interface is submerged in DS, and precipitates or slag at the melt surface do not disrupt growth.
DS is a simpler process requiring less skill, manpower, and equipment sophistication than CZ growth, which can make it a lower
cost process
Source: siliconsultant.com
Multi Ingot Mono Ingot
Source: pveducation.org
July 2012 Joseph Berwind
100-300kg/ingot raw material is placed in a crucible & add boron
Evacuation of the crystal growing chamber
Melting of the silicon in inert atmosphere (argon)
Dipping of a thin crystal seed into the molten silicon material
Seed and the crucible rotate in opposite directions, the seed is slowly extracted.
Silicon Ingot - Consumables
• Crucibles, Graphite wear parts, Argon and Boron are the consumables used in silicon ingot manufacturing process.
Mono Ingot
Process flow
1
2
3
4
end
400-600kg/ingot raw material is placed in a crucible & add boron
Evacuation of the crystal growing chamber
Melting of the silicon in inert atmosphere (argon)
Dipping of a thin crystal seed into the molten silicon material
Directional solidification of the molten silicon is obtained by controlled cooling of the crucible
Multi Ingot
Process flow
1
2
3
4
end
Source: AEI ConsultingSource: AEI Consulting
July 2012 Joseph Berwind
Silicon Ingot – Crucibles
• Crucibles are important consumable in silicon ingot making.
• Normally, one crucible supports 100 to 300 Kg of mono ingot while 400 to 600 Kg of multi ingot. Therefore, cost of crucible per kg of ingot will be ~ $3.53 for Mono and ~$1.67 for Multi.
• This cost differential could partially offset by higher efficiencies of mono. However, mono’s cost still be higher than multi.
• Crucible costs are sensitive to wafer thickness. If wafer thickness reduces from current 200µm to 150µm, crucible cost could reduce from $0.013/W to $0.010/W
Source: AEI ConsultingSource: AEI Consulting
Crucible - G5 Jumbo
Particulars Mono Multi
Crucible Assumptions
Kg Ingot supported by Crucibles 100 to 300 400 to 600
Assumed Value (Kgs) 260 550
Cost of Crucible (per Unit) $917 $917
Crucible cost per Kg of Ingot $3.53 $1.67
Silicon usage g/W Calculation
Polysilicon usage per 1M2*1µm
module 4.151 4.151
Wafer thickness µm 200 200
Module efficiency 13.64% 13.37%
Silicon usage g/W 6.09 6.21
Crucible cost per Watt
Crucible cost per Kg of Ingot $3.53 $1.67
Silicon usage per Watt g/W 6.09 6.21
Watts produced per Kg of Ingot 164.24 160.99
Crucible cost per Watt $0.02 $0.01
Weightage 25% 75%
Weighted average cost $0.0131
$0.013 $0.012
$0.012 $0.011
$0.011 $0.010
$0.000
$0.002
$0.004
$0.006
$0.008
$0.010
$0.012
$0.014
200 190 180 170 160 150
Wafer thickness µm
Crucible cost Sensitivity to wafer thickness
July 2012 Joseph Berwind
$0.008$0.008
$0.007$0.007
$0.006$0.006
$0.000
$0.001
$0.002
$0.003
$0.004
$0.005
$0.006
$0.007
$0.008
$0.009
200 190 180 170 160 150
Wafer thickness µm
Graphite Wear Parts Sensitivity
Silicon Ingot – Graphite wear parts
• Graphite wear parts are second major consumable used in ingot making
• Normally, one crucible supports 100 to 300 Kg of mono ingot while 400 to 600 Kg of multi ingot. One graphite wear part can be used for 125 times. This implies, wear parts cost $2.15/Kg of Mono and $1.02/Kg of Multi ingots
• This cost differential could partially offset by higher efficiencies of mono. However, mono’s cost still be higher than multi.
• Crucible costs are sensitive to wafer thickness. If wafer thickness reduces from current 200µm to 150µm, crucible cost couldreduce from $0.008/W to $0.006/W
Source: AEI Consulting
Source: AEI Consulting
Graphite Wear Parts
Particulars Mono Multi
Graphite parts Assumptions
Kg Ingot supported by Crucibles 100 to 300 400 to 600
Assumed Value (Kgs) 260 550
Cost of Graphite part (per Unit) $70,000 $70,000
# of recycling of wear part (times) 125 125
Crucible cost per Kg of Ingot $2.15 $1.02
Silicon usage g/W Calculation
Polysilicon usage per 1M2*1µm module 4.151 4.151
Wafer thickness µm 200 200
Module efficiency 13.64% 13.37%
Silicon usage g/W 6.09 6.21
Graphite parts cost per Watt
Crucible cost per Kg of Ingot $2.15 $1.02
Silicon usage per Watt g/W 6.09 6.21
Watts produced per Kg of Ingot 164.24 160.99
Crucible cost per Watt $0.013 $0.006
Weightage 25% 75%
Weighted average cost $0.008July 2012 Joseph Berwind
Silicon Ingot - Argon
• Argon is a gas and is used while melting silicon in crucible in inert atmosphere.
• Argon is consumed at 40 liters per Kg of Ingot. Argon costs $14 per MT.
• This implies, Argon cost is $0.0035/W. As the wafer thickness improves, more wafer or more watts can be produced with same feedstock. This substantially reduces the cost/W. As per our analysis, as wafer thickness reduces from 200µm to 150µm, argon cost reduces from $0.0035/W to $0.0026/W.
Source: AEI Consulting
Source: AEI Consulting
Argon (Ar)
Particulars Mono Multi
Argon Consumption
Argon usage Liters/Kg Ingot 40 40
Silicon usage g/W Calculation
Polysilicon usage per 1M2*1µm module 4.138 4.138
Wafer thickness µm 200 200
Module efficiency 13.64% 13.37%
Silicon usage g/W 6.07 6.19
Argon cost per Watt
Argon used - liters/Kg ingot 40 40
Watts produced per Kg of Ingot 164.75 161.49
Argon used per Watt (Liter/Watt) 0.24 0.25
Argon cost per MT $14.00 $14.00
Argon cost per Watt ($/W) $0.0034 $0.0035
Weightage 25% 75%
Weighted average cost $0.0035
$0.0035$0.0033
$0.0031$0.0029
$0.0028$0.0026
$0.0000
$0.0005
$0.0010
$0.0015
$0.0020
$0.0025
$0.0030
$0.0035
$0.0040
200 190 180 170 160 150
Wafer thickness µm
Argon cost Sensitivity to Wafer thickness
July 2012 Joseph Berwind
Silicon Ingot - Gases
• Nitrogen and Helium are used in multi crystalline ingot.
• Nitrogen is used at 7.01 liters per Kg of ingot and Helium at 0.0179 liters per Kg of Ingot.
• The cost per Watt works out to be insignificant.
July 2012
Nitrogen (N2)
Particulars Mono Multi
Nitrogen Consumption
Nitrogen usage Liters/Kg Ingot 0 7.01358
Silicon usage g/W Calculation
Polysilicon usage per 1M2*1µm module 4.138 4.138
Wafer thickness µm 200 200
Module efficiency 13.64% 13.37%
Silicon usage g/W 6.07 6.19
Nitrogen cost per Watt
Nitrogen used - liters/Kg ingot 0 7.0136
Watts produced per Kg of Ingot 164.75 161.49
Nitrogen used per Watt (Liter/Watt) - 0.04
Nitrogen cost per Liter $0.0002 $0.0002
Nitrogen cost per Watt ($/W) $0.0000 $0.000
Weightage 25% 75%
Weighted average cost $0.00000001
Helium (He)
Particulars Mono Multi
Helium Consumption
Helium usage Liters/Kg Ingot 0 0.01792
Silicon usage g/W Calculation
Polysilicon usage per 1M2*1µm module 4.138 4.138
Wafer thickness µm 200 200
Module efficiency 13.64% 13.37%
Silicon usage g/W 6.07 6.19
Helium cost per Watt
Helium used - liters/Kg ingot 0 0.017918
Watts produced per Kg of Ingot 164.75 161.49
Helium used per Watt (Liter/Watt) - 0.000111
Helium cost per Liter $0.0002 $0.0067
Helium cost per Watt ($/W) $0.0000 $0.000000001
Weightage 25% 75%
Weighted average cost $0.000000001
Source: AEI ConsultingSource: AEI Consulting
Joseph Berwind
Silicon Wafers
• Once ingots are manufactured, they are sliced into wafers. In the case of the multicrystalline silicon, large slabs are grown
which are then sliced up into smaller ingot blocks.
Silicon Wafer Manufacturing
Process Flow
1
2
3
4
5
Ingot is mounted on the
carrier with mounting
epoxy
The large silicon ingot is
sawn into more
manageable bricks
The individual bricks
are now ready to saw
up into wafers
Bricks are sawn in wafer
sawing machine
Moving web of
steel/diamond wire slices
brick into wafers
Wafers are then cleaned
and shifted to cell
manufacturing
Source: pveducation.org & AEI Consulting
July 2012 Joseph Berwind
Wafer Slicing Process
• Wafer slicing, is a key part of the solar photovoltaic (PV) cell manufacturing process. The process begins with solid ingots of single-crystal or multi-crystalline silicon material.
• Wire saws shape the ingots into squared blocks and then slice them into thin wafers. These wafers are used as the base for the active PV cell.
• Wire saws use a metal wire of up to 400 km in length which eats through the brittle silicon. The real cutting work is carried out by what is known as slurry – a mixture of oil and silicon carbide grains.
• Slurry used in wafer manufacturing is a mixture of SiC and PEG. It is a thick suspension of solids in a liquid.
• The Silicon Carbide (SiC) as a powder is 2nd hardest substance on the earth after diamond. It gives the wire its “teeth”. Polyethylene Glycol (PEG) is a high viscosity carrier liquid, provides coolant for metal wires.
• The heart of a modern wire saw is a very thin, high-strength steel wire which carries the slurry that performs the cutting action. The wire is wound on guides that form a horizontal “web” of up to 1,000 parallel wires.
• Motors rotate the wire guides, causing the entire wire-web to move at a speed of 5 to 25 meters per second. The wire speed and its linear (back and forth) motion are adjusted throughout the cut. Nozzles continuously spray the moving wires with slurry that typically contains suspended silicon carbide particles. The silicon blocks are mounted to cutting tables. The cutting tables then travel vertically through the moving wire web, cutting the blocks into wafers.
July 2012 Joseph Berwind
Wafer Slicing Process
Source: Rockwell Automation
Source: Applied Materials
Three stages of Wafering:
cropping
squaring
slicing
Wafer Slicing
July 2012 Joseph Berwind
Wafer Slicing Technologies
Squaring (band saw) Slicing (Wire saw)
Purpose Cutting ingot into bricks Slicing bricks into wafers
Input Multi or mono ingot Multi or mono bricks
Equipment used Multi or mono band saw Wafer Saw
Process
Output Multi or mono blocks Multi or mono wafers
Source: AEI ConsultingJuly 2012 Joseph Berwind
Silicon Wafers - Consumables
• The “Consumable” is the sum of wire, slurry and all the other consumables costs such as glue, beam, pulleys and wire guide coating and grooving. This term is largely driven by wire and slurry with equal weight for each.
• The usage of “consumables” is a function of equipment and material performance metrics such as wire speed, table speed, brick load size, wafer length, wafer thickness and kerf loss.
• Kerf, consumables, slicing yield and capital cost accounts for almost two third of the total wafering cost according to Applied Materials.
• Improved processes leading to reduced kerf loss, reduced wafer thickness, increasing the brick load size have significant impact on reducing the usage of consumables and reducing the overall wafering cost/W.
Source: Applied Materials
July 2012 Joseph Berwind
Silicon Wafers – Slurry
Source: AEI Consulting
Product DimensionParticulars Ingot Brick Wafer
width / mm (side for brick) 840 156 156
depth / mm (top for brick) 840 25
height / mm (tail for brick) 280 15
Wafer Thickness / micron 200
Saw parametersParticulars Brick Wafer
Wire dimension / micron 300 130
Grit Size / micron 14 12
Diamond Wire dia / micron 350
Yield 92.6%
Pricing AssumptionsParticulars $
Virgin PEG Price / $/lit $1.32
Virgin SiC Price / $/kg $2.63
Recycled PEG Price / $/lit $0.88
Recycled SiC Price / $/kg $0.99
PEG Recycle percentage 80%
SiC Recycle percentage 50%
Slurry Usage per Wafer CalculationParticulars Value Comments
# of Bricks from the ingot 25.00
Sawn Surface / mm^2 4,368,000 Slurry used / lit - band saw 15.29 Liters per ingot
# of Wafers per Brick 607
Sawn Surface / mm^2 369,298,800 Slurry used / lit - wire saw 1,292.55 Liters per ingotTotal Slurry used/lit 1,307.83 Total wafer produced 15,175.00 Slurry used /lit per Wafer 0.0862 Slurry Usage per Watt Calculation
Particulars Value CommentsCell efficiency 14.9% Cell efficiency
Energy Density 6.70 Area required to produce 1kWp power
Cell size 0.0243 156 mm wafer substrate, area is 156*156 mm2
Power produced per cell 0.0036 kWp produced per cell
Slurry Usage per Wafer 0.0862 Calculation as per aboveSlurry usage per kWp 23.73 Slurry per Wafer/kWp per WaferSlurry cost per Liter Calculation
Particulars ValueVirgin SiC cost in 1 liter SiC output $1.32
Recycled SiC Cost in 1 liter SiC output $0.49
Virgin PEG cost in 1 liter PEG output $0.26
Recycled PEG cost in 1 liter PEG output $0.70Total cost of SiC and PEG in 1 ltr SiC and 1 ltr PEG $2.77Slurry liter obtained by mixing 1 ltr SiC & 1 ltr PEG 1.50Slurry Cost $/Liter $1.85Slurry cost per Watt Calculation
Particulars ValueSlurry usage liter per kWp 23.73
Slurry Cost $/Liter $1.85Slurry cost per Watt $0.0439
July 2012 Joseph Berwind
Silicon Wafers – Wires
Source: AEI Consulting
Product DimensionParticulars Ingot Brick Wafer
width / mm 840 156 156
depth / mm 840 25
height / mm 280 15
Wafer Thickness / micron 200
Saw parametersParticulars Brick Wafer
Wire dimension / micron 300 130
Grit Size / micron 14 12
Diamond Wire dia / micron 350
wire speed m/s 15
Cutting speed / mm per hour 60.00 25.00
Yield 93%
load size mm 8,910 1,000
Pricing AssumptionsParticulars $
Wire Price / $/km $1.28
Diamond Wire Price $/km $140.00
Wire Usage per Wafer CalculationParticulars Value Comments
# of Bricks from ingot 25.00
# of ingot per cut 10.00
# of bricks per cut 250.00
Total wire used kms per cut 1,008
wire used kms/brick 4.03
Wire used per wafer - band saw 0.0003 # of Wafers per Brick 607
# of bricks per cut 3
# of wafers per cut 1821
Wire used per cut / kms 337
Wire used per wafer - wire saw 0.185 Total wire used kms/Wafer 0.185 Wire Usage per Watt Calculation
Particulars Value CommentsCell efficiency 14.9% Cell efficiency
Energy Density 6.70 Area required to produce 1kWp power
Cell size 0.0243 156 mm wafer substrate, area is 156*156 mm2
Power produced per cell 0.00363 kWp produced per cell
Wire Usage per Wafer 0.1853 Calculation as per above
Wire usage per kWp 51.02 Wire per Wafer/kWp per WaferWire cost per Watt Calcuation
Particulars ValueWire Price / $/km $1.28
Wire usage per kWp 51.02
Total Wire cost per Watt $0.065
July 2012 Joseph Berwind
Silicon Wafers – Wire Cost Sensitivity
Source: AEI Consulting
• Wire costs are sensitive to cell efficiency and load size.
• As the load size increases, more wafers are sliced in a single run. This implies lower cost per Watt. Our analysis suggests that as the load size increases from 1000 mm to 2000 mm, wire cost/W decreases from $0.065/W to $0.028/W.
• Similarly as cell efficiency improves, more power can be produced with the same wafer. This implies significant reduction in per Watt wire cost.
Source: AEI Consulting$0.065
$0.049
$0.039
$0.0327$0.028
$0.000
$0.010
$0.020
$0.030
$0.040
$0.050
$0.060
$0.070
1000 1200 1400 1700 2000
Load Size (mm)
Wire cost sensitivity to load size
$0.065$0.065
$0.064$0.064
$0.064$0.063
$0.063$0.062
$0.061
$0.061
$0.062
$0.062
$0.063
$0.063
$0.064
$0.064
$0.065
$0.065
$0.066
$0.066
$0.065 14.93% 15.03% 15.13% 15.23% 15.33% 15.43% 15.53%
Cell Efficiency %
Wire cost efficiency to cell efficiency
July 2012 Joseph Berwind
Silicon Solar Cells
• Wafers are the starting material to obtain a photovoltaic solar cell capable of converting sunlight into electricity. Through a series of chemical and physical processes, wafers are made sensitive to solar radiation to absorb photons of light which create free electrons within the solar cells.
• Cutting silicon into wafers leaves the surface covered with cutting slurry and the surface is damaged due to the action of the saw. Wafers are cleaned in a hot solution of sodium hydroxide that removes the surface contamination and the first 10 µm of damaged silicon. The wafers are then textured in a more dilute solution of sodium hydroxide with isopropanol as a wetting agent.
• The p-n (positive-negative) junction is obtained by exposing the wafer to thermal diffusion in a high temperature oven (about 1,000°C) and controlled highly purified atmosphere. During such process, the first 0.5 microns of the wafer are doped with phosphorous turning their conductivity into n-type thus creating a p-n junction. The rest of the wafer maintains a p-type conductivity which acts as separator for the electric charges created by the photons
• A very thin anti-reflecting coating (60-80 nm) of silicon nitride (SiNx) is applied through PE-CVD (Plasma enhanced chemical vapour deposition) to further reduce reflection and maximize light absorption. In combination with texturing, such coating enables to capture high fractions of light and achieve a higher conversion efficiency of the solar cell.
• A silver paste is applied on the front of the cell and aluminium paste on the back of the cell by automatic screenprinting. This process enables the electrical charges to be collected and converged to the electrical consumer
Texturing
Cleaning and texturing of wafers in hot solution of sodium hydroxide with isopropanol as wetting agent
Emitter Diffusion
A phosphorous containing coating is applied to the surface. The wafers are then put in a belt furnace to diffuse a small amount of phosphorous into the silicon surface
Edge Isolation
The edge isolation process removes the phosphorous diffusion around the edge of the cell so that the front emitter is electrically isolated from the cell rear.
AR Coating
An antireflection of silicon nitride is
typically deposited using chemical
vapour deposition process (CVD).
Screen Printing
A silver paste is applied on the front of the cell and aluminum/silver paste on the back.
Source: pveducation.org & AEI ConsultingJuly 2012 Joseph Berwind
Silicon Solar Cells – Texturing
Source: pveducation.org & AEI Consulting
Wafers are put into cassettes
for texturing
Cassettes are put into holder
and moved to production line
Wafers are put through several
rinse cycles
Finally the wafers are loaded into a
centrifuge for a final rinse & spin dry
Consumables used in Texturing
Source: AEI Consulting
1 2 3 4
An acid solution (or alkaline for monocrystalline cells) is used to etch away the saw damage on the surface of the wafers, which is then textured with a
pyramidal structure to reduce optical losses and increase the amount of light absorbed by the silicon.
Materials Liters/HrThroughpu
t Wafers/HrLiter/Wafer
Cell
Efficiency
Cell Area
(mm)Liter/Watt Cost $/Ltr Cost $/W
HF - 49% 3 2,000 0.0015 14.93% 156 0.00041 $6.10 $0.0025
HNO3 - 65% 2 2,000 0.0008 14.93% 156 0.00022 $5.46 $0.0012
HCL - 37% 1 2,000 0.0005 14.93% 156 0.00014 $1.72 $0.0002
KOH - 50% 0 2,000 0.0001 14.93% 156 0.00003 $0.15 $0.0000
DI Water 1,000 2,000 0.5 14.93% 156 0.13766 $0.0035 $0.0005
Compressed Air 120,000 2,000 60 14.93% 156 16.51915 $0.00001 $0.0001
Total Consumables $0.0046
July 2012 Joseph Berwind
Silicon Solar Cells – Emitter Diffusion
In this process step, the wafer is doped with phosphorous creating the emitter or p-n junction
Textured wafers in
cassettes are loaded
Wafers are moved on a belt one by
one for phosphorous coatingPhosphorous coating is done
on all the wafers
Coated wafers travel through
furnace for an hour
Wafers are added back to
cassettes for an acid etch
1 2 3 4
5
Source: pveducation.org & AEI Consulting
Consumables used in Emitter Diffusion
Source: AEI Consulting
Materials Liters/Hr
Through
put
Wafers/H
r
Liter/Waf
er
Cell
Efficienc
y
Cell Area
(mm)Liter/Watt Cost $/Ltr Cost $/W
Nitrogen 720 2,000 0.36 14.93% 156 0.09911 $0.0002 $0.00002
Oxygen 1,200 2,000 0.6 14.93% 156 0.16519 $0.0003 $0.00004
POCl3 180 2,000 0.09 14.93% 156 0.02478 $0.340 $0.0084
Cooling Water 10 2,000 0.0050 14.93% 156 0.00138 $0.0014 $0.000002
Compressed Air 6,600 2,000 3.3 14.93% 156 0.90855 $0.00001 $0.00001
Total Consumables $0.0085
July 2012 Joseph Berwind
Silicon Solar Cells – Edge Isolation
The edge isolation process removes the phosphorous diffusion around the edge of the cell so that the front emitter is electrically isolated from the cell
rear. A common way to achieve this is to stack the wafers on top of each other then plasma etch using CF4 and O2
Wafers are removed from
cassettes and loaded to
etching system
Plasma etching is done in etching
system
1 2
Source: pveducation.org & AEI Consulting
Consumables used in Edge Isolation
Source: AEI Consulting
Materials Liters/HrThroughpu
t Wafers/HrLiter/Wafer
Cell
Efficiency
Cell Area
(mm)Liter/Watt Cost $/Ltr Cost $/W
HF - 49% 0.50 2,000 0.00025 14.93% 156 0.00007 $6.1040 $0.00042
DI Water 1,000 2,000 0.5 14.93% 156 0.13766 $0.0035 $0.00048
Compressed Air 120,000 2,000 60 14.93% 156 16.51915 $0.00001 $0.00012
Total Consumables $0.0010
July 2012 Joseph Berwind
Silicon Solar Cells – AR Coating
A very thin anti-reflecting coating (60-80 nm) of silicon nitride (SiNx) is applied through PE-CVD (Plasma enhanced chemical vapour deposition) to
further reduce reflection and maximize light absorption. In combination with texturing, such coating enables to capture high fractions of light and achieve
a higher conversion efficiency of the solar cell. Precursor gases of silane (SiH4) and ammonia (NH3) are fed into a chamber and break down due to a
plasma enhancement (PECVD).
Consumables used in AR Coating
Wafers are loaded to AR
Coating system
AR coated blue cells come out
of the AR Coating system
1 2
Source: pveducation.org & AEI Consulting
Source: Roth & Rau
Source: AEI Consulting
Materials Liters/Hr
Throughp
ut
Wafers/Hr
Liter/Wafe
r
Cell
Efficiency
Cell Area
(mm)Liter/Watt Cost $/Ltr Cost $/W
Ammonia 180.00 2,000 0.09 14.93% 156 0.02478 $0.0025 $0.00006
Nitrogen 21,600 2,000 10.8 14.93% 156 2.97345 $0.0002 $0.00056
Silane 360 2,000 0.18 14.93% 156 0.04956 $0.0025 $0.00012
Cooling Water 7,200 2,000 3.6 14.93% 156 0.99115 $0.0014 $0.00139
Compressed Air 6,000 2,000 3 14.93% 156 0.82596 $0.00001 $0.00001
Total Consumables $0.0021
July 2012 Joseph Berwind
Silicon Solar Cells – Screen Printing
The screen printing process consists in the transference of an ink or paste (with a specific viscosity) through a screen that allows its pass in a defined pattern, thanks to the pressure applied by a squeegee fixed in a moving part.
Basic parts of Screen Printing
• The screen, comprising a frame that holds a stretched fabric with a photo stencil attached to the mesh with the required design of the grid pattern.
• A squeegee, comprising a holder with a fixed, flexible, resilient blade.
• A metallic conductive paste that is transferred to the device surface.
• A silicon substrate located in a chuck aligned with the pattern to be transferred.
Types of Metallic Pastes
• Silver paste (Ag Paste): This paste contains ~80% silver content and balance frit and oil. This is used to create front contact. This paste is screen printed on the front side of the solar cell to create finger grids and bus bars.
• Silver/Aluminum paste (Ag/Al paste): This paste contains ~75% silver content, ~5% aluminum content and balance frit and oil. This is used to create bus bars on the rear side of the solar cell.
• Aluminum Paste (Al Paste): This paste contains ~90% aluminum content. This is used to create thick back surface contact for the solar cells.
Source: Dr. Luis Jaime Caballero Isofoton
Screen Printing Technique
Silver paste is forced through a patterned
screen. Those areas with gaps in the
pattern leaves a metal pattern on the
surface. The cells move along a conveyor
belt
Source: pveducation.org
July 2012 Joseph Berwind
Calculation of Paste Density (g/cm3)Particulars Unit Silver Frit Oil Total Comment
Paste content % % 80% 7% 13% 100% This represents the % of individual contents in the paste
Material Densities g/cm3 10.5 2.5 0.8 This is g/cm3 for various individual materials
Mix per liter g/Liter 800 70 162.5 1032.50 This shows the gms per liter of paste.
Content per Kg cm3/KG 76.1905 28 203.125 307.32 This shows the content in cm3 per Kg of paste. 1kG paste = 307.32 cm3
Paste Density g/cm3 3.253985 1 cm3 paste is equal to 3.25 gmsCalculation of Paste requirement (mm3) per Wafer
Particulars Unit Value CommentWafer size (mm) mm 156 We consider 156*156 mm wafer
Edge Exclusion mm 0.95 The four edges of the wafer is excluded from printing
Print thickness mm 0.03 This represent the height / thickness of the print aka dried thickness
Grid pitch mm 2.25 Distance between two grid lines
Line width mm 0.12 This is the width of each grid line. Higher the width more shading loss
# of bus bars # 2 No of bus bars on front side
Bus bar width mm 2.0 Width of each bus bar
Bus bar end mm 0.7 Bus bar end width
Bus bar taper length mm 3.0 Bus bar taper length
# of grid lines # 69 # of grid line is wafer length minus exclusion divided by grid pitch
Grid line area mm2 1275.95 69 lines, each line is 156 mm tall less edge excl multiplied by line width
Bus bar area mm2 612.5 2 bus bars of 2 mm width and 156 mm tall less edge excl minus ends
Total Printing area mm2 1888.45 Total printing area is grid line area plus bus bar area
Total paste required mm3 56.65 Printing area multiplied by print thickness/heightCalculation of paste usage per Wafer (g/Wafer)
Particulars Unit Value CommentPaste Density g/cm3 3.254 Calculaion as per above
Total paste required mm3 56.653 Calculaion as per above
Total paste usage g/Wafer 0.184 Paste used per WaferCalculation of paste usage per Kilowatt (g/kW)
Particulars Unit Value CommentCell efficiency % 14.9% Cell efficiency
Energy Density m2/kWp 6.700 Area required to produce 1kWp power
Cell size m2 0.024 156 mm wafer substrate, area is 156*156 mm2
Power produced per cell kWp 0.004 kWp produced per cell
Paste usage per cell g/Cell 0.184 Calculation as per above
Paste usage per kWp g/kWp 50.755 Paste usage per kWpCalculation of Front Silver paste cost per Watt
Particulars Unit Value CommentSilver Paste Price $/g $/g $1.22 Silver paste costs ~$1200 per Kg
Paste usage per kWp g/kWp 50.75 As per above
Front Silver Paste cost per Watt $0.0620 Silver Paste cost
Screen Printing – Ag Paste
July 2012
Source: AEI Consulting
Joseph Berwind
Screen Printing – Ag/Al Paste
July 2012
Calculation of Paste Density (g/cm3)Particulars Unit Silver Al Frit Oil Total Comment
Paste content % % 75% 5% 2% 18% 100% This represents the % of individual contents in the paste
Material Densities g/cm3 10.5 2.7 2.5 0.8 This is g/cm3 for various individual materials
Mix per liter g/Liter 750 50 20 225 1045.00 This shows the gms per liter of paste.
Content per Kg cm3/KG 71.429 18.519 8.000 281.250 379.20 This shows the content in cm3 per Kg of paste. 1kG paste = 379.20 cm3
Paste Density g/cm3 2.6372 1 cm3 paste is equal to 2.637 gmsCalculation of Paste requirement (mm3) per Wafer
Particulars Unit Value CommentWafer size (mm) mm 156 We consider 156*156 mm wafer
Edge Exclusion mm 0.95 The four edges of the wafer is excluded from printing
Print thickness mm 0.03 This represent the height / thickness of the print aka dried thickness
# of bus bars # 2 No of bus bars on front side
Bus bar width mm 3.50 Width of each bus bar
Bus bar end mm 0.7 Bus bar end width
Bus bar taper length mm 3.0 Bus bar taper length
Bus bar area mm2 1070.3 2 bus bars of 2 mm width and 156 mm tall less edge excl minus ends
Total paste required mm3 26.76 Printing area multiplied by print thickness/height
Calculation of paste usage per Wafer (g/Wafer)Particulars Unit Value Comment
Paste Density g/cm3 2.637 Calculaion as per above
Total paste required mm3 26.758 Calculaion as per above
Total paste usage g/Wafer 0.0706 Paste used per Wafer
Calculation of paste usage per Kilowatt (g/kW)Particulars Unit Value Comment
Cell efficiency % 14.9% Cell efficiency
Energy Density m2/kWp 6.700 Area required to produce 1kWp power
Cell size m2 0.024 156 mm wafer substrate, area is 156*156 mm2
Power produced per cell kWp 0.004 kWp produced per cell
Paste usage per cell g/Cell 0.071 Calculation as per above
Paste usage per kWp g/kWp 19.428 Paste usage per kWpAG/AL Paste cost per Watt
Particulars Unit Value CommentAG/AL Paste price $/g $0.79 $786 per Kg
Paste Usage g/kWp 19.428 As per above
Ag/Al Paste cost per Watt $/W $0.0153 Paste cost per Watt
Source: AEI Consulting
Joseph Berwind
Calculation of Paste Density (g/cm3)Particulars Unit Al Oil Total Comment
Paste content % % 90% 10% 100% This represents the % of individual contents in the paste
Material Densities g/cm3 2.7 0.8 This is g/cm3 for various individual materials
Mix per liter g/Liter 900 125 1025.00 This shows the gms per liter of paste.
Content per Kg cm3/KG 333.3333 156.25 489.58 This shows the content in cm3 per Kg of paste. 1kG paste = 489.58 cm3
Paste Density g/cm3 2.043 1 cm3 paste is equal to 2.043 gmsCalculation of Paste requirement (mm3) per Wafer
Particulars Unit Value CommentWafer size (mm) mm 156 We consider 156*156 mm wafer
Edge Exclusion mm 0.95 The four edges of the wafer is excluded from printing
Print thickness mm 0.033 This represent the height / thickness of the print aka dried thickness
# of bus bars # 2 No of bus bars on front side
Bus bar width mm 3.50 Width of each bus bar
Bus bar end mm 0.7 Bus bar end width
Bus bar taper length mm 3.0 Bus bar taper length
Bus bar area mm2 1070.3 2 bus bars of 2 mm width and 156 mm tall less edge excl minus ends
Total Printing area mm2 23,266 Total wafer printing area (156*156 ) minus bus bar area
Total paste required mm3 767.77 Printing area multiplied by print thickness/heightCalculation of paste usage per Wafer (g/Wafer)
Particulars Unit Value CommentPaste Density g/cm3 2.043 Calculaion as per above
Total paste required mm3 767.768 Calculaion as per above
Total paste usage g/Wafer 1.568 Paste used per WaferCalculation of paste usage per Kilowatt (g/kW)
Particulars Unit Value CommentCell efficiency % 14.9% Cell efficiency
Energy Density m2/kWp 6.700 Area required to produce 1kWp power
Cell size m2 0.024 156 mm wafer substrate, area is 156*156 mm2
Power produced per cell kWp 0.004 kWp produced per cell
Paste usage per cell g/Cell 1.568 Calculation as per above
Paste usage per kWp g/kWp 431.8 Paste usage per kWpCalculation of BSF AL Paste cost per Watt
Particulars Unit Value CommentBSF AL Paste Price $/g $0.07 ~$72 per Kg
Paste usage g/kWp 431.758 As per above
Al Paste cost $/W $0.0311 Al Paste cost per Watt
Screen Printing – Al Paste
Source: AEI Consulting
July 2012 Joseph Berwind
1 2
Silicon Solar Cells – Firing & Testing
After screen printing, cells are fired in a firing furnace
Cells are loaded to firing
furnace through robotsFired cells are unloaded
Source: pveducation.org & AEI ConsultingSource: Despatch
Finally, Photovoltaic cells
are tested and then
classified into bins
according to power and
color.
Cells are loaded to tester Tester in operation Cells are sorted into bins
Source: pveducation.org & AEI Consulting
July 2012 Joseph Berwind
Silicon Solar Modules
• Individual solar cells are protected from the weather by encapsulating into a module. Each cell is only around 0.5 volts, to obtain sufficient voltage the cell are connected together in series using flat wires called tabs.
• Modules are built by first interconnecting solar cells in series into strings which are then encapsulated in polymers under a tempered glass, framed with an anodized aluminum chassis and fitted with junction boxes.
Source: Fabrico
Stripes are added to the finished solar cells for electrical interconnection
Source: pveducation.orgJuly 2012 Joseph Berwind
Silicon Solar Modules Process steps
Glass Washing
Incoming Glass Panels are
cleaned and prepped for
module-lay-up
Tab and String
Using ribbon wire, individual
cells are electrically
connected into a solar cell
string
Module Lay-up, Bussing
Cell strings are placed onto
the glass panel, inter
connection with bus
ribbon, EVA & Tedlar™
Module Lamination
The cell matrix is laminated
between the glass and the
(Tedlar™ or glass).
Module Curing
Modules are stored for a
time, to cool and cure
Trim and Taping
After lamination, excess
EVA is trimmed with a hot
knife blade & protective
tape is placed.
Framing
Aluminum frames are
placed around laminated
module.
Module Termination
The Module junction box is
connected to the bus bar
ribbon on the back of the
laminated module.
Module Power Test
The completed solar panel
is tested with a flashed light
source to provide current-
voltage (I-V) characteristics
Module Safety Test
Hi-potential and ground
continuity electrical testing
is required for each module
by UL/CE.
Source: AEI Consulting
Module Manufacturing Process Steps
July 2012 Joseph Berwind
Silicon Solar Modules – Glass Washing
• The incoming glass is washed and prepared for module lay-up.
• Normally glass washing machine operates at a speed of 4.6m/min to 9.1m/min.
• DI Water, Detergent and compressed air are used as consumables
Consumables used in Glass Washing
• The glass is used at 1m2 per 1m2 module. Assuming 5% yield loss, 1m2 module consumes 1.05m2 glass.
• The glass is priced around $4 to $6 per m2
Cost of Glass $/W
Source: AEI Consulting
Source: AEI Consulting
Source: www.bilco-mfg.com
Materials Liters/HrThroughput
M2/HrLiter/M2 Module
Efficiency
Watts
produced
per M2
Liter/Watt Cost $/Ltr Cost $/W
Detergent 0.0016 420 0.00000 13.43% 134.325 0.00000003 $3.13 $0.0000001
DI Water 1,500 420 3.5714286 13.43% 134.325 0.02659 $0.0035 $0.000092
Compressed Air 10,800 420 25.714286 13.43% 134.325 0.19143 $0.00001 $0.000001
Total Consumables $0.0001
MaterialsM2 per M2
of module
Module
Efficiency
Watts
produced
per M2
M2 glass
per Watt
Cost per
M2 Cost $/W
Glass 1.05 13.43% 134.325 0.0078 $4.20 $0.033
Total Cost $/W $0.0328
July 2012 Joseph Berwind
Silicon Solar Modules – Tab & String
Source: www.komaxgroup.com/
• In this process, using ribbon wire, individual solar cells are interconnected into solar string. No of strings is a function of solar module design architecture.
• Assuming module length of 1.64 m and 6 strings per module, (1.64*6*2) 22 m ribbons are used per module. Assuming module width at 0.99m, module area would be 1.63m2
Therefore, 13.50 m ribbons are used per m2 module area.
• The ribbons cost around $50 to $60 per km.
• During the process, compressed air is consumed at a rate of 30000 liters per hour. The machine throughput will be ~1200 cells/hour. Assuming 156mm cells, this implies 29m2 is processed per hour.
Cost of Ribbon $/W
Consumables used in Tab & String
Source: AEI Consulting
Source: AEI Consulting
MaterialsM per M2 of
module
Module
Efficiency
Watts
produced
per M2
Meter
ribbon per
Watt
Cost per M Cost $/W
Ribbon .060" Cu 13.50 13.43% 134.325 0.1005 $0.06 $0.006
Total Cost $/W $0.0056
Materials Liters/HrThrough
put M2/HrLiter/M2
Module
Efficienc
y
Watts
produced
per M2Liter/Watt Cost $/Ltr Cost $/W
Compressed Air 30,000 29 1027.2847 13.43% 134.325 7.64775 $0.00001 $0.000057
Total Consumables $0.00006
July 2012 Joseph Berwind
Silicon Solar Modules – Module Layup & Bussing
• Individual cell strings are placed onto the glass panels and then electrical inter connected with bus ribbon. Another encapsulant layer (EVA) and a protective sheet (Tedlar™) are placed over the inter connected cell strings.
• 1m2 module consumes 4 m2 EVA (front & back). Assuming 5% yield loss, this implies, 1m2 module consumes 4.20 m2
EVA. EVA costs $1 to $2 per M2
• Bus ribbon are consumed at 1.65 m per M2 module. These ribbons cost ~$70 per Kms
• The backsheet is used at 1m2 per 1m2 module. Assuming 5% yield loss, 1m2 module consumes 1.05m2 glass. Backsheet costs $2 to $4 per m2
Source:www.3-S.ch
Cost of Materials used in Module Layup & Bussing
Source: 3M
Source: AEI Consulting
MaterialsM2 per M2
of module
Module
Efficiency
Watts
produced
per M2
Meter
ribbon per
Watt
Cost per
M2 Cost $/W
EVA 4.20 13.43% 134.325 0.0313 $1.54 $0.048
Bus bar ribbon 1.65 13.43% 134.325 0.0123 $0.07 $0.001
Backsheet 1.05 13.43% 134.325 0.0078 $2.80 $0.022
Total Cost $/W $0.071
July 2012 Joseph Berwind
Silicon Solar Modules – Module Lamination
• The solar cell matrix is laminated between the glass and the rear substrate (Tedlar™ or glass), to encapsulate and protect the circuit from moisture and the elements.
• The laminator parts should be replaced at a regular interval. Normally, Diaphragm, O-Ring and Teflon sheets are replaced after every 2500 modules are laminated or assuming each module is 1.63m2 , the parts should be replaced after 4075 m2 modules are produced.
• Diaphragm costs $1,400, O-Ring $70 and Teflon sheet $245.
Cost of Materials used in Module Lamination
Source: www.spiresolar.com
Source: AEI Consulting
Materials
# of
Cycles
per unit
Unit per
Module/
M2
Cost per
PieceCost $/M2 Module
EfficiencyWatts per M2 Cost $/W
Diaphragm 4,075 0.0002 $1,400 $0.344 13.43% 134.325 $0.003
O-Ring 4,075 0.0002 $70 $0.017 13.43% 134.325 $0.000
Teflon sheet 4,075 0.0002 $245 $0.060 13.43% 134.325 $0.000
Total Cost $/W $0.003
July 2012 Joseph Berwind
Silicon Solar Modules – Module Trimming & Taping
• After lamination, excess material (EVA) is trimmed from the module with a hot knife blade . A protective tape is then placed around the module to prepare it for the aluminum frame. This process is typically performed at a manual station.
• Protective tape is placed around the modules. Therefore, tape usage will be 2 times the length and width of the module. Around 3.2 m tape is consumed per M2 of module area.
• The tape costs around $175 per kms.
Cost of Materials used in Module Trimming & Taping
Source: AEI Consulting
www.gloabalspec.com Source: www.3-s.ch
Materials
M per M2
of
module
Module
Efficienc
y
Watts
produced
per M2
Meter per
Watt
Cost per
MCost $/W
Protective Tape 3.20 13.43% 134.325 0.0238 $0.18 $0.004
Total Cost $/W $0.004
July 2012 Joseph Berwind
Silicon Solar Modules – Module Framing
• Aluminum frames are placed around laminated module. The frames enable ease in mounting and protect the glass from damage.
• Frame consumption is a function of module area. Normally around 3.2m frames are consumed per m2 module area.
• Frames cost around $2 to $5 per meter.
Cost of Materials used in Module Framing
Source: AEI Consulting
Source: www.spiresolar.com
Source: www.3-s.ch
Materials
M per M2
of
module
Module
Efficienc
y
Watts
produced
per M2
Meter per
Watt
Cost per
MCost $/W
Module Frames 3.20 13.43% 134.325 0.0238 $2.10 $0.050
Total Cost $/W $0.050
July 2012 Joseph Berwind
Module Termination
• The module junction box is connected to the busbar ribbon on the back of the laminated module. The unit is then sealed and potted.
• A junction box costs $3 to $6 per piece.
Cost of Materials used in Module Termination
Source: AEI Consulting
Source: www.tycoelectronics.com
Source: www.3-s.ch
MaterialsPiece per
Module
Module
Efficienc
y
Watts
produced
per M2
Meter per
Watt
Cost per
MCost $/W
Junction Box 1.00 13.43% 134.325 0.0074 $3.50 $0.026
Total Cost $/W $0.026
July 2012 Joseph Berwind
2011: c-Si BoM-$/kWp
July 2012
Source: AEI Consulting
Joseph Berwind
2011: c-Si Module Cost of Production
• 2011 c-Si Module manufacturing cost could be $ 1.12/W
• Materials make up 69% of the total cost.
• Polysilicon accounts for 28% of the total cost, while module materials account for 17% of the total cost.
Source: AEI Consulting
Source: AEI ConsultingJuly 2012
2011: c-Si Module Cost of Production ($/W)
Particulars $/W
Material
Material Cost $/W $0.759
Labor
Labor Hrs per M2 Module 1.00
Module Efficiency % 13.43%
Watts per M2 134.33
Labor hrs per Watt 0.007
Labor cost $ per Hr $20.00
Labor cost $/W $0.149
Depreciation
Capex $ per M2 module $250.00
Module Efficiency % 13.43%
Watts per M2 $134.33
Capex $ per Watt $1.86
Equipment Life (years) 12
Annual Depreciation $/W $0.155
Overhead
Overhead as % to labor 40%
Overhead cost $/W $0.060
TOTAL COST $/W $1.1230
Labor, $0.15,
13%
Depreciation, $0.16,
14%
Overhead, $0.06,
5%
Polysilicon,
$0.31, 28%
Ingot, $0.02, 2%
Wafer, $0.11, 10%
Cell, $0.12, 11%
Module, $0.19,
17%
Materials
$0.76
69%
Joseph Berwind
2011: c-Si BoM $/W
• In 2011, total Material cost of c-Si Module is $0.76/W.
• Cost excluding polysilicon is $0.45/W. Of this, Wires account for 14%, slurry 10%,frames 11%, metallic pastes 23%.
July 2012
Crucibles, $0.01, 3%Graphite prt, $0.01, 2%
Argon, $0.00, 1%
Slurry, $0.04, 10%
Wires, $0.07, 15%
Wet Chemicals,
$0.02, 3%
Gases, $0.00, 0%Ag Paste, $0.06, 14%
Ag/Al Paste, $0.02, 3%Al Paste,
$0.03, 7%
Glass, $0.03, 8%
EVA, $0.05, 11%
Backsheet, $0.02, 5%
Frames, $0.05, 11%
J Box, $0.03, 6%
Source: AEI Consulting
Joseph Berwind
2011: c-Si Materials & Consumables
Source: AEI Consulting
July 2012
Total
$0.45/W
Ingot
$0.02/W
Wafer
$0.11/W
Cell
$0.125/W
Module
$0.19/W
Glass,
$0.03, 17%
EVA, $0.05,
25%
Backsheet,
$0.02, 11%
Frames,
$0.05, 26%
J Box,
$0.03, 14%
Others,
$0.01, 7%
Ingot, $0.02,
5%
Wafer, $0.11,
24%
Cell, $0.12,
28%
Module,
$0.19, 43%
Crucibles,
$0.013,
53%Graphite
prt, $0.008,
33%
Argon,
$0.003,
14%
Slurry,
$0.04, 40%
Wires,
$0.07, 60%
Wet
Chemicals
, $0.015,
12%Gases,
0.001 , 1%
Ag Paste,
0.06 , 50%
Ag/Al
Paste,
0.02 , 12%
Al Paste,
0.03 , 25%
Joseph Berwind
$0.20
$0.30
$0.40
$0.50
$0.60
$0.70
$0.80
200 190 180 170 160 150 140
Wafer thickness µm
Cost Sensitivity to Wafer thickness
Polysilicon Slurry Saw Wires Metallic Pastes
Glass EVA Backsheet Frames
J Box Others
$0.20
$0.30
$0.40
$0.50
$0.60
$0.70
$0.80
Cell Efficiency %
Cost Sensitivity to Efficiency
Polysilicon Slurry Saw Wires Metallic Pastes
Glass EVA Backsheet Frames
J Box Others
c-Si Material Cost Sensitivity
• Material costs are sensitive to efficiency and wafer thickness. R&D is taking place at production to constantly increase efficiency and decrease wafer thickness. These implies, lower materials are consumed per Watt. These should help in further cost reductions
• Assuming a cell efficiency of 14.93% and wafer thickness of 200µm, we got 2011 module material cost at $0.76/W. As these improves, the cost reduces significantly.
• Keeping all other things constant, when for example, cell efficiency improves from 14.93% to 17.33%, cost should reduce from $0.76/W to $0.65/W. Similarly, if wafer thickness reduces from 200µm to 140µm, cost could reduce from $0.76/W to $0.64/W.
Source: AEI Consulting
July 2012
Source: AEI Consulting
Joseph Berwind
$0.31$0.23 $0.19 $0.16 $0.13 $0.09
$0.07
$0.07$0.07
$0.07$0.06
$0.06
$0.11
$0.11$0.11
$0.11$0.11
$0.11
$0.05
$0.05$0.05
$0.05$0.05
$0.05
$0.05
$0.05$0.05
$0.05$0.05
$0.05
$0.05
$0.05$0.05
$0.05$0.05
$0.05
$0.76
$0.68$0.64
$0.61$0.57
$0.53
$0.00
$0.10
$0.20
$0.30
$0.40
$0.50
$0.60
$0.70
$0.80
2011 2012 2013 2014 2015 2016
c-Si Module Material Cost $/W
Polysilicon Slurry Saw Wires Metallic Pastes
Glass EVA Backsheet Frames
J Box Others
$50.00
$20.00
$0.00
$10.00
$20.00
$30.00
$40.00
$50.00
$60.00
2011 2016
Poly prices $/Kg
July 2012
c-Si Material Cost Reduction Potential
• Going forward module efficiency will increase, wafer thickness will reduce and poly prices continue to fall.
• We assume 1% annual material cost escalation.
• These implies, c-Si module material cost could reduce from $0.76/W in 2011 to $0.53/W by 2016.
Source: AEI Consulting
Source: AEI Consulting Source: AEI Consulting Source: AEI Consulting
13.43%
14.31%
12.80%
13.00%
13.20%
13.40%
13.60%
13.80%
14.00%
14.20%
14.40%
2011 2016
Module Efficiency %
200
160
0
50
100
150
200
250
2011 2016
Wafer thickness µm
Joseph Berwind
$0.759
$0.533
$0.149
$0.140
$0.155
$0.146
$0.060
$0.056
$1.123
$0.874
$0.000
$0.200
$0.400
$0.600
$0.800
$1.000
$1.200
2011 2016
c-Si Module Manufacturing Cost $/W
Overhead
Depreciation
Labor
Material
c-Si Total Cost Reduction Potential
Particulars 2011 2012 2013 2014 2015 2016
Cell Efficiency (Poly - Max) 16.10% 16.20% 16.30% 16.40% 16.50% 16.90%
Cell Efficiency (Poly - Min) 13.60% 13.80% 14.00% 14.30% 14.60% 14.80%
Average Efficiency Poly 14.85% 15.00% 15.15% 15.35% 15.55% 15.85%
Cell Efficiency (Mono - Max) 16.80% 17.10% 17.20% 17.40% 17.60% 18.00%
Cell Efficiency (Mono - Min) 13.50% 13.60% 13.70% 13.80% 13.90% 14.00%
Average Efficiency Mono 15.15% 15.35% 15.45% 15.60% 15.75% 16.00%
Multi % market 75.00% 70.00% 69.00% 68.00% 67.00% 65.00%
Mono % of market 25.00% 30.00% 31.00% 32.00% 33.00% 35.00%
Market weighted cell efficiency 14.93% 15.11% 15.24% 15.43% 15.62% 15.90%
Module Efficiency (Cell -10%) 13.43% 13.59% 13.72% 13.89% 14.05% 14.31%
Wafer Thickness To Use In The Model 200 190 180 180 170 160
Polysilicon usage g/W 6.161396 5.78 5.43 5.36 5.01 4.63
Polysilicon Prices $/Kg $50.00 $40.00 $35.00 $30.00 $25.00 $20.00
Source: AEI Consulting
Efficiency, Wafer thickness & Polysilicon prices Assumptions
• Our analysis suggests that the average module manufacturing cost could reduce from $1.12/W in 2011 to $0.87/W by 2016.
July 2012
$1.12
$0.87
$0.14
$0.05
$0.06$0.01
$0.70
$0.75
$0.80
$0.85
$0.90
$0.95
$1.00
$1.05
$1.10
$1.15
2011 Cost Poly price fall Efficiency
Improvement
Wafer
thickness
Material
price
escalation
2016 Cost
c-Si Total Cost $/WSource: AEI Consulting
Joseph Berwind
Thin Film Module Cost of Production
Eff: 8% to13%Eff: 6% to 10% Eff: 7% to 12%
July 2012
SOURCE: Solarnenergy.com & AEI Consulting
Joseph Berwind
Thin Film Cell Structure
Source: www.daviddarling.info/encyclopedia
• Unlike most single-crystal cells, a typical thin-film device doesn't have a metal grid for the top electrical contact. Instead, it uses a thin layer of a transparent conducting oxide, such as tin oxide.
• These oxides are highly transparent and conduct electricity very well. A separate antireflection coating might top off the device, unless the transparent conducting oxide serves that function.
• Several different deposition techniques can be used, and all of them are potentially less expensive than the ingot-growth techniques required for crystalline silicon. Deposition techniques can be classified into physical vapor deposition, chemical vapor deposition, electrochemical deposition, or a combination. And like amorphous silicon, the layers can be deposited on various low-cost substrates (or "superstrates") such as glass, stainless steel, or plastic in virtually any shape
July 2012 Joseph Berwind
Thin Film Technology Review
Technology
Key Players
Materials Used
Cost/W
THIN FILM MODULES
Tandem a-Si CIGS CdTea-Si
• Trony Sciences
• Masdar
• Bangkok Solar
• Beyond PV
• Kaneka Solar
• Bosch Solar
• Sharp
• Schott Solar
• Kaneka
• UniSolar
• Ascent Solar
• Global Solar
• Miasole
• Nano Solar
• Solibro
• Avanics
• Solar Frontier
• Abound Solar
• Calyxo
• First Solar
• Primestar Solar
• Glass
• EVA
• Silane
• Hydrogen
• Oxygen
• Nitrogen
• Ammonia
• DEZ (Diethylzinc)
• AZO/Zn
• PVD Al
• NF3
• Glass
• EVA
• Silane
• Methane
• Hydrogen
• Oxygen
• Nitrogen
• Ammonia
• DEZ (Diethylzinc)
• AZO/Zn
• PVD Al
• NF3
• Glass
• EVA
• H3PO4
• HNO3
• CdCl
• CdTe
• CdS
• Glass
• EVA
• Mo (Molybdenum)
• Cu
• In
• Ga
• Se
• H2Se
• KCN
• CdS
• ZnO
• DEZ
$0.65/W to $0.85/W $0.95/W to $1.10/W $0.70 /W to $0.80/W$0.90/W to $1.10/W
7% to 10% 8% to 11% 10% to 12%6% to 8%Efficiency %
Source: AEI Consulting
July 2012 Joseph Berwind
Thin Film Module Production
Source: AEI Consulting
July 2012
947 1,389 1,591 1,517 1,655 1,806
1,216 1,024
1,107 1,161 1,253 1,354 588 957
1,318 1,376 1,502
1,642 1,477
2,089
2,642 2,649 2,876
3,414
4,228
5,459
6,659 6,703
7,286
8,216
-
1,000
2,000
3,000
4,000
5,000
6,000
7,000
8,000
9,000
2010 2011 2012 2013 2014 2015
Global TF Module Production (MW)
a-Si Tandem a-Si/µc-Si CIGS CdTe
Joseph Berwind
a-Si Modules
• a-Si PV panel manufacturing process begins with a laser scribe on the TCO coated glass substrate followed by a cleaning
process.
• Then amorphous silicon deposition is effectuate using PECVD technique.
• The junction partner zinc oxide is deposited on top of the amorphous silicon-coated plate layer, and the second scribe is
accomplished before the metal conductive layer coating process.
• The monolithic cell structure is completed with the third scribe, where a polymer laminate is laid down and the encapsulating
glass sheet is bonded to the substrate glass.
• Some companies apply a germanium double junction a-Si/a-GeSi structure to increase the conversion efficiency.
• Electrical leads and junction boxes are added at the finishing step. The completed module is tested and binned by
performance.
Source: Trony Solar
July 2012 Joseph Berwind
a-Si Modules – Cost of Manufacturing
Total Cost $/W Module Materials $/W
Other Materials $/W
Source: AEI Consulting
Particulars $/W
Material
Material Cost $/W $0.52
Labor
Labor Hrs per M2 Module 0.50
Module Efficiency % 6.50%
Watts per M2 65.00
Labor hrs per Watt 0.008
Labor cost $ per Hr $20.00
Labor cost $/W $0.154
Depreciation
Capex $ per M2 module $125.00
Module Efficiency % 6.50%
Watts per M2 $65.00
Capex $ per Watt $1.92
Equipment Life (years) 12
Annual Depreciation $/W $0.160
Overhead
Overhead as % to labor 40%
Overhead cost $/W $0.062
TOTAL COST $/W $0.90
Materials
M2 /piece
per M2 of
module
Module
Efficienc
y
Watts
produced
per M2
M2 / piece
per Watt
Cost per
M2 Cost $/W
TCO Glass 2.10 6.50% 65 0.0323 $5.88 $0.190
EVA/PVB 4.20 6.50% 65 0.0646 $1.54 $0.100
Junction Box 1.00 6.50% 65 0.0154 $3.50 $0.054
Total Cost $/W $0.3433
Materials Liter/M2
Module
Efficien
cy
Watts
produced
per M2
Liters per
Watt
Cost per
LiterCost $/W
Silane 1,407 6.50% 65 21.64 $0.00 $0.05
Hydrogen 1.55 6.50% 65 0.02 $0.00 $0.00
DEZ 0.19 6.50% 65 0.00 $36.15 $0.10
PVD Al 0.0001 6.50% 65 0.00 $738.00 $0.00
NF3 0.001 6.50% 65 0.00 $1,201.20 $0.02
TOTAL $0.18
July 2012
Source: AEI Consulting
Source: AEI Consulting
Joseph Berwind
Labor, $0.15, 17%
Depreciation,
$0.16, 18%
Overhead, $0.06, 7%
TCO Glass,
$0.19, 21%
EVA/PVB,
$0.10, 11%
J Box, $0.05, 6%
Silane, $0.05, 6%
DEZ, $0.10, 12%
NF3, $0.02, 2%
Materials
$0.52
58%
2011: a-Si Modules – BoM
Source: AEI Consulting
• In 2011, total a-Si manufacturing cost stood at $0.90/W
• Of the total cost materials accounted for 58%.
July 2012 Joseph Berwind
a-Si Material Cost $/W
Particulars 2011 2012 2013 2014 2015 2016
a-Si 6.50% 6.70% 6.90% 7.10% 7.30% 7.50%
Efficiency Assumption
Source: AEI Consulting
Assumed 1% Annual Material price escalation
July 2012
$0.190 $0.186 $0.183 $0.179 $0.176 $0.173
$0.100 $0.098 $0.096 $0.094 $0.092 $0.091
$0.054 $0.053 $0.052 $0.051 $0.050 $0.049
$0.053 $0.052 $0.051 $0.050 $0.050 $0.049
$0.105 $0.103 $0.101 $0.099 $0.097 $0.096
$0.021 $0.021 $0.021 $0.020 $0.020 $0.019
$0.524 $0.514 $0.504 $0.495 $0.486 $0.478
$0.000
$0.100
$0.200
$0.300
$0.400
$0.500
$0.600
2011 2012 2013 2014 2015 2016
a-Si Module Material Cost $/W
TCO Glass EVA/PVB Junction Box Silane Hydrogen DEZ PVD Al NF3
Joseph Berwind
a-Si Cost $/W
Particulars 2011 2012 2013 2014 2015 2016
a-Si 6.50% 6.70% 6.90% 7.10% 7.30% 7.50%
Efficiency Assumption
Source: AEI Consulting
Assumed 1% Annual Material price escalation
July 2012
$0.524 $0.514 $0.504 $0.495 $0.486 $0.478
$0.154 $0.149 $0.145 $0.141 $0.137 $0.133
$0.16 $0.16 $0.15 $0.15 $0.14 $0.14
$0.06 $0.06 $0.06 $0.06 $0.05 $0.05
$0.900 $0.878 $0.858 $0.838 $0.820 $0.803
$0.000
$0.100
$0.200
$0.300
$0.400
$0.500
$0.600
$0.700
$0.800
$0.900
$1.000
2011 2012 2013 2014 2015 2016
a-Si Cost of Production $/W
Material Labor Depreciation Overhead
Joseph Berwind
Tandem a-Si/ µc-Si
Source: Auri Solar
• Tandem a-Si/µc-Si cells have a tandem structure with an additional microcrystalline absorber in addition to the a-Si
layer. This layer converts the energy of the red and near infrared spectrum, allowing an efficiency increase of
approximately 30%.
• Oerlikon claims its ThinFab can produce Tandem a-Si/µc-Si solar panel at €0.50/W ($0.65/W), with 10% module
efficiency, on 1.4m2 module producing 143 Wp power.
Source: Best Solar
July 2012 Joseph Berwind
Particulars $/W
Material
Material Cost $/W $0.42
Labor
Labor Hrs per M2 Module 0.50
Module Efficiency % 7.50%
Watts per M2 75.00
Labor hrs per Watt 0.007
Labor cost $ per Hr $20.00
Labor cost $/W $0.133
Depreciation
Capex $ per M2 module $125.00
Module Efficiency % 7.50%
Watts per M2 $75.00
Capex $ per Watt $1.67
Equipment Life (years) 12
Annual Depreciation $/W $0.139
Overhead
Overhead as % to labor 40%
Overhead cost $/W $0.053
TOTAL COST $/W $0.75
Tandem a-Si Modules – Cost of Manufacturing
Total Cost $/W Module Materials $/W
Other Materials $/W
Source: AEI Consulting
Materials
M2 /piece
per M2 of
module
Module
Efficienc
y
Watts
produced
per M2
M2 / piece
per Watt
Cost per
M2 Cost $/W
TCO Glass 2.10 7.50% 75 0.0280 $5.88 $0.165
EVA/PVB 4.20 7.50% 75 0.0560 $1.54 $0.086
Junction Box 1.00 7.50% 75 0.0133 $3.50 $0.047
Total Cost $/W $0.2975
Materials Liter/M2
Module
Efficien
cy
Watts
produced
per M2
Liters per
Watt
Cost per
LiterCost $/W
Silane 1,138 7.50% 75 15.17 $0.00 $0.0375
Hydrogen 1.26 7.50% 75 0.02 $0.00 $0.0001
DEZ 0.15 7.50% 75 0.00 $36.15 $0.0736
PVD Al 0.0001 7.50% 75 0.00 $738.00 $0.0008
NF3 0.001 7.50% 75 0.00 $1,201.20 $0.0150
TOTAL $0.13
July 2012
Source: AEI Consulting
Source: AEI Consulting
Joseph Berwind
2011: Tandem a-Si Modules – BoM
Source: AEI Consulting
• In 2011, total a-Si manufacturing cost stood at $0.75/W
• Of the total cost materials accounted for 57%.
Labor, $0.13, 18%
Depreciation, $0.14,
19%
Overhead, $0.05, 7%
TCO Glass, $0.16, 22%
EVA/PVB,
$0.09, 11%
J Box, $0.05, 6%
Silane, $0.04, 5%
DEZ, $0.07,
10%
NF3, $0.02, 2%
Materials
$0.42
57%
July 2012 Joseph Berwind
Tandem a-Si Material Cost $/W
Efficiency Assumption
Source: AEI Consulting
Assumed 1% Annual Material price escalation
Particulars 2011 2012 2013 2014 2015 2016
Tandem a-Si/µc-Si 7.50% 7.85% 8.20% 8.55% 8.90% 9.25%
July 2012
$0.16 $0.16 $0.15 $0.15 $0.14 $0.14
$0.09 $0.08 $0.08 $0.08 $0.08 $0.07
$0.05 $0.05 $0.04 $0.04 $0.04 $0.04
$0.04$0.04 $0.03 $0.03 $0.03 $0.03
$0.07$0.07
$0.07 $0.07 $0.06 $0.06
$0.02$0.01
$0.01$0.01
$0.01 $0.01
$0.42$0.41
$0.40$0.38
$0.37 $0.36
$0.00
$0.05
$0.10
$0.15
$0.20
$0.25
$0.30
$0.35
$0.40
$0.45
2011 2012 2013 2014 2015 2016
Tandem a-Si Module Material Cost $/W
TCO Glass EVA/PVB Junction Box Silane Hydrogen DEZ PVD Al NF3
Joseph Berwind
Tandem a-Si Cost $/W
Efficiency Assumption
Source: AEI Consulting
Assumed 1% Annual Material price escalation
Particulars 2011 2012 2013 2014 2015 2016
Tandem a-Si/µc-Si 7.50% 7.85% 8.20% 8.55% 8.90% 9.25%
July 2012
$0.42 $0.41 $0.40 $0.38 $0.37 $0.36
$0.13 $0.13 $0.12 $0.12 $0.11 $0.11
$0.14$0.13 $0.13 $0.12 $0.12 $0.11
$0.05$0.05
$0.05$0.05 $0.04 $0.04
$0.75$0.72
$0.69$0.67 $0.65 $0.63
$0.00
$0.10
$0.20
$0.30
$0.40
$0.50
$0.60
$0.70
$0.80
2011 2012 2013 2014 2015 2016
Tandem a-Si Cost/W
Material Labor Depreciation Overhead
Joseph Berwind
CIGS
CIGS METHODS
Vacuum Based Non Vacuum Electroplating Liquid Dissolve Dry sputter
• Co-sputters copper,
gallium, and indium
• Anneals the resulting
film with a selenide
vapor
Or
• Directly co-
evaporate copper
gallium, indium and
selenium onto a
heated substrate
• Mix copper gallium,
and indium into a
liquid
• Deposit nano
particles of precursor
materials on a
substrate
• Sinters them into situ
Nano Solar
• Continuous Roll to roll
process
• Deposit films on large
areas as well as a
variety of shapes
• Compositional control
is possible
SoloPower
• Dissolve copper,
gallium and indium
into a liquid
• Apply it to a surface
and bake it.
• In solution form solar
absorber layer can
be easily painted or
coated onto a
surface
UCLA Team
• Continuous roll to roll
process
• Proprietary
technology of AQT
solar
AQT Solar
• The active layer (CIGS) can be deposited in a polycrystalline form directly onto molybdenum coated glass sheets or steel bands. This uses
less energy than growing large crystals, which is a necessary step in the manufacture of crystalline silicon solar cells. Also unlike crystalline
silicon, these substrates can be flexible.
• One environmental advantage of CIGS solar cell technologies have over Cadmium Telluride solar cell panels is that it uses a much lower
level of cadmium, in the form of cadmium sulfide. In some designs, sometimes zinc is used instead of cadmium sulfide all together.
• Like all thin film solar panels, CIGS panels are not as efficient as crystalline silicon solar cells.
Source: AEI Consulting
July 2012 Joseph Berwind
CIGS Modules – Cost of Manufacturing
Total Cost $/W Module Materials $/W
Other Materials $/W
Source: AEI Consulting
Particulars $/W
Material
Material Cost $/W $0.67
Labor
Labor Hrs per M2 Module 0.70
Module Efficiency % 10.00%
Watts per M2 100.00
Labor hrs per Watt 0.007
Labor cost $ per Hr $20.00
Labor cost $/W $0.140
Depreciation
Capex $ per M2 module $125.00
Module Efficiency % 10.00%
Watts per M2 $100.00
Capex $ per Watt $1.25
Equipment Life (years) 10
Annual Depreciation $/W $0.125
Overhead
Overhead as % to labor 50%
Overhead cost $/W $0.070
TOTAL COST $/W $1.00
Materials
M2 /piece
per M2 of
module
Module
Efficien
cy
Watts
produced
per M2
M2 / piece
per Watt
Cost per
M2 Cost $/W
TCO Glass 2.10 10.00% 100 0.0210 $5.88 $0.123
EVA/PVB 4.20 10.00% 100 0.0420 $1.54 $0.065
Junction Box 1.00 10.00% 100 0.0100 $3.50 $0.035
Total Cost $/W $0.2232
Materials Liter/M2
Module
Efficien
cy
Watts
produced
per M2
Liters per
Watt
Cost per
LiterCost $/W
Mo 0.0009 10.00% 100 0.00001 $1,130.80 $0.0106
Cu 0.0011 10.00% 100 0.00001 $269 $0.0029
In 0.0013 10.00% 100 0.00001 $8,772 $0.1155
Ga 0.0016 10.00% 100 0.00002 $13,002 $0.2118
Se 0.0020 10.00% 100 0.00002 $671 $0.0135
H2Se 0.0027 10.00% 100 0.00003 $2,842 $0.0770
KCN 0.0002 10.00% 100 0.00000 $74 $0.0001
CdS 0.0021 10.00% 100 0.00002 $366 $0.0075
DEZ 0.0080 10.00% 100 0.00008 $36 $0.0029
TOTAL $0.44
July 2012
Source: AEI Consulting
Source: AEI Consulting
Joseph Berwind
2011- CIGS Modules – BoM
Source: AEI Consulting
• In 2011, total CIGS manufacturing cost stood at $1.00/W
• Of the total cost materials accounted for 67%.
Labor, $0.14, 14%
Depreciation, $0.13,
13%
Overhead, $0.07, 7%
TCO Glass, $0.12,
12%
EVA, $0.06, 6%
J Box, $0.04, 5%
Mo, $0.01, 1%
In, $0.12, 12%
Ga, $0.21, 21%
Se, $0.01, 1%
H2Se, $0.08, 8%
CdS, $0.01, 1%
Others, $0.01, 1%
Materials
$0.67
67%
July 2012 Joseph Berwind
CIGS Material Cost $/W
Efficiency Assumption
Assumed 1% Annual Material price escalation
Particulars 2011 2012 2013 2014 2015 2016
CIGS 10.00% 10.25% 10.50% 10.75% 11.00% 11.25%
July 2012
$0.12 $0.12 $0.12 $0.12 $0.12 $0.12
$0.06 $0.06 $0.06 $0.06 $0.06 $0.06
$0.04 $0.03 $0.03 $0.03 $0.03 $0.03
$0.12 $0.11 $0.11 $0.11 $0.11 $0.11
$0.21 $0.21 $0.21 $0.20 $0.20 $0.20
$0.08 $0.08 $0.07 $0.07 $0.07 $0.07
$0.67 $0.66 $0.65 $0.64 $0.63 $0.62
$0.00
$0.10
$0.20
$0.30
$0.40
$0.50
$0.60
$0.70
2011 2012 2013 2014 2015 2016
CIGS - Material Cost $/W
TCO Glass EVA/PVB Junction Box Mo Cu In Ga Se H2Se KCN CdS DEZ
Source: AEI Consulting
Joseph Berwind
CIGS Cost $/W
Efficiency Assumption
Source: AEI Consulting
Assumed 1% Annual Material price escalation
Particulars 2011 2012 2013 2014 2015 2016
CIGS 10.00% 10.25% 10.50% 10.75% 11.00% 11.25%
July 2012
$0.67 $0.66 $0.65 $0.64 $0.63 $0.62
$0.14 $0.14 $0.13 $0.13 $0.13 $0.12
$0.13 $0.12 $0.12 $0.12 $0.11 $0.11
$0.07 $0.07 $0.07 $0.07 $0.06 $0.06
$1.00 $0.98 $0.97 $0.95 $0.93 $0.92
$0.00
$0.20
$0.40
$0.60
$0.80
$1.00
$1.20
2011 2012 2013 2014 2015 2016
CIGS - Cost of Manufacturing $/W
Material Labor Depreciation Overhead
Joseph Berwind
CdTe
Deposition Cell Definition Assembly & Test
Load the panel of treated Glass
onto the production line
Cleaning & Heating the Glass
Glass is coated with a layer of
Cadmium Sulfide followed by a
layer of Cadmium Telluride
Rapidly cool the coated plates to
increase strength
Laser Scribing of coated plates
Transforming coated glass plates
into series of interconnected
solar cells
Apply Bus bars on the
interconnected solar cells
Lamination of modules and Rear
glass
Apply Junction box and
Termination wires
Testing the finished modules
Source: AEI Consulting
July 2012 Joseph Berwind
CdTe Modules – Cost of Manufacturing
Total Cost $/W Module Materials $/W
Other Materials $/W
Source: AEI Consulting
Particulars $/W
Material
Material Cost $/W $0.33
Labor
Labor Hrs per M2 Module 1.00
Module Efficiency % 11.70%
Watts per M2 117.00
Labor hrs per Watt 0.009
Labor cost $ per Hr $20.00
Labor cost $/W $0.171
Depreciation
Capex $ per M2 module $200.00
Module Efficiency % 11.70%
Watts per M2 $117.00
Capex $ per Watt $1.71
Equipment Life (years) 10
Annual Depreciation $/W $0.171
Overhead
Overhead as % to labor 40%
Overhead cost $/W $0.068
TOTAL COST $/W $0.74
Materials
M2 /piece
per M2 of
module
Module
Efficienc
y
Watts
produced
per M2
M2 / piece
per WattCost per M2 Cost $/W
TCO Glass 2.10 11.70% 117 0.0179 $5.88 $0.106
EVA/PVB 4.20 11.70% 117 0.0359 $1.54 $0.055
Junction Box 1.00 11.70% 117 0.0085 $3.50 $0.030
Total Cost $/W $0.1907
Materials Liter/M2
Module
Efficien
cy
Watts
produced
per M2
Liters per
Watt
Cost per
LiterCost $/W
CdS 0.0012 11.70% 117 0.00001 $365.898 $0.00
CdTe 0.0082 11.70% 117 0.00007 $1,860 $0.13
CdCl 0.0071 11.70% 117 0.00006 $5.747 $0.00
HNO3 0.0004 11.70% 117 0.00000 $5.460 $0.00
H3PO4 0.0537 11.70% 117 0.00046 $2.677 $0.00
Cu 0.0015 11.70% 117 0.00001 $268.800 $0.00
TOTAL $0.14
July 2012
Source: AEI Consulting
Source: AEI Consulting
Joseph Berwind
2011- CdTe Modules – BoM
Source: AEI Consulting
• In 2011, total CdTe manufacturing cost stood at $0.74/W
• Of the total cost, materials accounted for 46%.
Labor, $0.17, 23%
Depreciation,
$0.17, 23%
Overhead, $0.07,
9%
TCO Glass,
$0.11, 14%
EVA/PVB, $0.06, 8%
J Box, $0.03, 4%
CdTe,
$0.13, 18%
Others, $0.01, 1%
Material
$0.33
46%
July 2012 Joseph Berwind
CdTe Material Cost $/W
Efficiency Assumption
Source: AEI Consulting
Assumed 1% Annual Material price escalation
Particulars 2011 2012 2013 2014 2015 2016
CdTe 11.70% 12.60% 13.30% 14.00% 14.70% 15.20%
$0.106 $0.098 $0.094 $0.090 $0.087 $0.085
$0.055 $0.051 $0.049 $0.047 $0.045 $0.044
$0.030$0.028 $0.027 $0.026 $0.025 $0.024
$0.130$0.121
$0.116 $0.111 $0.107 $0.105
$0.330$0.307
$0.294$0.282
$0.271 $0.265
$0.000
$0.050
$0.100
$0.150
$0.200
$0.250
$0.300
$0.350
2011 2012 2013 2014 2015 2016
CdTe - Material Cost $/W
TCO Glass EVA/PVB Junction Box CdS CdTe CdCl HNO3 H3PO4 Cu
July 2012 Joseph Berwind
CdTe Cost $/W
Efficiency Assumption
Source: AEI Consulting
Assumed 1% Annual Material price escalation
$0.330 $0.307 $0.294 $0.282 $0.271 $0.265
$0.171$0.157 $0.149 $0.142 $0.135 $0.131
$0.171$0.157
$0.149$0.142 $0.135 $0.131
$0.07$0.06
$0.06$0.06
$0.05 $0.05
$0.740
$0.685$0.652
$0.622$0.596 $0.579
$0.000
$0.100
$0.200
$0.300
$0.400
$0.500
$0.600
$0.700
$0.800
2011 2012 2013 2014 2015 2016
CdTe Cost of Production $/W
Material Labor Depreciation Overhead
Particulars 2011 2012 2013 2014 2015 2016
CdTe 11.70% 12.60% 13.30% 14.00% 14.70% 15.20%
July 2012 Joseph Berwind
2011 – Thin Film Cost of Production
• By technology, CdTe has lowest cost structure
followed by Tandem a-Si/µc-Si.
• CIGS has highest cost structure.
• Of the total materials, TCO Glass, EVA/PVB,
DEZ, Silane, Ga, In have high costs.
Source: AEI Consulting
July 2012 Joseph Berwind
MARKETS & FORECASTSA S S E S S M E N T O F C H E M I C A L S A N D M A T E R I A L S D E M A N D A C R O S S P V V A L U E C H A I N
B Y T E C H N O L O G Y . F I V E Y E A R C H E M I C A L S A N D M A T E R I A L S F O R E C A S T S
July 2012 Joseph Berwind
PV Materials
July 2012
Thin film
Source: AEI Consulting
Source: AEI Consulting
c-Si Technologies
Joseph Berwind
TCO Glass
31%
EVA/PVB
16%
J Box
9% Silane
DEZ
In
Ga
H2Se
CdTe
Chemicals, 44%
TCO Glass EVA/PVB J Box Silane HydrogenDEZ PVD Al NF3 Mo CuIn Ga Se H2Se KCNCdS CdTe CdCl HNO3 H3PO4
PV Chemicals
July 2012
Polysilicon
41%
Other
Materials,
56%
Argon
HF - 49%
HNO3 - 65%
DI Water
POCl3
Cooling Water
Chemicals,
3%
Polysilicon Other Materials ArgonHelium HF - 49% HNO3 - 65%HCL - 37% KOH - 50% DI WaterCompressed Air Nitrogen Oxygen
• c-Si total material cost would be $0.76/W, of which chemicals
account for 3%
• The chemicals are largely made up of:
• POCL3 43%
• Argon 17%
• HF49% 15%
• Cooling water 7%
• HNO3-65% 6%
c-Si Thin film
• TF total weighted average material cost would be $0.47/W, of
which chemicals account for 45%
• The chemicals are largely made up of:
• DEZ 18%
• Ga 22%
• CdTe 22%
• Silane 9%
• In 12%
• H2Se 8%
Source: AEI ConsultingSource: AEI Consulting
Joseph Berwind
PV Chemicals Market Size ($ Mn) - 2011
Source: AEI Consulting
July 2012
• We estimate 2011 chemical market size would be $1.34 bn.
• Major chemicals used in PV industry are DEZ, Ga, POCl3, Silane, NF3, CdTe, Argon, HF and others.
$87
$0
$72
$29
$6 $0
$26$8 $14
$1
$207
$34
$2
$116
$0
$224
$2
$45
$10 $10
$111
$203
$13
$74
$0$15
$272
$1 $0 $3$0
$50
$100
$150
$200
$250
$300
Joseph Berwind
PV Chemicals by ApplicationSource: AEI Consulting
July 2012 Joseph Berwind
PV Materials Demand by Technology
Source: AEI Consulting
$771 $892 $869 $920 $1,002 $1,069
$2,488
$2,945 $2,891$3,067
$3,341$3,571
2011 2012 2013 2014 2015 2016
TCO Glass EVA/PVB Junction Box Silane
DEZ In Ga H2Se
CdTe Others
Source: AEI Consulting
July 2012
$8,016 $7,602 $7,695 $6,758 $6,175 $5,349
$19,545$21,944
$23,753 $24,329 $25,415 $26,298
2011 2012 2013 2014 2015 2016
Polysilicon Crucible - G5 size Saw Wire Slurry
Metallic Pastes Glass EVA Backsheet
Module Frames Junction Box Others
$22,033$24,890
$26,644 $27,397 $28,756 $29,869
2011 2012 2013 2014 2015 2016Polysilicon Glass/TCO Encapsulant J Box Saw Wire Slurry Metallic Pastes Backsheet Module Frames TF Chemicals c-Si Chemicals Others
Source: AEI Consulting
Joseph Berwind
c-Si Materials Market
2011 2012 2013 2014 2015 2016
Polysilicon 26,021 32,860 40,490 41,997 49,344 57,815
Ingot 25,271 31,951 35,058 36,423 40,201 44,802
Wafer 25,904 31,589 34,326 37,760 41,532 45,680
Cell 24,515 30,539 34,625 38,076 41,886 46,092
Module 26,035 33,015 37,516 41,243 45,356 49,883
-
10,000
20,000
30,000
40,000
50,000
60,000
70,000 Production Potential (MW)
Source: AEI ConsultingSource: AEI Consulting
July 2012
$8,016 $7,602 $7,695 $6,758 $6,175 $5,349
$622 $746 $776$804 $836
$870
$2,830 $3,444 $3,745 $4,111 $4,512 $4,922
$3,055 $3,798 $4,309 $4,728 $5,191 $5,665
$5,022$6,355
$7,228 $7,928 $8,701 $9,491$19,545
$21,944$23,753 $24,329
$25,415$26,298
$0
$5,000
$10,000
$15,000
$20,000
$25,000
$30,000
2011 2012 2013 2014 2015 2016
c-Si Materials Demand ($ Mn)
Polysilicon Ingot Materials
Wafer Materials Cell Materials
Joseph Berwind
$8,016 $7,602 $7,695$6,758 $6,175
$5,349
$332$398 $414
$429$446
$464
$1,693 $2,060 $2,241$2,459
$2,700$2,945
$1,137 $1,383$1,505
$1,651 $1,813$1,977
$2,657$3,303
$3,748$4,113 $4,515 $4,927
$855
$1,082
$1,230 $1,349 $1,481 $1,615
$1,254
$1,586
$1,804 $1,979$2,172 $2,369
$570
$721
$820 $900$987
$1,077
$1,302
$1,648
$1,875 $2,056$2,257
$2,462
$678
$858
$976$1,071
$1,175$1,282
$1,051
$1,302
$1,445$1,564
$1,695$1,830
$19,545
$21,944
$23,753$24,329
$25,415$26,298
$0
$5,000
$10,000
$15,000
$20,000
$25,000
$30,000
2011 2012 2013 2014 2015 2016
Others
Junction Box
Module Frames
Backsheet
EVA
Glass
Metallic Pastes
Slurry
Saw Wire
Crucible - G5 size
Polysilicon
July 2012
c-Si Materials Demand - $ Mn
6.1% CAGRSource: AEI Consulting
Joseph Berwind
Polysilicon Market
Polysilicon Sources and Uses 2011 2012 2013 2014 2015 2016
End-Year Polysilicon Capacity 306,350 419,299 431,878 444,826 458,102 471,901
Current Year Average Capacity 265,867 362,825 425,589 438,352 451,464 465,002
Plant Utilization 70.0% 65.0% 60.0% 60.0% 70.0% 70.0%
Annual Polysilicon Production 186,107 235,836 255,353 263,011 316,025 325,501
Average-Year Polysilicon Production 178,905 210,971 245,595 259,182 289,518 320,763
Less: Microelectronics Demand (33,787) (38,854) (46,625) (55,950) (67,141) (80,569)
Plus: Reject / Reprocessed Silicon 15,207 17,933 20,876 22,030 24,609 27,265
Available for PV Production (in tons) 160,325 190,049 219,845 225,262 246,986 267,459
c-Si PV Production Potential (in MW) 26,021 32,860 40,490 41,997 49,344 57,815 Key Assumptions
Growth in Microelectronics Demand 15.0% 15.0% 20.0% 20.0% 20.0% 20.0%
Reclaimed Sil. as % of Poly-Si Output 8.5% 8.5% 8.5% 8.5% 8.5% 8.5%
Tons of Silicon / MW of PV (adj.) 6.16 5.78 5.43 5.36 5.01 4.63 Source: AEI Consulting
Source: AEI Consulting Source: AEI ConsultingSource: AEI Consulting
July 2012
$50
$40$35
$30$25
$20
$0
$10
$20
$30
$40
$50
$60
2011 2012 2013 2014 2015 2016
Silicon Prices ($ Per Kg)
6.165.78
5.43 5.365.01
4.63
0.00
2.00
4.00
6.00
8.00
2011 2012 2013 2014 2015 2016
Silicon Usage (g/Wp)
$8,016
$7,602 $7,695
$6,758
$6,175
$5,349
$5,000
$6,000
$7,000
$8,000
$9,000
2011 2012 2013 2014 2015 2016
Silicon Market ($ Mn)
Joseph Berwind
Polysilicon Key Players
Product Players
Silicon
Methylchlorosilanes
• Elkem
• Dow Corning
• Wacker
• GE
• Shin Etsu
• Rhodia
TCS • Dow Corning
• Mitsubishi
• Wacker
• Degussa
• Tokuyama
• Shin Etsu
Polysilicon • Hemlock
• Wacker
•Tokuyama
• REC
• MEMC
• Sumitomo
• Elkem
July 2012 Joseph Berwind
Ingot Material Market
Source: AEI ConsultingSource: AEI Consulting
July 2012
$332$398 $414 $429 $446 $464
$203
$243 $253 $262 $273 $284$87
$105 $109 $113 $117 $122
$622
$745 $775 $804$836
$870
$0
$200
$400
$600
$800
$1,000
2011 2012 2013 2014 2015 2016
Ingot Materials ($ Mn)
Crucible Graphite wear parts Argon Nitrogen Helium
25,271
31,951 35,058 36,423
40,201
44,802
-
5,000
10,000
15,000
20,000
25,000
30,000
35,000
40,000
45,000
50,000
2011 2012 2013 2014 2015 2016
Ingot Production (MW)
Joseph Berwind
Crucible & Graphite part suppliers (1)
July 2012
Suppliers Brief Description
Covalent Materials Corp. • Covalent Materials was formed after buyout from Toshiba Ceramics in 2006. It produces quartz and graphite
crucibles and heaters for ingot manufacturing
Ferrotec Corp. • Ferrotec’s quartz crucibles are transparent and bubble free, thereby minimizing surface damage (crystal
dislocation) to the crucible and allows for multiple ingot pulling.
GrafTech International Ltd. • GrafTech International Ltd. is one of the world's leading manufacturers of carbon and graphite products under
2 groups – Industrial Materials and Engineered Solutions
• The Engineered Solutions segment offers advanced graphite materials including graphite crucibles, heaters,
and heat shields for polysilicon production.
Mersen • Flexible felt and rigid carbon insulation for controlling the thermal gradients of crystalline growth
Momentive Performance
Materials, Inc.
• Momentive’s quartz group produces high-purity crucibles, ingots, and quartz tubing and rods
Norwegian Crystallites AS • Norwegian Crystallites operates several mines to extract high purity quartz deposits and produces high quality
quartz products such as optics, tubes, fibers, wool, rods, glass, and crucibles for Silicon metal crystal drawing
for semiconductor and solar applications
Saint Gobain • Saint-Gobain is a major supplier of solutions for the photovoltaic industry. These include quartz crucibles
for melting silicon slabs and engineered abrasive grains for cutting the slabs, fluoropolymer films for
encapsulating photovoltaic panels and high-efficiency PV Lite and Albarino extra-clear glass
Source: AEI Consulting
Joseph Berwind
Crucible & Graphite part suppliers (2)
July 2012
Suppliers Brief Description
SGL Carbon • SGL Carbon SE, together with its subsidiaries, engages in the manufacture and sale of carbon-based products
worldwide. The Graphite Materials & Systems business group makes high purity graphite products including
crucibles for the photovoltaic and semiconductor industries.
Toyo Tanso Co., Ltd. • For photovoltaics, the company offers a range of graphite products, which include crucibles and heaters for
single crystal silicon pulling furnaces; and SiC graphite coatings for use in growing films on the surface of
semiconductor wafers, and in solar cell and liquid crystal display manufacturing.
Vesuvius USA Corp. • The company’s many manufacturing plants are located in China making a wide range of their products.
Source: AEI Consulting
Joseph Berwind
Wafer Materials Market
Source: AEI ConsultingSource: AEI Consulting
July 2012
25,904
31,589 34,326
37,760 41,532
45,680
-
5,000
10,000
15,000
20,000
25,000
30,000
35,000
40,000
45,000
50,000
2011 2012 2013 2014 2015 2016
Wafer Production (MW)
$1,693 $2,060 $2,241 $2,459 $2,700 $2,945
$1,137$1,383
$1,505$1,651
$1,813$1,977
$2,830
$3,444$3,745
$4,111$4,512
$4,922
$0
$1,000
$2,000
$3,000
$4,000
$5,000
$6,000
2011 2012 2013 2014 2015 2016
Wafer Materials ($ Mn)
Saw Wire Slurry
Joseph Berwind
Saw Wire Demand
Source: AEI Consulting Source: AEI Consulting
Players Wire type
Tokyo Rope Mfg Steel
G&N Diamond
Noritake Steel & Diamond
Meyer Burger Steel & Diamond
Heraeus Steel & Diamond
Japan Fine Steel Steel & Diamond
Bakaert Steel
Arcelor Mittal Steel
Key Players
July 2012
1,322
1,592 1,715
1,863 2,025
2,187
-
500
1,000
1,500
2,000
2,500
2011 2012 2013 2014 2015 2016
Saw Wire Demand (Mn kms)
$1,693$2,060
$2,241$2,459
$2,700$2,945
$0
$1,000
$2,000
$3,000
$4,000
2011 2012 2013 2014 2015 2016
Saw Wire Demand ($ Mn)
Joseph Berwind
Saw Wire Market Share
July 2012
53%
12%
4%
8%
23%
Bekaert ArcelorMittalFundant Tokyo Rope Mfg Co
Others
0%
10%
20%
30%
40%
50%
60%
70%
80%
90%
100%
2006 2007 2008 2009 2010 2011 2012 2013 2014 2015
Wire Volume Share %
Others
Tokyo Rope
Mfg
Fundant
ArcelorMittal
Bekaert
• Saw wire market is dominated by Bekaert followed by Arcellor Mittal.
• Going forwards, the share of Fundant should increase considerably.
Source: AEI ConsultingSource: AEI Consulting
Joseph Berwind
Saw Wire Key players
July 2012
Supplier Supplier details Wire Product details
• Founded in 1880 in Belgium
• Key products: drawn steel wire products
• Thin & High tensile wires > low kerf loss and less
fractures
• Tight diameter and ovality tolerances
• A wide range of spool types > Used in any machines
• Arcelor was created in 2002 by combination of
three steel making companies in Europe.
• Mittal steel was founded in 1989 in India.
• ArcelorMittal was created by merger between
Arcelor and Mittal in 2006.
• Wires are used to cut silicon, quartz, sapphire, glass,
SiC…
• Patented in collaboration with Applied Material
• Usable on different type of squarer and wire saws
• A large variety of wires from 350 µm to 100 µm are
available
• Founded in September 2008 in China
• Production lines completed in October 2009.
• Commercial production started in 2010. It has 3
GW production capacity in 2010 and increased
to 6 GW by 2011.
• Wire with 100 to 140µm diameters are available
• Tensile strength ranges from 3450 to 3700 Mpa
• Breaking force ranges from 29 to 56 N.
• Wide range of spools to suite HCT, MB & NTC
machines
• Founded in 1887 in Japan
• Key Products: wire rope, steel cord, carbon
fiber composite cable, specialty products like
rock fall prevention net, cable suspended
bridges, sound insulation walls etc
• Tokyo Steel cord co, a subsidiary manufactures saw
wires.
• These saw wires are used to slice and chip crystal
silicon, GaAs, crystal, glass and magnetic material.
• These saw wires are very thin, but strong and stable.
Bekaert,
53%
ArcelorMitta
l, 12%
Fundant
, 4%
Tokyo
Rope Mfg
Co, 8%
Source: AEI Consulting
Joseph Berwind
Slurry Demand
Source: AEI Consulting Source: AEI Consulting
July 2012
615
741 797
867 942
1,017
-
200
400
600
800
1,000
1,200
2011 2012 2013 2014 2015 2016
Slurry Demand (Mn Liters)
$1,137
$1,383$1,505
$1,651$1,813
$1,977
$0
$500
$1,000
$1,500
$2,000
$2,500
2011 2012 2013 2014 2015 2016
Slurry Demand ($ Mn)
Joseph Berwind
Slurry Market Share
July 2012
Source: AEI Consulting
SiC Processing
90%
Metallkraft
8%
Saint Gobain
1%
Others
1%
Joseph Berwind
Slurry suppliers (1)
July 2012
Suppliers Brief Description
Advanced Nano Products
Co., Ltd
• Its Product offering includes Inks for printed electronics, displays, sputtering targets, coatings, CMP slurry, and
others. Advanced Nano Products Co., Ltd. manufactures and sells a range of electronic nano-materials such as
coatings, silver nano paste, silver jet ink, and Ag/Pd Sol,Ag paste for printed electronics, and sputtering targets
displays, touch screens, solar cell, OLED, and other thin film applications.
• The company currently offers:Customizable silver paste for crystalline cells, Nano silver inks for flexible printed
circuits Nano inks and powders for CIGS
Aremco Products Inc. • Offers Ceramics, Adhesives & sealants, Cements, Coatings, Tapes, Binders
BASF • Offers Chemicals, Plastics, Performance Products,Functional solutions,Agricultural Solutions, and Oil & Gas. By
using SELURIS™ products, customers get chemical solutions during the cutting, etching and texturing, as well as
doping within the solar cell value chain
CRS Reprocessing
Services LLC
• Offers Wafer slicing slurry reclaim/recycling. Business model – construct on-site processing facility to recycle spent
wafering slurry. Reprocessing is done at the customer site, not at centralized location. Processing facilities typically
operated by local technical staff. Majority of customers are in Europe and China .
Dow Advanced Materials
(RHEM)
• Dow Chemical also sells PEG, polyethylene glycol, used in SIC slurries to prepare Si wafers, Ag plating products in
collaboration with Schmid. The plating solutions are used in Light Induced Plating processes, Alkali cleaners for Si
wafers
Metallkraft • Offers SiC reprocessing and slurry delivery. Norway based Metallkraft AS recycles silicon carbide and glycol from
spent slurry. Supplies glycol and SiSiCar, a raw material used in the production of ceramics and as an additive used
in the foundries and refractory industry. Also commercializing recycling of solar grade silicon from the silicon dust
captured in the spent slurry. 10 - 15% market share
Source: AEI Consulting
Joseph Berwind
Slurry suppliers (2)
July 2012
Suppliers Brief Description
Noritake Co., Ltd. • For photovoltaics, the company has products covering the entire value chain including silicon ingot casting furnaces,
ingot cutting tools, cleaning, electrode pastes, and firing furnaces. Consumables include conductive pastes, diamond
wires, and diamond coated abrasives
PPT Research • Proprietary slurry chemistry and process technology wafering
• Proprietary SSL-series of PEG-based and water-based slurry for wafer slicing
Saint Gobain • Saint-Gobain is a major supplier of solutions for the photovoltaic industry. These include quartz crucibles for melting
silicon slabs and engineered abrasive grains for cutting the slabs, fluoropolymer films for encapsulating photovoltaic
panels and high-efficiency PV Lite and Albarino extra-clear glass
SiC Processing • SiC slurry in glycol
• 90% Market Share
• Leader in the reclaim and re-manufacture of SiC from slurries of glycol
• Claimed recovery rates of 75-90% for the SiC
• Dedicated slurry recycle plants become interesting once demand reaches 10,000 ton per year requirement.
Source: AEI Consulting
Joseph Berwind
Cell Materials Market
Source: AEI Consulting Source: AEI Consulting
July 2012
24,515
30,539
34,625
38,076
41,886
46,092
-
5,000
10,000
15,000
20,000
25,000
30,000
35,000
40,000
45,000
50,000
2011 2012 2013 2014 2015 2016
Cell Production (MW)
$165 $205 $233 $255 $280 $306$207 $257 $292 $320 $351 $384
$2,657
$3,303$3,748
$4,113$4,515
$4,927$3,055
$3,798
$4,309
$4,728
$5,191
$5,665
$0
$1,000
$2,000
$3,000
$4,000
$5,000
$6,000
2011 2012 2013 2014 2015 2016
Cell Materials ($ Mn)
Wet Chemicals Bulk Gases Dopant
Speciality Chemical Metallic Pastes
Joseph Berwind
Wet Chemicals
Source: AEI Consulting Source: AEI Consulting
July 2012
12 15 16 18 19 21
5
7 7
8 9
10
3
4
5 5
6 6
-
5
10
15
20
25
30
35
40
2011 2012 2013 2014 2015 2016
Wet Chemicals (Mn Liters)
HF - 49% HNO3 - 65% HCL - 37% KOH - 50%
$72 $90 $102 $112 $123 $134
$29
$37 $42
$46 $50
$55
$6
$7 $8
$9 $10
$11
$23
$29
$33 $36
$40
$43
$34
$42
$48
$53
$58
$63
$165
$205
$233
$255
$280
$306
$0
$50
$100
$150
$200
$250
$300
$350
2011 2012 2013 2014 2015 2016
Wet Chemicals ($ Mn)
HF - 49% HNO3 - 65% HCL - 37%
KOH - 50% DI Water Cooling Water
Joseph Berwind
Wet Chemicals Suppliers
July 2012
Suppliers Brief Description
BASF • Its product offerings includes Chemicals, Plastics, Performance Products,Functional olutions,Agricultural Solutions,
and Oil & Gas
• By using SELURIS™ products, customers get chemical solutions during the cutting, etching and texturing, as well
as doping within the solar cell value chain
Dow Advanced Materials
(RHEM)
• It offers Formulated process chemicals and plating solutions
• Dow Chemical also sells PEG, polyethylene glycol, used in SIC slurries to prepare Si wafers
• Ag plating products in collaboration with Schmid. The plating solutions are used in Light Induced Plating processes
Honeywell International • It supplies a specially designed PV-quality hydrofluoric acid called Puranal, a key chemical in the etchant process
Kanto Chemical • Offers Reagents, chemicals for electronics industry and diagnostics as well as fine chemicals
• It claims to be the first company worldwide that developed and launched high-purity chemicals for the
semiconductor manufacturing process in 1964
KMG Chemicals, Inc. • Offers Specialty chemicals
• KMG Electronic Chemical's Performance Products Group offers a broad range of products and services required to
achieve specific application criteria in semiconductor manufacturing
Mallinkrodt Baker (Avantor) • High purity wet chemicals and formulations
• PV-160 is a formulated cleaner that is claimed to increase cell efficiency, and is being implemented in multiple
manufacturing lines
Matheson / Taiyo Nippon
Sanso
• Offers Atmospheric gases, NF3, silane, dopants
• MTG is manufacturing H2Se for CIGS selenization in a plant that was opened in Dec. 2008
• H2Se is supplied at 100% concentration ands diluted prior to use
• Also supplies Ge mixes for multi junction CVD cellsTandem Si processes
• As a bulk gas supplier MTG also supplies liquid bulk gases and onsite gas plants for large users
Source: AEI Consulting
Joseph Berwind
Bulk Gases
Source: AEI Consulting Source: AEI Consulting
July 2012
75,324 92,714
104,168 113,161
123,003 132,915
4,050
4,985
5,600 6,084
6,613 7,146
-
20,000
40,000
60,000
80,000
100,000
120,000
140,000
160,000
2011 2012 2013 2014 2015 2016
Bulk Gases (Mn Liters)
Nitrogen Oxygen Ammonia
6.31 7.85 8.91 9.77 10.73 11.71
14.24
17.70 20.08
22.04 24.19
26.40 1.52
1.89
2.14
2.35
2.58
2.82
23
29
33
36
39
43
-
5.00
10.00
15.00
20.00
25.00
30.00
35.00
40.00
45.00
2011 2012 2013 2014 2015 2016
Bulk Gases ($ Mn)
Compressed Air Nitrogen Oxygen Ammonia
Joseph Berwind
Bulk Gas Suppliers (1)
July 2012
Suppliers Brief Description
Air Liquide • Offers Atmospheric gases, NF3, silane, dopants
Air Products • Atmospheric gases, NF3, silane, dopants
• Air Products is one of the largest bulk and specialty gas supply companies in the world
• Also offers Fluorinated gases (CF4, C2F6) for etch steps
• POCl3 for n-type doping
BASF • Offers Chemicals, Plastics, Performance Products,Functional olutions,Agricultural Solutions, and Oil & Gas
• By using SELURIS™ products, customers get chemical solutions during the cutting, etching and texturing, as well
as doping within the solar cell value chain
Heraeus • Offers Precious metals and metals trading Sputtering targets and Evaporation materials
• Major supplier of ZnO/Al2O3 targets to the solar industry
• Longtime leading supplier of pastes in other industries Heraeus is promoting pates for PV use. Sales are growing
from a base in Europe
KMG Chemicals, Inc. • Main product includes Specialty chemicals
• KMG Electronic Chemical's Performance Products Group offers a broad range of products and services required to
achieve specific application criteria in semiconductor manufacturing
Linde • Supplies Atmospheric gases, NF3, Specialty Gases
• Strategic collaboration with Schmid Silicon Production to supply 540 MT pa of Monosilane
Matheson / Taiyo Nippon
Sanso• Atmospheric gases, NF3, silane, dopants
• MTG is manufacturing H2Se for CIGS selenization in a plant that was opened in Dec. 2008
• H2Se is supplied at 100% concentration ands diluted prior to use
• Also supplies Ge mixes for multi junction CVD cells
• MTG also supplies a range of dopants such as TMB, DiBorane, and Phosphine for use in Tandem Si processes
Source: AEI Consulting
Joseph Berwind
Bulk Gas Suppliers (2)
July 2012
Suppliers Brief Description
Praxair • Supplies Atmospheric gases, NF3, silane, dopants, metals and ceramic PVD targets
• Praxair supplies bulk gases: N2, O2, Ar, H2 and NH3
• Mo sputtering targets for CdTe and CIGS modules
• Cu alloy targets for CI(G)S sputtering
Sodiff • Offers Advanced materials used in the electronics and semiconductor industries
Voltaix • Specialty gases – germane, TMB
• #1 in germane globally
• Voltaix is the sole manufacturer of electronic grade Germane
• New production capacity of 50MT p.a. is due to com on line in 2010
Source: AEI Consulting
Joseph Berwind
Dopants
Source: AEI Consulting Source: AEI Consulting
July 2012
607
748
840913
9921072
0
200
400
600
800
1000
1200
2011 2012 2013 2014 2015 2016
POCl3 - Mn Liters
$207
$257
$292 $320
$351
$384
$0
$50
$100
$150
$200
$250
$300
$350
$400
$450
2011 2012 2013 2014 2015 2016
POCl3 - $ Mn
Joseph Berwind
Dopant Suppliers (1)
July 2012
Suppliers Brief Description
Air Liquide • Offers Atmospheric gases, NF3, silane, dopants
Air Products • Atmospheric gases, NF3, silane, dopants
• Air Products is one of the largest bulk and specialty gas supply companies in the world
• Also offers Fluorinated gases (CF4, C2F6) for etch steps
• POCl3 for n-type doping
BASF • Offers Chemicals, Plastics, Performance Products,Functional olutions,Agricultural Solutions, and Oil & Gas
• By using SELURIS™ products, customers get chemical solutions during the cutting, etching and texturing, as well
as doping within the solar cell value chain
Chimet SPA • Chimet SPA recovers, refines, and manufactures precious metals, precious metal catalysts, and conductive pastes
(including silver pastes)
• Also offers Phosphorous doping paste
Ferro • Supplies Conductive Inks and Pastes
• Market leader in conductive inks and pastes
• Extensive portfolio, including products for selective emitter patterning, improved resistivity, diffusion barriers
• Patented technology in hot-melt inks for screen printing
• Back-integrated to conductive powders
• Few products for TF cells of any type
Heraeus • Precious metals and metals trading Sputtering targets and Evaporation materials
• Major supplier of ZnO/Al2O3 targets to the solar industry
• Longtime leading supplier of pastes in other industries Heraeus is promoting pates for PV use. Sales are growing
from a base in Europe
Source: AEI Consulting
Joseph Berwind
Dopant Suppliers (2)
July 2012
Suppliers Brief Description
Linde • Offers Atmospheric gases, NF3, Specialty Gases
• Strategic collaboration with Schmid Silicon Production to supply 540 MT pa of Monosilane
Matheson / Taiyo Nippon
Sanso• Offers Atmospheric gases, NF3, silane, dopants
• MTG is manufacturing H2Se for CIGS selenization in a plant that was opened in Dec. 2008
• H2Se is supplied at 100% concentration ands diluted prior to use
• Also supplies Ge mixes for multi junction CVD cells
• MTG also supplies a range of dopants such as TMB, DiBorane, and Phosphine for use in Tandem Si processes
• As a bulk gas supplier MTG also supplies liquid bulk gases and onsite gas plants for large users
Targray Technology
International• Supplier of materials to the Solar and Optical Media industries
• Sputtering Targets for Thin Films Solar Cells
ThinTech Materials
Technology Ltd.• Sputtering Targets for α-Si and CIGS Thin-Film Solar
• Offers Transparent conducting layer – TCO
Tosoh • Produces at both US and Japanese facilities, Tosoh's sputtering targets are available in a variety of high purity
metals, metal alloys, cermets, and ceramic compositions. Targets can be made in all shapes, sizes, and purity
levels to meet design specifications
Source: AEI Consulting
Joseph Berwind
Specialty Chemicals
Source: AEI Consulting Source: AEI Consulting
July 2012
1,215
1,495
1,680 1,825
1,984 2,144
-
500
1,000
1,500
2,000
2,500
2011 2012 2013 2014 2015 2016
Silane - Mn Liters
$3
$4
$4$5
$5$6
$0
$1
$2
$3
$4
$5
$6
2011 2012 2013 2014 2015 2016
Silane - $ Mn
Joseph Berwind
Silane Suppliers (1)
July 2012
Suppliers Brief Description
Advanced Nano Products
Co., Ltd• Produces Inks for printed electronics, displays, sputtering targets, coatings, CMP slurry, and others
• Advanced Nano Products Co., Ltd. manufactures and sells a range of electronic nano-materials such as coatings, silver
nano paste, silver jet ink, and Ag/Pd Sol,Ag paste for printed electronics, and sputtering targets displays, touch screens,
solar cell, OLED, and other thin film applications.
• The company currently offers:Customizable silver paste for crystalline cells, Nano silver inks for flexible printed circuits
Nano inks and powders for CIGS
Air Liquide • Produces Atmospheric gases, NF3, silane, dopants
Air Products • Offers Atmospheric gases, NF3, silane, dopants
• Air Products is one of the largest bulk and specialty gas supply companies in the world
• Supplies Fluorinated gases (CF4, C2F6) for etch steps
• Provides POCl3 for n-type doping
Dow Corning • Dow Corning is a leading supplier of Silicon, Silicones and polymeric and gaseous Silicon compounds. The company is
leveraging these capabilities for new product development.
• Offers Silicone-based encapsulates and adhesives Dow Corning is owner of Hemlock Semiconductor
• Building new Monosilane plant
• Curable liquid Silicon encapsulants for c-Si modules.
Matheson / Taiyo Nippon
Sanso• Offers Atmospheric gases, NF3, silane, dopants
• MTG is manufacturing H2Se for CIGS selenization in a plant that was opened in Dec. 2008
• H2Se is supplied at 100% concentration ands diluted prior to use
• Also supplies Ge mixes for multi junction CVD cells
• MTG also supplies a range of dopants such as TMB, DiBorane, and Phosphine for use in Tandem Si processes
Source: AEI Consulting
Joseph Berwind
Silane Suppliers (2)
July 2012
Suppliers Brief Description
Sodiff • Advanced materials used in the electronics and semiconductor industries
• Offers NF3, SiH4, DCS, WF6
• SiH4 is used in manufacturing semiconductors, TFT-LCD and thin film solar cells. It is expected to show increased demand
along with NF3 gas due to expansion in the electronics and semiconductor industries
MEMC • Produces m-Si metal, p-Si metal m-Si wafers, p-Si wafers
• Favorable sales and profits through 2008, but a dramatic decline in sales, gross profits and losses in operating profits in 1Q-09
• Manufacturing using fluorosilanes
• MEMC focuses on Silicon manufacture, and only supplies small quantities of monosilane
Nitol Solar • Offers Chemicals (Chlorine, Caustic Soda, Others), Trichlorosilane, Polysilicon
• Russia's largest producer of polysilicon
• Vertically integrated solar product company
• Production is based at their factory in Usolie-Sibirskoe, Irkutsk region, Russia, with their products being sold to international
customers, primarily in Europe and South-Eastern Asia
REC • Produces Polysilicon, Si Ingots, Si Wafers
• Largest silane producer (6,000 mt/yr)
• Vertically integrated company from Si metal through modules and installed systems
• Large producer of polysilicon and silane
Wacker-Chemie
AG• Offers Polysilicon, Silicones, Polymers, Fine chemicals
• It is a major polysilicon manufacturer. It has been producing hyperpure silicon for the semiconductor and photovoltaics
industries for over 50 years. It has an extensive portfolio of hyperpure polysilicon, chlorosilanes and pyrogenic silicas
• On Oct 22, 2009 Dow Corning and Wacker announced they have started construction of the second phase of their pyrogenic
silica plant in Zhangjiagang, Jiangsu province, China
Source: AEI Consulting
Joseph Berwind
Metallic Pastes
Source: AEI ConsultingSource: AEI Consulting
July 2012
1,244 1,532 1,721 1,869 2,032 2,196
10,585 13,028
14,638 15,901
17,284 18,677 476
586 659
716 778
840
-
5,000
10,000
15,000
20,000
25,000
2011 2012 2013 2014 2015 2016
Metallic Pastes (Mn Liters)
Silver Paste (Front) Al Paste Silver Paste (Back)
$1,520 $1,890 $2,145 $2,353 $2,584 $2,820
$762$947
$1,075$1,180
$1,295$1,413
$374
$465$528
$579$636
$694
$2,657
$3,303$3,748
$4,113$4,515
$4,927
$0
$1,000
$2,000
$3,000
$4,000
$5,000
$6,000
2011 2012 2013 2014 2015 2016
Metallic Pastes ($ Mn)
Silver Paste (Front) Al Paste Silver Paste (Back)
Joseph Berwind
Dupont
47%
Ferro
20%
Heraeus
18%
Others
15%
Metallic Pastes Market Share
July 2012
• The silver paste market concentrated in supply by Ferro, Dupont and Heraeus, with a few minority share suppliers.
• Heraeus has built its share over the last 1-2 years after entering the market in 2009/10.
Ag Paste Market shareAg/Al Paste Market share
Dupont
39%
Ferro
39%
Heraeus
12%
Monocrystal/
Analog
3%
Giga Solar
4%
Others
3%
Source: AEI Consulting Source: AEI Consulting
Joseph Berwind
Aluminum Paste Market Share Evolution
July 2012
27%30%
54%47% 48%
45% 42%36%
1%
7%
17%
17% 17%18%
18%
16%
8% 6%
5%
4%
5%
2% 2%2%
2%
2%78%
63%
47%
41%
16%25% 26%
26%26%
24%
6%
23%15% 11%
3% 2% 2% 4% 8%19%
0%
10%
20%
30%
40%
50%
60%
70%
80%
90%
100%
2006 2007 2008 2009 2010 2011 2012 2013 2014 2015
Al Paste volume share %
Others
Ferro
Dupont
Toyo Solar
Giga Solar
GRTC
Monocrystal
Source: AEI Consulting
Joseph Berwind
Metallic Paste Suppliers (1)
July 2012
Suppliers Brief Description
Advanced Nano
Products Co., Ltd• Offers Inks for printed electronics, displays, sputtering targets, coatings, CMP slurry, and others
• Advanced Nano Products Co., Ltd. manufactures and sells a range of electronic nano-materials such as coatings, silver nano
paste, silver jet ink, and Ag/Pd Sol,Ag paste for printed electronics, and sputtering targets displays, touch screens, solar cell,
OLED, and other thin film applications.
• The company currently offers: Customizable silver paste for crystalline cells, Nano silver inks for flexible printed circuits Nano
inks and powders for CIGS
Agpro Technology
Co., Ltd• Provides Conductive metal powders and pastes for the electronic and photovoltaic markets
• Began commercial sales of silver and other conductive powder to electronic (multi-layer ceramic capacitors) markets in the past
decade, followed by silver and aluminum paste for crystalline silicon solar in the pas few years
Cermet Materials,
Inc.• Offers Conductive metal powders and pastes for electronic (MLCC, Hybrid) and crystalline silicon photovoltaic applications
Chimet SPA • Chimet SPA recovers, refines, and manufactures precious metals, precious metal catalysts, and conductive pastes (including
silver pastes)
• Phosphorous doping paste
Cookson • Electronic polymers, metal and plating solution
• Conductive inks and pastes for screen and inkjet deposition Adhesive polymers (epoxies) for connection Stringers and preforms
Solders and solder paste
Daejoo Electronic
Materials Co. Ltd.• Electric Conduction Paste, Materials for PDP, Metal Powder, Materials for Phosphor and Electronic Parts
• Daejoo’s core business is in metallurgical and powder expertise, and have a substantial share of the conductive paste for
passive components and PDP panels
• The company has recently moved into conductive paste for crystalline silicon cells. They currently have DS-0010 Front Silver
and DS-0030 Aluminum pastes
Source: AEI Consulting
Joseph Berwind
Metallic Paste Suppliers (2)
July 2012
Suppliers Brief Description
DuPont • Sole supplier of PVF, High share in metallization pastes
• Metallization pastes, PVF and PET films for backsheets Encapsulant resins, both EVA and PVB Films for front sheet
protection, thin film deposition PET resins for junction boxes
• Backsheet lamination customers have been developing non-PVF products, generally substituting PVDF, available from more
vendors
ESL Electro
Science• Screen-printable thick-film paste, ceramic tape casting, and specialty ceramics
Ferro
• Supplies Conductive Inks and Pastes
• Market leader in conductive inks and pastes
• Extensive portfolio, including products for selective emitter patterning, improved resistivity, diffusion barriers
• Patented technology in hot-melt inks for screen printing
• Back-integrated to conductive powders
• Few products for TF cells of any type
Ghanzou Ruxing
• Electronic paste, Conducting adhesive, Alloy of noble metal
• It specializes in the development of electronic and luminescent materials and intermediate products. It can also offer related
technology consulting service
Giga Solar
Materials Corp.
• Offers Conductive metallization paste for crystalline Silicon solar
• Spun out in 2008 as independent subsidiary named Giga Solar Materials Corp. (GSMC) to manufacture and sell aluminum,
silver-aluminum and silver paste.
GP Solar
• Supplies Consulting, turnkey lines, pastes, project management
• The company offers full line support and materials supply and turnkey lines for Cell Manufacture, Inspection and Moduling in c-
Si technology
• GP Solar is partnered with Centrotherm, Rena, ICOS, and Monocrystal
Source: AEI Consulting
Joseph Berwind
Metallic Paste Suppliers (3)
July 2012
Suppliers Brief Description
Heraeus
• Offers Precious metals and metals trading Sputtering targets and Evaporation materials
• Major supplier of ZnO/Al2O3 targets to the solar industry
• Longtime leading supplier of pastes in other industries Heraeus is promoting pates for PV use. Sales are growing from a base
in Europe
Hunan Lead
Electronic Paste
Co. Ltd.
• Silver and Aluminum metallization paste for crystalline silicon Precious metal and base metal conductive paste for electronic
components such as capacitors, resistors, varistors, etc.
• Has root in metallurgy and recently moved into the PV metallization market
Metalor
• Supplier of Precious metals
• Very small share in metallic pastes
• Formulated Ag pastes in 2005 for the PV market. Qualification testing is underway, but sales are still small. They supply Ag
and Al pastes, but no AgAl back side grid pastes.
• Their focus is on Ag plating. They have developed a high speed plating capability, which they apply to PV Front Grids in
collaboration with Meco. This is an alkali CN free bath that runs with noble metal anodes (Pt). The bath is replenished by
addition of an Ag containing replenisher.
Monocrystal
• Offers Sapphire wafers and metal pastes
• Russian full line paste supplier that sells direct and distributes through GP solar.
• Sales are 50:50 EU and Asia, with use in EU stable in comparison with Asia which is very volatile.
Namics
Corporation
• Supplies Conductive and insulating materials, adhesives, coatings, powders and pastes, including silver paste for crystalline
silicon metallization
• The company recently introduced screen printable silver paste for solar applications
Source: AEI Consulting
Joseph Berwind
Metallic Paste Suppliers (4)
July 2012
Suppliers Brief Description
NanoMas
Technologies, Inc.• Produces Printable conductor, semiconductor and dielectric inks for printable electronics and solar cells
Noritake Co., Ltd.
• For photovoltaics, the company has products covering the entire value chain including silicon ingot casting furnaces, ingot
cutting tools, cleaning, electrode pastes, and firing furnaces.
• Consumables include conductive pastes, diamond wires, and diamond coated abrasives
Sun Chemical
• Silver (Ag) Front and Back Side paste, Aluminum (Al) BSF Back Side paste, Cellmet Etching Plating Resists, SunTronic™
Cellmet UV Dielectric, and SunTronic™ Cellmet Inkjet HotMelt Etching Plating Resists
• Thin Film PV – Sold under SunTronic™ Solsys brand:
• Solsys PTF Silver (Ag) Conductor Paste, Solsys Graphite, Solsys Flexible UV Dielectric, Solsys Solvent Strippable Resists,
Solsys UV Curing Resist/Dielectric
Source: AEI Consulting
Joseph Berwind
Module Materials Market
Source: AEI ConsultingSource: AEI Consulting
July 2012
26,035
33,015
37,516 41,243
45,356
49,883
-
10,000
20,000
30,000
40,000
50,000
60,000
2011 2012 2013 2014 2015 2016
Module Production (MW)
$855 $1,082 $1,230 $1,349 $1,481 $1,615$1,254
$1,586 $1,804 $1,979 $2,172 $2,369$570
$721$820
$900$987
$1,077
$1,302
$1,648$1,875
$2,056$2,257
$2,462
$678
$858$976
$1,071$1,175
$1,282
$363
$459
$522$573
$629$686
$5,022
$6,355
$7,228$7,928
$8,701$9,491
$0
$2,000
$4,000
$6,000
$8,000
$10,000
2011 2012 2013 2014 2015 2016
Module Materials $ Mn
Glass EVA Backsheet
Module Frames Junction Box Others
Joseph Berwind
c-Si Glass
Source: AEI Consulting Source: AEI Consulting
July 2012
204
255
287 312
339 366
-
50
100
150
200
250
300
350
400
2011 2012 2013 2014 2015 2016
c-Si Glass Demand (Mn M2)
$855
$1,082
$1,230$1,349
$1,481
$1,615
$0
$200
$400
$600
$800
$1,000
$1,200
$1,400
$1,600
$1,800
2011 2012 2013 2014 2015 2016
c-Si Glass Demand ($ Mn)
Joseph Berwind
Glass Suppliers (1)
July 2012
Suppliers Brief Description
Asahi Glass Company • Offers Cover glass for PV Modules TCO coatings, Films for encapsulants, Fluoro-coatings
• Market Leader in glass
• Predicting PV sales of $33M/yr by 2011
Scheuten • Glass, specialty glasses, BIPV projects
• Scheuten is involved in the full supply chain for modules and BIPV using glass on glass
• Manufacturing float glass in small quantities (400MT/ day) in Germany
• Reprocessing FG for solar applications for tempered TF modules and BIPV projects
CSG Holding Co., Ltd. • Offers Flat Glass, Architectural Glass, Fine Glass, Structural Ceramics, Silicon, Iron Solar Glass, Solar wafer, cell and
modules
• The cooperation between its production bases provides CSG two complete industrial chains: the glass industry chain
through quartz sand, raw glass to glass deep-processing; the solar energy industry chain through silicon materials, solar
silicon wafer, solar modules to the solar energy cell
Ancai Hi-Tech Co., Ltd • Offers PV Glass, CRT Glass & Natural Gas (LNG & CNG)
• Is a key national high-tech enterprise and one of the high-end electronic glass and Solar Photovoltaic glass production
bases in China
Flat Solar Glass Group • Offers Ultra white glass rolling, TCO glass substrate, silver mirror glass, glazed glass, laminated glass, screen glass etc
Guangdong Golden
Glass Technologies
Limited
• Produces various type of glasses used in photovoltaic and other applications.
• Its solar customers include Antaris Solar; Juwi Solar; Donauer; Millennium Solar; Solar system; Solar23 Gmbh; LUXOR
Solar; Megasol; Amerisolar; CP Solar.Rioglass; Saireurope
Euroglass • Euroglas put the first float glass facility in operation in Alsatian Hombourg in 1995.
• It offers Floatglass, laminated glass, coated glass, low reflective glass, mirrored glass
• For PV, it produces low-iron front glass, TCO coated glass and normal floated glass for backglass
Source: AEI Consulting
Joseph Berwind
Glass Suppliers (2)
July 2012
Suppliers Brief Description
Hebei Yingxin Glass
Group Co., Ltd• Offers various types of glass for crystalline & thin film solar panels
• Hebei Yingxin Glass Group founded in 1993, is located in China with total assets of RMB 3 billion, over 2,500 employees
and an area of 2,000mu
• It has 12 glass production lines including six float glass production lines, two Low-E glass production lines, two super white
float glass production lines, two new decorative material production lines and one 10MW thin film solar cell production line
Zhejiang Hehe Holding
Group Co.,Ltd• Offers Ultra clear glasses for photovoltaic and other industries
ShanDong JinJing
Science & Technology • Offers Float glass, Energy Efficienent Low E Glass and Soda Ash glass
• Established in 1904
Nippon Sheet Glass • The Group is one of the world's leading manufacturers of glass and glazing systems in three major business areas;
Building Products, Automotive and Specialty Glass
• Founded in 1918, NSG acquired the leading UK-based glass manufacturer Pilkington plc in June 2006. Today, the
enlarged company has combined sales of approximately JPY 600 billion, with manufacturing operations in 29 countries
and sales in 130 countries, employing some 28,500 people worldwide
• Geographically, 42 per cent of the Group's sales are in Europe, 28 per cent in Japan and 13 per cent in North America,
with the rest primarily in South America, South East Asia and China
Source: AEI Consulting
Joseph Berwind
814
1,020
1,149 1,247
1,355 1,464
-
200
400
600
800
1,000
1,200
1,400
1,600
2011 2012 2013 2014 2015 2016
c-Si EVA Demand (Mn M2)
$1,254
$1,586
$1,804$1,979
$2,172
$2,369
$0
$500
$1,000
$1,500
$2,000
$2,500
2011 2012 2013 2014 2015 2016
c-Si EVA Demand ($ Mn)
c-Si EVA
Source: AEI Consulting Source: AEI Consulting
July 2012 Joseph Berwind
EVA Suppliers (1)
July 2012
Suppliers Brief Description
Asahi Glass Company • Offers Cover glass for PV Modules TCO coatings, Films for encapsulants, Fluoro-coatings
• Market Leader in glass
• Predicting PV sales of $33M/yr by 2011
Arkema • Supplies PVDF films for backsheets, EVA, PMMA
• Arkema, INES to collaborate on PV polymer materials research-Arkema and CEA teams from the French Institut National
de l’Energie Solaire (INES) have joined forces to create what is being called the first private/public mixed research
laboratory in France dedicated to the development of polymer materials for various photovoltaic module technologies
Bridgestone • Products include Tires, Chemical and industrial products, Sporting goods, Bicycles
• It will increase its production capacity of ethylene vinyl acetate (EVA) film, which is used as an adhesive for solar modules.
It said it will install new production lines at its Seki Plant
Cookson • Electronic polymers, metal and plating solution
• Conductive inks and pastes for screen and inkjet deposition Adhesive polymers (epoxies) for connection Stringers and
preforms Solders and solder paste
Dow Corning • Produces Silicone-based encapsulates and adhesives Dow Corning is owner of Hemlock Semiconductor
• Dow Corning is a leading supplier of Silicon, Silicones and polymeric and gaseous Silicon compounds. The company is
leveraging these capabilities for new product development.
• Building new Monosilane plant
• Curable liquid Silicon encapsulants for c-Si modules.
DuPont • Sole supplier of PVF, High share in metallization pastes
• Metallization pastes, PVF and PET films for backsheets Encapsulant resins, both EVA and PVB Films for front sheet
protection, thin film deposition PET resins for junction boxes
• Backsheet lamination customers have been developing non-PVF products, generally substituting PVDF, available from
more vendors
Source: AEI Consulting
Joseph Berwind
EVA Suppliers (2)
July 2012
Suppliers Brief Description
Etimex • Offers Packaging and industrial films
• A manufacturer of EVA and TPU films for moduling and lamination
• New products from Etimex include faster curing films
Kuraray • Supplies Chemical products, fibers, resins, functional materials and other products
• With the TROSIFOL PV/Solar module, manufacturers can now offer elements for use in building facades, overhead and
balcony glazings with outperformed safety features. Modules fitted with TROSIFOL PV/Solar show excellent transparency,
high adhesion strength and excellent resistance to heat, UV, moisture etc.
Mitsui Chemicals Inc. • Offers Performance Materials, Advanced Chemicals, Basic Chemicals
• SOLAR EVA (Solar cell encapsulant) & Silane (Raw materials for silicon film)
Protavic (Protex
International)• Adhesives, Coatings, Encapsulants, Sealants, Resins
• Maintains research & design and manufacturing facilities in the United States, France, Korea and China
Saint Gobain • Saint-Gobain is a major supplier of solutions for the photovoltaic industry. These include quartz crucibles for melting silicon
slabs and engineered abrasive grains for cutting the slabs, fluoropolymer films for encapsulating photovoltaic panels and
high-efficiency PV Lite and Albarino extra-clear glass
SKC • Leading producer of PET films
• Aims to be #1 producer of EVA film for PV applications
STR • Photovoltaic adhesive encapsulants
• The STR encapsulant product line named Photocap® is well known for ethylene vinyl acetate (EVA) and thermoplastic
encapsulants and offers excellent adhesion on glass, metal and other module substrates.
• Photocap® encapsulants’ attributes range from standard cure to fast cure in lamination cycles, thermoplastic moisture
barriers, and all-in-one back sheet designs. Photocap® encapsulants are the leading environmental protection package for
silicon-wafer-based technology and thin film solar panels
Source: AEI Consulting
Joseph Berwind
EVA Suppliers (3)
July 2012
Suppliers Brief Description
Sun Chemical • Produces Silver (Ag) Front and Back Side paste, Aluminum (Al) BSF Back Side paste, Cellmet Etching Plating Resists,
SunTronic™ Cellmet UV Dielectric, and SunTronic™ Cellmet Inkjet HotMelt Etching Plating Resists
• Thin Film PV – Sold under SunTronic™ Solsys brand:
• Solsys PTF Silver (Ag) Conductor Paste, Solsys Graphite, Solsys Flexible UV Dielectric, Solsys Solvent Strippable Resists,
Solsys UV Curing Resist/Dielectric
Targray Technology
International• Supplier of materials to the Solar and Optical Media industries
• Sputtering Targets for Thin Films Solar Cells
Sumitomo Chemicals • Offers wide variety of chemicals for pharma, healthcare, IT & TECHNOLOGY & Petrochemical sectors
• Products include EVA, Caprolcatum, alumina, MMA polymer, polypropylene, polyethylene, photoresists, Lithium Ion,
polarized films etc
Tosoh • Its product includes olefins, polymers, cement, organic chemicals, advanced electronic materials
• Tosoh Corporation evolved in 1935 as a domestic producer of caustic soda and soda ash in Japan
AT Plastics
(Celanese)• Its product includes acetyl intermediates, emulsion polymers, EVA, engineering polymers, ethenol, food ingradiants
• Global integrated producer of speciality chemical products
• Celanese EVA Performance Polymers, the specialty polymers business of Celanese, is a leading North American
manufacturer of a full range of EVA Copolymers and specialty LDPE resins
Sanvic • Core products: Flexible polyvinyl chloride film (PVC), Rigid polyvinyl chloride sheet (PVC), Embossed polyethylene film/sheet
(PE), Embossed ethylene vinyl acetate copolymer film/sheet (EVA), Soft polyolefin sheet (PP, PE and EMMA),
Biodegradable plastic sheet, & Multilayer sheet, other synthetic resin sheet
• Established in 1951 in Japan
Sekisui • Sekisui Chemicals offers EVA under tradename S-LEC EN Film is EVA (ethylene vinyl acetate copolymer) interlayer film for
decorative laminated glass that was developed based on SEKISUI's long years of experiences dedicated to interlayer films in
laminated glass
Source: AEI Consulting
Joseph Berwind
204
255
287 312
339 366
-
50
100
150
200
250
300
350
400
2011 2012 2013 2014 2015 2016
c-Si Backsheet Demand (Mn M2)
$570
$721
$820$900
$987
$1,077
$0
$200
$400
$600
$800
$1,000
$1,200
2011 2012 2013 2014 2015 2016
c-Si Backsheet Demand ($ Mn)
c-Si Backsheet
Source: AEI Consulting Source: AEI Consulting
July 2012 Joseph Berwind
Backsheet - Suppliers
Source: AEI Consulting
July 2012
Sl No Company Model Chemistry
1 Krempel PTL 3-38/75 PVF/PET/PVF2 Krempel PTL 3-38/250 PVF/PET/PVF3 Krempel PTL 3-HR-750 PVF/PET/PVF4 Krempel PTL 3-25/250 PVF/PET/PVF5 Dunsolar MW1247 PVF/PET/PVF6 Dupont PV2001 PVF/PET/PVF7 Dupont PV2111 PVF/PET/PVF8 Haflon New energy HF200 PVF/PET/PVF9 Haflon New energy HF300 PVF/PET/PVF
10 Haflon New energy HF350 PVF/PET/PVF11 Solar Gard PVT10 PVF/PET/PVF12 Isovolta Icosolar 2442 PVF/PET/PVF13 Madico Insul patch EVA/PET/EVA14 Madico Madico EPE EVA/PET/EVA15 SFC SS-320 FPF/PTI/FPF16 SFC PP-350 FPF/PTI/FPF17 SFC PR-303 FPF/PTI/WPO/Primer18 SFC SR-290 FPF/PTI/WPO/Primer
Sl No Company Model Chemistry
19 Madico Protekt HD Protekt/PET/EVA20 Madico Protekt Protekt/PET/EVA21 Krempel PTL 3-BR-1000 PVF/AI/PET22 Krempel PTL 38-50-330 PVF/AI/PET23 DNP Solar WEPF-C ETFE / PET / Olefin24 Dunsolar RK24751 Fluorinated Layer / PET / Primer25 SFC PA-310 FPF/AL/PTI/Primer26 Avery Dennison EF25239M2 FPF/PET/FPF27 SFC TPE-34 FPF/PTI/FPE28 Dunsolar DS069 PET / PET / Primer29 Dunsolar DS004 Primer / PET / Primer30 Dunsolar SP0451 PVDF Film / PET / Primer31 Krempel PTL 1000V PVDF/PET/PVDF32 Madico TPE HD PVF/PET/EVA33 Backfilm Backfilm PVF/PET/FPE34 Coveme Dymat PVF/PET/Primer35 Filmcutter Filmback DMD SN/PET/SN36 Isovolta Icosolar 3554 PA/PA/PA37 Isovolta Icosolar 3552 PA/PET/PA38 Isovolta Icosolar 3572 FPF/PET/PA39 Isovolta Icosolar 3570 PVF/PET/PA
Joseph Berwind
c-Si Module Frames
Source: AEI Consulting Source: AEI Consulting
July 2012
620
777
875 950
1,033 1,115
-
200
400
600
800
1,000
1,200
2011 2012 2013 2014 2015 2016
c-Si Frames Demand (Mn M)
$1,302
$1,648
$1,875$2,056
$2,257$2,462
$0
$500
$1,000
$1,500
$2,000
$2,500
$3,000
2011 2012 2013 2014 2015 2016
c-Si FramesDemand ($ Mn)
Joseph Berwind
c-Si Junction Boxes
Source: AEI Consulting Source: AEI Consulting
July 2012
194
243
273 297
323 349
-
50
100
150
200
250
300
350
400
2011 2012 2013 2014 2015 2016
c-Si J Box Demand (Mn pieces)
$678
$858
$976$1,071
$1,175
$1,282
$0
$200
$400
$600
$800
$1,000
$1,200
$1,400
2011 2012 2013 2014 2015 2016
c-Si J Boxes Demand ($ Mn)
Joseph Berwind
c-Si Module Other Material suppliers (1)
July 2012
Suppliers Brief Description
3M Renewable
Energy Div• Wide range of tapes, films, sealants, adhesives, resins, and others for photovoltaic cells, concentrators, and wind turbines
• For PV applications, 3M’s products dominate the Thin Film module metallization market with:3M™ Charge-Collection Solar
Tape
Aremco Products Inc. • Offers Ceramics, Adhesives & sealants, Cements, Coatings, Tapes, Binders
Cookson • Electronic polymers, metal and plating solution
• Conductive inks and pastes for screen and inkjet deposition Adhesive polymers (epoxies) for connection Stringers and
preforms Solders and solder paste
Dow Advanced
Materials (RHEM)• Formulated process chemicals and plating solutions
• Dow Chemical also sells PEG, polyethylene glycol, used in SIC slurries to prepare Si wafers
Henkel AG • Laundry & Home Care, Personal Care, and Adhesives, Sealants and Surface Treatments
• Terostat Butyls for Module Framing
Indium Corporation • Indium and Bismuth and alloys. Solders, conductors and thermally conductive materials
• Company claims a reliable global supply of indium for CI(G)S production of up to 5 GW
• Suppliers Cu stringer tape
• Also suppliers solders, and fluxes
MTI Corp • Oxide crystals and substrates, Precision machines
• Optical grade Fused Silica has been used widely for Laser optics, optical grade UV Fused Silica windows and lenses, mirror
blanks and substrates, wedges, optical beamsplitters and filters, prisms, lightpipes, space and aircraft windows, solar cells,
high quality coating substrates etc
Nikka Seiko Co., Ltd. • Wax (Solid & Liquid), Coatings, Epoxy Adhesives, Coolants, Lubricants, Strippers
• Water soluble, fast curing 2-component epoxy adhesives for slicing ingots or blocks
Source: AEI Consulting
Joseph Berwind
c-Si Module Other Material suppliers (2)
July 2012
Suppliers Brief Description
Otto Chemie • Silicone, hybride, polyurethane and acrylic sealants and adhesives
• 1- and 2-part silicone adhesives for bonding of the module frame
Protavic (Protex
International)• Adhesives, Coatings, Encapsulants, Sealants, Resins
• Maintains research & design and manufacturing facilities in the United States, France, Korea and China
Valtech Corporation • Detergents, adhesives, custom-molded polymers
• Specialty epoxy based glue to hold the silicon in place (glued onto holding plate) during block cutting, chamfering, and
wafering
CSG Holding Co.,
Ltd. • Flat Glass, Architectural Glass, Fine Glass, Structural Ceramics, Silicon, Iron Solar Glass, Solar wafer, cell and modules
• The cooperation between its production bases provides CSG two complete industrial chains: the glass industry chain through
quartz sand, raw glass to glass deep-processing; the solar energy industry chain through silicon materials, solar silicon wafer,
solar modules to the solar energy cell
DAQO • Polysilicon, Voltage Switchgears, Electrical Components, Railway Traction Equipment
• Daqo Group invests 6 bn yuan in the polysilicon project with an annual output of 10,000 tons of silicon materials in Chongqing
Wanzhou
Nitol Solar • Chemicals (Chlorine, Caustic Soda, Others), Trichlorosilane, Polysilicon
• Russia's largest producer of polysilicon
• Vertically integrated solar product company
• Production is based at their factory in Usolie-Sibirskoe, Irkutsk region, Russia, with their products being sold to international
customers, primarily in Europe and South-Eastern Asia
Source: AEI Consulting
Joseph Berwind
Thin Film Materials Market
947 1,389 1,591 1,517 1,655 1,806 1,216
1,024 1,107 1,161 1,253 1,354 588 957
1,318 1,376 1,502
1,642 1,477
2,089
2,642 2,649 2,876
3,414
4,228
5,459
6,659 6,703 7,286
8,216
-
1,000
2,000
3,000
4,000
5,000
6,000
7,000
8,000
9,000
2010 2011 2012 2013 2014 2015
Global TF Module Production (MW)
a-Si Tandem a-Si/µc-Si CIGS CdTe
$729 $818 $765 $819 $878 $942
$435 $454 $460 $481 $504 $530$636
$864 $889 $958 $1,033$1,115$689
$811 $778$811
$926$985
$2,488
$2,945 $2,891$3,067
$3,341$3,571
$0
$500
$1,000
$1,500
$2,000
$2,500
$3,000
$3,500
$4,000
2011 2012 2013 2014 2015 2016
TF Materials Market $ Mn
a-Si Tandem CIGS CdTe
Source: AEI Consulting Source: AEI Consulting
July 2012 Joseph Berwind
Thin Film Materials Demand $ Mn
$771 $892 $869 $920 $1,002 $1,069
$404$467 $455 $482
$525$560$219
$253 $246$261
$284$303
$224
$246 $237$251
$267$285
$203
$275 $283$305
$329
$355
$272
$320 $307$320
$366
$389
$2,488
$2,945 $2,891
$3,067
$3,341
$3,571
$0
$500
$1,000
$1,500
$2,000
$2,500
$3,000
$3,500
$4,000
2011 2012 2013 2014 2015 2016
Others
CdTe
H2Se
Ga
In
DEZ
Silane
Junction Box
EVA/PVB
TCO Glass
Source: AEI Consulting
7.5% CAGR
July 2012 Joseph Berwind
TF - TCO Glass
131
150 145 152 164
173
-
50
100
150
200
2011 2012 2013 2014 2015 2016
TF - TCO Glass Demand (Mn M2)
$771
$892 $869$920
$1,002$1,069
$0
$200
$400
$600
$800
$1,000
$1,200
2011 2012 2013 2014 2015 2016
TF - TCO Glass Demand $ MnSource: AEI ConsultingSource: AEI Consulting
• 2011 TCO coated glass price is assumed at $5.88 per M2.
• 1% annual price escalation is modeled.
July 2012 Joseph Berwind
TF - Encapsulant
Source: AEI ConsultingSource: AEI Consulting
• 2011 EVA/PBA price is assumed at $1.54 per M2.
• 1% annual price escalation is modeled.
262300 290 304
327346
0
50
100
150
200
250
300
350
400
2011 2012 2013 2014 2015 2016
TF- Encapsulant Demand (Mn M2)
$404
$467 $455$482
$525$560
$0
$100
$200
$300
$400
$500
$600
2011 2012 2013 2014 2015 2016
TF - Encapsulant Demand $ Mn
July 2012 Joseph Berwind
TF – Junction Box
Source: AEI ConsultingSource: AEI Consulting
• 2011 Junction box price is assumed at $3.50 per piece
• 1% annual price escalation is modeled.
6272 69 72
7882
0
20
40
60
80
100
2011 2012 2013 2014 2015 2016
TF - Junction Box Demand (mn pieces)
$219
$253 $246$261
$284$303
$0
$50
$100
$150
$200
$250
$300
$350
2011 2012 2013 2014 2015 2016
TF - Junction Box Demand ($ Mn)
July 2012 Joseph Berwind
TF – Silane
Source: AEI ConsultingSource: AEI Consulting
45,605 49,457
47,040 49,458
52,118 54,987
0
10,000
20,000
30,000
40,000
50,000
60,000
2011 2012 2013 2014 2015 2016
TF- Silane Demand (Mn liters)
$113$123 $119
$126$134
$143
$0
$20
$40
$60
$80
$100
$120
$140
$160
2011 2012 2013 2014 2015 2016
TF- Silane Demand ($ Mn)
July 2012 Joseph Berwind
TF – Diethylzinc (DEZ)
Source: AEI ConsultingSource: AEI Consulting
6,194 6,737
6,415 6,746
7,111 7,504
0
1,000
2,000
3,000
4,000
5,000
6,000
7,000
8,000
2011 2012 2013 2014 2015 2016
TF- DEZ Demand (KILO liters)
$224$246 $237
$251$267
$285
$0
$50
$100
$150
$200
$250
$300
2011 2012 2013 2014 2015 2016
TF- DEZ Demand ($ Mn)
July 2012 Joseph Berwind
TF – Phosphoric Acid (H3PO4)
Source: AEI ConsultingSource: AEI Consulting
959
1,117 1,062 1,096
1,239 1,305
0
200
400
600
800
1,000
1,200
1,400
2011 2012 2013 2014 2015 2016
TF - H3PO4 DEMAND (Kilo Liters)
$3
$3 $3 $3
$3$4
$0
$1
$1
$2
$2
$3
$3
$4
$4
2011 2012 2013 2014 2015 2016
TF - H3PO4 Demand ($ Mn)
July 2012 Joseph Berwind
TF – Other Chemicals
Source: AEI Consulting
Source: AEI Consulting
38 41 39 41 43 45
26 35 35 38 40 43
41 51 50 53 58 62 146
170 162 167 189 199 128
149 141 146 165
174 484
581 563 587 650
687
0
100
200
300
400
500
600
700
800
2011 2012 2013 2014 2015 2016
TF - Other Chemicals (kilo liters)
PVD Al NF3 Mo Cu In Ga SeH2Se KCN CdS CdTe CdCl HNO3
$45 $49 $47 $50 $54 $57$111 $150 $154 $166 $179 $194$203
$275 $283 $305 $329 $355$74
$100 $103 $111 $120$129
$272
$320 $307$320
$366$389
$755
$961 $962$1,024
$1,125$1,208
$0
$200
$400
$600
$800
$1,000
$1,200
$1,400
2011 2012 2013 2014 2015 2016
TF - Other Chemicals ($ Mn)
PVD Al NF3 Mo Cu In Ga Se
H2Se KCN CdS CdTe CdCl HNO3
July 2012 Joseph Berwind
Thin Film – Chemicals & Material Suppliers (1)
July 2012
Suppliers Brief Description
5N Plus Inc • Pure metals and compounds
• Consumption of First Solar accounts for 78% of sales or about $56m p.a.
• PV Industry ~$24million
Advanced Nano
Products Co., Ltd• Inks for printed electronics, displays, sputtering targets, coatings, CMP slurry, and others
• Advanced Nano Products Co., Ltd. manufactures and sells a range of electronic nano-materials such as coatings, silver nano
paste, silver jet ink, and Ag/Pd Sol,Ag paste for printed electronics, and sputtering targets displays, touch screens, solar cell,
OLED, and other thin film applications.
• The company currently offers:Customizable silver paste for crystalline cells, Nano silver inks for flexible printed circuits Nano
inks and powders for CIGS
Air Liquide • Atmospheric gases, NF3, silane, dopants
Air Products • Atmospheric gases, NF3, silane, dopants
• Air Products is one of the largest bulk and specialty gas supply companies in the world
• Fluorinated gases (CF4, C2F6) for etch steps
American
Elements• Engineered and advanced materials
• It includes silicon, cadmium, indium, gallium, selenide and many other elemental materials and the crystal growth, nanoparticle
and thin film manufacturing departments
Heraeus • Precious metals and metals trading Sputtering targets and Evaporation materials
• Major supplier of ZnO/Al2O3 targets to the solar industry
• Longtime leading supplier of pastes in other industries Heraeus is promoting pates for PV use. Sales are growing from a base
in Europe
Indium
Corporation• Indium and Bismuth and alloys. Solders, conductors and thermally conductive materials
• Company claims a reliable global supply of indium for CI(G)S production of up to 5 GW
• Suppliers Cu stringer tape
• Also suppliers solders, and fluxes
Source: AEI Consulting
Joseph Berwind
Thin Film – Chemicals & Material Suppliers (2)
July 2012
Suppliers Brief Description
Linde • Atmospheric gases, NF3, Specialty Gases
• Strategic collaboration with Schmid Silicon Production to supply 540 MT pa of Monosilane
Matheson / Taiyo Nippon Sanso • Atmospheric gases, NF3, silane, dopants
• MTG is manufacturing H2Se for CIGS selenization in a plant that was opened in Dec. 2008
• H2Se is supplied at 100% concentration ands diluted prior to use
• Also supplies Ge mixes for multi junction CVD cells
• MTG also supplies a range of dopants such as TMB, DiBorane, and Phosphine for use in Tandem Si processes
• As a bulk gas supplier MTG also supplies liquid bulk gases and onsite gas plants for large users
Mitsubishi Aluminum Packaging
Co., Ltd. (MA Packaging)• Aluminum and Aluminum Alloy, Mill Products and Fabricated Products
• It provides a variety of foil products, such as containers, labels and various packaging materials for foodservice
and pharmaceutical applications. They are also used in electrolytic capacitors, various electrical and electronic
components, heat insulating materials for construction uses, etc
Plansee High Performance
Materials • Molybdenum, Tungsten, Tantalum, Niobium and Chromium
• A leading manufacturer of products made of molybdenum, tungsten, tantalum, niobium and chromium
Praxair • Atmospheric gases, NF3, silane, dopants, metals and ceramic PVD targets
• Praxair supplies bulk gases: N2, O2, Ar, H2 and NH3
• Mo sputtering targets for CdTe and CIGS modules
• Cu alloy targets for CI(G)S sputtering
Sodiff • Advanced materials used in the electronics and semiconductor industries
• SiH4 is used in manufacturing semiconductors, TFT-LCD and thin film solar cells. It is expected to show
increased demand along with NF3 gas due to expansion in the electronics and semiconductor industries
• Offers NF3, SiH4, DCS, WF6
Source: AEI Consulting
Joseph Berwind
Thin Film – Chemicals & Material Suppliers (3)
July 2012
Suppliers Brief Description
Targray Technology International • Supplier of materials to the Solar and Optical Media industries
• Sputtering Targets for Thin Films Solar Cells
ThinTech Materials Technology
Ltd.• Sputtering Targets for α-Si and CIGS Thin-Film Solar
• Transparent conducting layer – TCO
Tosoh • Produced at both US and Japanese facilities, Tosoh's sputtering targets are available in a variety of high purity
metals, metal alloys, cermets, and ceramic compositions. Targets can be made in all shapes, sizes, and purity
levels to meet design specifications
Source: AEI Consulting
Joseph Berwind
FUTURE DEVELOPMENTSR E V I E W O F K E Y F U T U R E T E C H N O L O G I C A L D E V E L O P M E N T S A N D A S S E S S I N G T H E I R I M P A C T O N F U T U R E C O S T O F P R O D U C T I O N .
July 2012 Joseph Berwind
Identified Areas of Future Key Technological Development
Ingot Wafer Cell Module
Prep Silicon
Cast Ingot
Cut ingot into bricks
Slicing bricks into wafers
Wafer Separation
Wafer Cleaning
Texturing
Diffusion
HF Surface Etching
Metal Line
Firing
Glass Washing
Tab & String Cells
Module Layup & Bussing
Lamination & Curing
Framing & Termination
Power Testing
Source: AEI Consulting
July 2012
AR Coating
14
32 5
6
7 8 9
10 11
12
14
13No Particulars
1 Induction Melt Cold crucible
2 Mono cast Ingot
3 Diamond Wire
4 Structured Wire
5 SiGen PolyMax™
6 Plasma Etching Texturization
7 Ion Implantation
8 n-type silicon
No Particulars
9 Selective Emitter
10 Double Printing – Esatto™
11 Busbar Optimization
12 Nickel film & Wet Metallization
13 Rear Passivated PoP
14 Direct Printing
15 Multiple dye doping of EVA
16 Backsheet Developments
15
16
Joseph Berwind
List of Developments (1)
July 2012
No Particulars Brief Description Cost saving
$/W
Expected timeline
1 Induction Melt
Cold crucible
(IMCC)
The (IMCC) is introduced as an alternative to Directional solidification which aims
at reducing cost of costly crucibles and increase the productivity
$0.01 2013 to 2015
2 Mono Cast Ingot GT Advanced Technology has developed a new technique called “monocast” to
produce quasi-mono ingots at a very low cost. GT’s new mono-cast technology
uses lower-cost multicrystalline ingot process to manufacture higher-quality, mono-
like ingots without the associated higher production costs
$0.03 2012 to 2013
3 Diamond Wire The diamond wire is essentially a wire coated with diamond particles. This is being
introduced as an alternative to multi wire saw and is aimed at completely
eliminating slurry cost and increasing the throughput by 2x to 5x conventional saw
$0.05 2012 to 2013
4 Structured Wire Structured wire is an evolutionary wire technology that can significantly increase
productivity due to more efficient transport of slurry and faster cut rate.
$0.03 2012 to 2013
5 SiGen PolyMax It is a kerf less wafering in which, electro beam injection of H ion is used to peel the
wafer from silicon ingot. This technology eliminates gluing, wafer cutting, wafer
separation and wafer cleaning process steps
$0.09 to $0.23 2014 to 2016
6 Plasma Etching
Texturization
This is an alternative to the well established isotexture process by combining a wet
etching with a plasma process. This aims to increase the cell efficiency.
$0.01 2013 to 2014
7 Ion Implantation Alternative to conventional diffusion process. ENERGi implanter is high throughput,
low cost technique which can form emitters with significantly improved J0E values
even at lower sheet resistances.
$0.04 2013 to 2015
8 n-type silicon Replacing p-type silicon base with n-type silicon base and then diffusing it with
boron and phosphorous. This aims to increase cell efficiency significantly by
increasing carrier lifetime.
$0.05 2013 to 2014
Joseph Berwind
List of Developments (2)
July 2012
No Particulars Brief Description Cost
saving
$/W
Expected
timeline
9 Selective
Emitter
Using selective emitter approaches, it is possible to deposit more phosphorus directly under the
silver grid to facilitate contact between this and the silicon, enabling the electrons to migrate
efficiently. At the same time, by reducing the amount of phosphorus between the grid fingers,
recombination losses are reduced and the cell's blue response is improved.
$0.06 2011 to 2014
10 Double
Printing –
Esatto
Aims to print tall narrow front contact grid line with advanced Esatto printing technology, that
increases aspect ratio and thereby increases cell efficiency while reducing paste usage
$0.03 2011 to 2012
11 Busbar
Optimization
An approach by changing the busbar design which significantly cut down silver paste usage by
over 30%, while the fill factor (75.8%) and maximum output power (187 W) of the final module
keep at the same level.
$0.01 2012 to 2013
12 Nickle film
contact &
wet
metallization
A new approaches for the front side metallization of crystalline silicon solar cells using a blanket
sputtered nickel film as the contact layer, targeting on dramatically reducing the specific
contact resistance between the metal gridline and the emitter layer. Further replacement of
silver with copper using wet metallization brings down the cost significantly
$0.07 2013 to 2016
13 Rear
Passivated
PoP
Optimizing a print-on-print process that reduces the silver finger width from 110 μm to 70 μm and
implementing two different dielectric rear surface passivation stacks: (i) a silicon dioxide/silicon
nitride stack and (ii) an aluminum oxide/silicon nitride stack.
$0.06 2012 to 2014
14 Direct
Printing
This technology is able to print as fast as a half a meter per second. Multiple nozzle will enable
this process to print each wafer in about 2 seconds. Aims to increase aspect ratio , reducing
paste usage and increasing efficiency
$0.05 2012 to 2014
15 Multiple dye
doping of
EVA
Optimizing the absorption properties of luminescent encapsulation layers made by poly-
ethylene vinyl acetate (EVA), by using multiple dye-doping and varying the concentration of
the most promising dye
$0.02 2013 to 2015
16 Backsheet Various developments are taking place aiming at strengthening the backsheet characteristics
which provide good life and protection for solar modules
NA Ongoing
Joseph Berwind
July 2012
Technology Pulling Down Cost
Source: AEI Consulting
Joseph Berwind
c-Si Developments
July 2012 Joseph Berwind
Future Developments – c-Si Ingot
July 2012
Ingot Wafer Cell Module
Mono c-Si Multi c-Si
Promising technology
Being developed by Piller Group
Intends to replace quartz crucible with low cost
copper crucible and increases the productivity and
ingot material purity
Cost saving:
$0.013/W
Induction Melt Cold Crucible
Promising technology
Developed by GT Solar. The technology uses
lower-cost multicrystalline ingot process to
manufacture higher-quality, mono-like ingots
without the associated higher production costs
Cost saving:
$0.03/W
Mono Cast Ingot
Joseph Berwind
July 2012
Mono Cast Technology - Introduction
Silicon ingot can be either mono or multi. Mono ingots are highly efficient than multi and they are expensive to manufacture over multi ingots.
GT Advanced Technology has developed a new technique called “monocast” to produce quasi-mono ingots at a very low cost. The DSS450 MonoCast furnace produces the industry’s highest mono volume yield in production ingots comprised of up to 25 bricks.
GT’s new mono-cast technology uses lower-cost multicrystalline ingot process to manufacture higher-quality, mono-like ingots without the associated higher production costs.
Cells produced from DSS450 MonoCast wafers are claimed to have lower Light Induced Degradation (LID) and the full square surface dimension area across the wafer provides greater electricity generating surface area than the pseudo square shape of traditional monocrystalline wafers. MonoCast full square wafers have ~1.8% more electric generating area vs. psuedo square.
MonoCast Ingots produce three grades of wafers: Grade-1 (mostly mono); Grade-2 (hybrid); and Grade-3 (Mostly multi). Over 95% of the wafers are either Grade-1 or Grade-2.
Source: GT Solar
Joseph Berwind
July 2012
MonoCast Technology - Review
Quasi-Mono silicon using DSS
Seeding Seedless
Place mono-crystalline
seed at the bottom of
crucible
Load Polysilicon on
top of the seed
The underlying seed
allows quasi-mono
silicon ingots to grow
in the DS furnace.
Place the crucible with a
notch at the bottom. No
mono-crystalline seed is
used
Controlled cooling to get
ingot block
Source: Yuanta Research & AEI Consulting
Efficiency distribution of cells from mono-cast wafers
Source: Photon Consulting & Yuanta Research
Key Players offering quasi mono ingot/wafer
• GT Solar
• Jinggong Science & Technology
• GCL Poly
• JA Solar
• Rena Solar
• PVA Tepla
• ALD
• ECM Technologies
Joseph Berwind
July 2012
MonoCast Technology – Cost Saving Potential
Mono cast technology offers sizable cost saving by increasing the ingot quality which results in increasing cell efficiency.
The current weighted average cell efficiency is assumed at 14.93%. We believe mono cast quasi cells could increase the cell efficiency by 0.35%.
Also, we assume this could result in 10% reduction in ingot material usage.
Our analysis suggest that there is a potential to reduce the total cost by $0.03/W.
Source: AEI Consulting
Particulars Unit Existing Proposed Comments
Polysilicon Price $/Kg $50.00 $50.00
Cell Efficiency % 14.93% 15.28% Assumed to increase by 0.30%
Silicon Usage g/W 6.16 6.02
Polysilicon cost $/W $0.31 $0.30
Ingot Materials $/Kg Ingot $3.99 $3.59
Assumed 10% reduction in ingot
materials
Watts per Kg Ingot W/Kg Ingot 162.30 166.11
Ingot material cost $/W $0.02 $0.02
Other costs $/M2 $117.95 $117.95
Watts per M2 W/M2 149.25 152.75
Total other costs $/W $0.79 $0.77
Total Cost $/W $1.12 $1.09
Net Cost Savings $/W $0.03
Joseph Berwind
Induction melting in cold crucible (IMCC)
July 2012
Source: 26th EU PVSEC, 2BV.4.42
• The standard technology of producing multicrystalline
silicon ingots is by directional solidification method in
quartz crucibles.
• This method has several drawbacks:
• inhomogeneity of ingots
• part of the material is contaminated with oxygen,
metal impurities, silicon carbide, carbon etc
affecting the final solar cells’s efficiency due to
light induced degradation (LID), problems with
shunted cells, silicon nitrate inclusions etc
• the productivity of growing is rather low
• consumption of disposable quartz crucibles and
graphite components is rather high
• The Induction melting in cold crucible (IMCC) is
introduced as an alternative to Directional solidification
to overcome these drawbacks
Joseph Berwind
IMCC for Multicrystalline Ingot
July 2012
Source: 26th EU PVSEC, 2BV.4.42
• Tesys Ltd & Piller Limited have developed an innovative equipment for
growing multicrystalline silicon ingots for photovoltaic application by induction
melting in cold crucible (IMCC) method.
• The IMCC method has a number of advantages in terms of product cost: high
growth rate, eliminating the need to use expensive quartz crucibles and
graphite components. First lot of solar cells made of wafers from IMCC
multicrystalline ingot was produced with average efficiency of 16.3%. These
results confirm the promising use of IMCC technology for solar cells
application.
• The technology of producing multicrystalline silicon ingots by IMCC method
consists in induction heating and melting of silicon held in a water-cooled
(cold) segmented copper crucible. Melting is accompanied by ingot formation
via crystallisation of the melt in the cross-sectional shape of the cold crucible
thus forming the ingot, which allows to consider this technology as
continuous casting. Application of induction heating provides a high
concentration of energy in the melting zone, thus achieving a high rate of
fusion and high-speed crystallisation and formation of the ingot. At the same
time the resulting ingot has both a uniform structure and homogeneous
distribution of impurities along the entire length
Joseph Berwind
IMCC Cost Saving Potential
July 2012
Source: AEI Consulting
Particulars Unit Existing Proposed Comments
Kg Ingot supported by Crucible Kg/Crucible 430 516 Assumed 20% higher productivity
Kg Ingot supported by Graphite part Kg/Gra part 53,759 64,511 Assumed 20% higher productivity
Crucible Price $/unit $917 $550 Assumed 40% Savings in crucibles
Graphite wear part Price $/unit $70,000 $0
Crucible cost $/Kg Ingot $2.13 $1.07
Graphite wear part Cost $/Kg Ingot $1.30 $0.00
Total Material cost $/Kg Ingot $3.43 $1.07
Watts per Kg of Ingot W/Kg 161.80 161.80
Material Cost $/W $0.02122 $0.00659
Net Cost Saving $/W $0.01463
• IMCC saves significant cost by increasing the productivity and reducing crucible and graphite wear part consumption.
• We assumed 20% productivity gain and 40% reduction in crucible usage and no graphite wear part is required.
• There is a net benefit potential of $0.0146/W
Joseph Berwind
Future Developments – c-Si Wafer
July 2012
Ingot Wafer Cell Module
Sawing Technology Kerf Less Methods
Diamond Wires Structured Wires
Gas-phase Liquid-phase Solid Phase (thin) Solid Phase (Full)
PSI Process CVD Hot wire CVD String Ribbon Direct wafering Slim cut Cleave PolyMax
Promising
Already several
suppliers started
using this
technology.
Cost saving:
-$0.04 TO $0.08/W
Promising
Already several
suppliers started
using this
technology.
Cost saving:
$0.01 TO $0.06/W
Not Promising
Commercial efforts have now been abandoned as uncompetitive with the steadily
improving performance of wiresaw-based wafering
Promising
Still under
progress at
SiGen
Cost Saving:
$0.09 to
$0.23/WSource: AEI Consulting
Joseph Berwind
Diamond Cutting Wire
July 2012
• Current hot topics in the PV research community are comparisons of, SiC based slurry with diamond slurry and diamond wire. For basic research, the diamond wire saws are still an interesting alternative and a full slurry compatible version is under development.
• The diamond wire is essentially a wire coated with diamond particles. The diamond particles act as the abrasive elements instead of SiC particles as in conventional wire sawing technology. While diamond wire technology promises to substantially increase throughput and reduce cost, it is still under development.
Concept of the two-roller technology (left). The easy accessibility of the DS 265 is clearly visible
(centre). This enables a rapid conversion from SiC to diamond slurry or diamond wire. The DWT multiwire
saw is ideally suited for pure diamond wire pv slicing experiments. Source: Mayer Burger
Joseph Berwind
Particulars Valuetotal system cost per saw bed $467,500
System life years 5
annual depreciation $93,500total hours in an year 8640
uptime 96%
effective days/year 8294.4brick side mm 156
cutting speed mm/hour 25
cutting time hrs per cut 6.24# of brick cut per year 1,329
# of wafers per brick 607
# of wafers per year 806,843 Depreciation per wafer $0.116brick height mm 280
Load size 1,000
# of bricks per cut 3
wire speed m/s 15
wire kms per cut 336.96
# of wafers per cut 1821.00
# of cuts before replacing 1
wire kms per wafer 0.185 wire cost $/kms $1.28
Wire cost per wafer $0.237Slurry cost per wafer $0.159total cost per wafer $0.512
Diamond Wire Vs Steel Wire (MWSS)
July 2012
Source: AEI Consulting
CoO of MWSS CoO of Diamond Wire Saw
MWSS wire $/km $1.28 $0.75 $1.00 $1.25 $1.50 $1.75
CoO $/Wafer $0.581 $0.482 $0.529 $0.575 $0.621 $0.667
Source: AEI Consulting Cost Saving
$0.512-0.318 = 0.19/Wafer
$0.05/W
Particulars Valuetotal system cost per saw bed $467,500
System life years 5
annual depreciation $93,500total hours in an year 8640
uptime 96%
effective days/year 8294.4brick side mm 156
cutting speed mm/hour 100
cutting time hrs per cut 1.56# of brick cut per year 5,317
# of wafers per brick 607
# of wafers per year 3,227,372 Depreciation per wafer $0.029brick height mm 300
Load size 1,000
# of bricks per cut 3
wire speed m/s 15
wire kms per cut 84.24
# of wafers per cut 1821.00
# of cuts before replacing 40
wire kms per wafer 0.0012 wire cost $/kms $250.00
Wire cost per wafer $0.289Slurry cost per wafer $0.000total cost per wafer $0.318
Joseph Berwind
Diamond Wire – Pros & Cons
July 2012
1. High Cutting Speed
2. No Slurry Cost
3. Wire reusability
High Wire cost
+ / - of Diamond Wire
Currently the CoO of diamond wire saw is almost in par with MWSS and this is a relatively
new concept still in the developing stage.
The Diamond wire has very high cutting speed (2-5 times higher than MWSS). Certain
companies like Read Co Ltd claims their diamond wire has a cutting speed 5x higher than
slurry based steel wires. This results in higher productivity, lower wire usage and
ultimately lower CoO.
Since diamond wires use fixed diamond grit on the wire, it does not require slurry (SiC and
PEG). This avoids entire slurry cost.
The diamond wires have very high tension strength and hence can be reused for several cuts. This implies a very low
wire usage. Mayer Burger has demonstrated wire consumption <3 m/wafer (156mm) on their DS265 sawing
machine.
However the cost of diamond wires range from $200/km to $450/km. Read co, Japan, one of the key diamond wire
supplier is selling the wire at $400/km FoB Japan.
The price of MWSS, on the other hand has been declining over the past year. MWSS price dropped from $1.75/km in
2009 end to $1.29/km by mid 2011.
Source: AEI Consulting
Joseph Berwind
Dia
mo
nd
Wir
e $
/km
s
Cutting speed as a multiple to MWSS speed
$0.3182.00 2.30 2.60 2.90 3.20 3.50 3.80 4.10 4.40 4.70 5.00
$250 $0.64 $0.55 $0.49 $0.44 $0.40 $0.36 $0.33 $0.31 $0.29 $0.27 $0.25
$275 $0.69 $0.60 $0.53 $0.48 $0.43 $0.40 $0.37 $0.34 $0.32 $0.30 $0.28
$300 $0.75 $0.65 $0.58 $0.52 $0.47 $0.43 $0.40 $0.37 $0.34 $0.32 $0.30
$325 $0.81 $0.70 $0.62 $0.56 $0.51 $0.46 $0.43 $0.39 $0.37 $0.34 $0.32
$350 $0.87 $0.75 $0.67 $0.60 $0.54 $0.50 $0.46 $0.42 $0.39 $0.37 $0.35
$375 $0.93 $0.80 $0.71 $0.64 $0.58 $0.53 $0.49 $0.45 $0.42 $0.39 $0.37
$400 $0.98 $0.85 $0.76 $0.68 $0.61 $0.56 $0.52 $0.48 $0.45 $0.42 $0.39
$425 $1.04 $0.91 $0.80 $0.72 $0.65 $0.59 $0.55 $0.51 $0.47 $0.44 $0.42
$450 $1.10 $0.96 $0.85 $0.76 $0.69 $0.63 $0.58 $0.54 $0.50 $0.47 $0.44
$475 $1.16 $1.01 $0.89 $0.80 $0.72 $0.66 $0.61 $0.56 $0.53 $0.49 $0.46
$500 $1.21 $1.06 $0.93 $0.84 $0.76 $0.69 $0.64 $0.59 $0.55 $0.52 $0.49
Diamond Wire CoO Sensitivity
July 2012
2011 average MWSS price stood at $1.28/km. The CoO of wafer sawing at this price works out to be $0.581/wafer. The MWSS wire price has been declining. We assume
MWSS wire price could oscillate in the range of $0.75 to $1.75. For this the CoO could be $0.482 to $0.667/wafer respectively (see bottom right hand graphic)
The CoO of DW is a function of cutting speed and diamond wire price. In the base case we assume cutting speed at 4x MWSS speed and DW price at $250/km. For this,
we got CoO of $0.318/wafer.
In the below graphic, we showed the CoO sensitivity of DW wrt to cutting speed and diamond wire price. For example, when cutting speed is 2x MWSS speed and price is
$250/km, CoO IS $0.64/wafer.
Then we highlighted the scenarios when CoO of DW seems attractive over MWSS. For example, orange color denotes the scenarios when DW CoO is cheaper to MWSS
when MWSS wire price is => $0.75/km. When MWSS wire is $0.75/km, CoO WILL BE $0.482/wafer. Hence in the sensitivity table, one can notice orange color is applied on
those cells which are less than $0.482/wafer
For example, in the sensitivity table, yellow area represents the scenarios when CoO DW is cheaper than MWSS if MWSS wire is priced at $1.50 to $1.75 range.
MWSS wire $/km CoO $/Wafer$1.47 $0.581$0.75 $0.482$1.00 $0.529$1.25 $0.575$1.50 $0.621$1.75 $0.667
Source: AEI Consulting
Source: AEI Consulting
Joseph Berwind
Structured Saw Wiring Technology
• Today’s state-of-the-art technology for c-Si wafering is based on multi-wire saw using abrasive grains as the
cutting agent suspended and transported in a liquid media (“slurry”). The productivity and quality of the wafer
slicing process essentially relies on the capability to transport slurry into the cutting channel.
• Applied Materials (D. Heppner & others) have developed a new process of applying a thin 120µm diameter
structured wire for wafer slicing. The structured wire is a metal saw wire with a plurality of crimps (waves)
alternatively oriented in two orthogonal planes.
• Structured wire is an evolutionary
wire technology that can significantly
increase productivity due to more
efficient transport of slurry and faster
cut rate. Applied HCT has pioneered
structured wire technology for both
wafering and squaring.
• It has been demonstrated that use of
structured wire can result in over
25% improvement in productivity over
conventional MWSS.
Source: 26th EUPVSEC, 2BO.7.1
July 2012 Joseph Berwind
Structured Saw Wiring Vs MWSS
July 2012
+30% productivity
+10% higher
• Applying structured wire sawing
technology to wafering is a natural
evolution which is also expected to deliver
significant wafering productivity gains
while offering a low risk upgrade path to
wafer manufacturers.
• Assuming structured wire results in 30%
higher productivity over MWSS, wire
usage per kms could drop from
0.185kms/wafer to 0.142 kms/wafer or
23% reduction in wire consumption.
• Assuming structured wire costs 10%
higher than conventional MWSS, this
could result in net cost saving of $0.02/W.
Source: AEI Consulting
Particulars MWSS Str Wire
total system cost per saw bed $467,500 $467,500
System life years 5 5
annual depreciation $93,500 $93,500
total hours in an year 8640 8640
uptime 96% 96%
effective days/year 8294.4 8294.4
brick side mm 156 156
cutting speed mm/hour 25 33
cutting time hrs per cut 6.24 4.8# of brick cut per year 1,329 1,728
# of wafers per brick 607 607
# of wafers per year 806,843 1,048,896
Depreciation per wafer $0.116 $0.089
brick height mm 280 300
Load size 1,000 1,000
# of bricks per cut 3 3
wire speed m/s 15 15
wire kms per cut 336.96 259.2
# of wafers per cut 1821.00 1821.00
# of cuts before replacing 1 1
wire kms per wafer 0.185 0.1423
wire cost $/kms $1.28 $1.41
Wire cost per wafer $0.237 $0.201
Slurry cost per wafer $0.159 $0.159
total cost per wafer $0.512 $0.449
Saving Potential $/Wafer $0.063
Saving Potential $/W $0.02
Joseph Berwind
Structured Wire Cost Sensitivity
July 2012
• Switching to structured wire is economical when the incremental benefit is higher than the incremental cost.
• Structured wire could result in 25% to 35% higher productivity over MWSS. Structured wire could be at 10% to
20% premium to conventional MWSS.
• This implies, CoO for structured wire could be $0.44/Wafer to $0.48/Wafer
• Current CoO for conventional MWSS is $0.512. This implies, replacing the conventional wire with structured wire
could result in cost saving of $0.03/Wafer to $0.07/Wafer.
Source: AEI Consulting
CoO $/W for Structured wire under different scenarios
In this table, yellow highlighted region represent
scenarios in which CoO of structured wire is
lower than CoO of conventional MWSS.
Str
uc
Wir
e C
os
t P
rem
ium
Productivity increase from structured wire over MWSS
$0.449 5.0% 10.0% 15.0% 20.0% 25.0% 30.0% 35.0% 40.0%
5.0% $0.51 $0.49 $0.48 $0.46 $0.45 $0.44 $0.43 $0.42
10.0% $0.52 $0.50 $0.49 $0.47 $0.46 $0.45 $0.44 $0.43
15.0% $0.53 $0.51 $0.50 $0.48 $0.47 $0.46 $0.45 $0.44
20.0% $0.54 $0.52 $0.51 $0.49 $0.48 $0.47 $0.46 $0.45
25.0% $0.55 $0.53 $0.52 $0.50 $0.49 $0.48 $0.46 $0.45
30.0% $0.56 $0.54 $0.53 $0.51 $0.50 $0.49 $0.47 $0.46
35.0% $0.57 $0.56 $0.54 $0.52 $0.51 $0.49 $0.48 $0.47
40.0% $0.59 $0.57 $0.55 $0.53 $0.52 $0.50 $0.49 $0.48
45.0% $0.60 $0.58 $0.56 $0.54 $0.53 $0.51 $0.50 $0.49
Joseph Berwind
Kerfless Silicon Wafers
• Kerfless silicon wafer-making equipment has been
suggested as a cost-effective alternative to the wire
saw method. The potential benefits include greater
materials utilization efficiency and lower cost. Until
recently, EFG and ribbon technologies (both liquid-
solid phase crystallization kerf-free methods) were
the only real “kerf-free” methods that have been
industrialized to any scale.
• Commercial efforts have now been abandoned as
uncompetitive with the steadily improving
performance of wiresaw-based wafering of mono-
and multi-crystalline Si ingots. To become
competitive and gain traction against modern
wiresaw-based wafering, kerfless methods must
support a sub-100μm thickness roadmap while
preserving monocrystalline wafer electrical quality
and high mechanical strength.
• Only solid-phase kerfless wafering with a substitutional to ultra-thin absorber
thickness range can compete. One such kerfless technology is ion beam-induced
cleaving of crystalline silicon, demonstrated as capable of producing high quality c-Si
wafers in thicknesses ranging from 20 microns to 150 microns. Progress of this
promising technology in the form of wafering equipment at SiGen is described and
demonstrates its low-cost wafering potential
Source: 26th EU PVSEC, 2BO.7.5
July 2012 Joseph Berwind
SiGen - PolyMax
July 2012
• SiGen is developing a novel technology called PolyMax™ and provides disruptive new capability for wafer
generation by cleaving the wafers in contrast to the destructive sawing process
• In this technology, electro beam injection of H ion is used to peel the wafer from silicon ingot. Hence this
avoid kerf loss. This technology eliminates gluing, wafer cutting, wafer separation and wafer cleaning
process steps.
• Polymax technology offers wafer thickness of 20µm as against ~150µm wafer thickness in wire saw
wafers. This provides 15x material saving over the conventional sawing process.
• The company claims the following benefits of this technology over the conventional wafer sawing:
• Poly feed stock saving
• Upstream equipment saving
• Lower opex cost due to elimination of several process steps (gluing, wafer cutting etc)
• Free of wire and slurry consumables
• Free of waste and recovery/waste treatment infrastructure
• However the technology is still in development phase and is applicable only on mono crystalline silicon.
Joseph Berwind
SiGen – PolyMax Process steps
July 2012
Silicon bricks are
implanted with H+ ionsIon acceleration
voltage determines
depth of penetration,
and final position of
cleave
Wafer TTV is
determined by the top
surface
Cleaving module
removes wafers from
bricks for cell
manufacturing
Source: AEI Consulting & SiGen
Source: SiGen
Joseph Berwind
SiGen – PolyMax Production process
July 2012
• The process technology, called PolyMax™, is a
cyclic, two-step process: Implant-Cleave-Repeat
• First, a high energy proton beam is directed at the
top surface of a silicon brick. The protons (or other
ions) are implanted in a thin layer at a controlled
depth under the surface of the silicon. Then, the
silicon is induced to fracture, or cleave, in a highly
controlled manner, along the cleave plane defined by
the implanted ions. A single wafer of silicon is
released and the process is repeated on the newly
exposed surface of the brick. The use of cleaving,
rather than sawing, eliminates the waste due to kerf.
• The first step of the PolyMax™ wafering process is
performed by Silicon Genesis’ production-grade
proton implantation tool. This first-of-its-kind tool
started operation in 2009 at SiGen’s plant in San
Jose, CA.
• Today, the tool is operating at about 60% of its rated
beam current and roughly 85% of its rated maximum
energy. Implantation of full-sized, 1m2 trays of
silicon bricks has been demonstrated.
Ion Implanter Tool
End Station of Ion Implanter tool
Source: 26th EU PVSEC, 2BO.7.5
Joseph Berwind
SiGen – PolyMax Cost Reduction Potential
July 2012
Source: 26th EU PVSEC, 2BO.7.5
• The implanter can processes trays of 36, 156 mm pseudo-
square bricks in a 6x6 array. The system is also designed
to process 64 bricks (in an 8x8 array) of the smaller 125
mm square size. The system is also designed to handle
bricks up to 100 mm thick, allowing the production of
hundreds or thousands of wafers from one brick before it
must be removed from the processing line. The trays of
bricks are moved through the endstation on powered
rollerwheels, driven from outside the vacuum by servo
motors coupled to timing belts.
• For 156mm square bricks, the SiGen implanter is
designed to have a throughput of about 185 bricks
(wafers) per hour. This corresponds to roughly one tray
every 12 minutes or one brick every 20 seconds, on
average, resulting in throughputs of about 290 wafers per
hour.
• The potential for cost savings by eliminating kerf waste
using solid-phase kerfless wafering such as PolyMax™ is
widely recognized. The yield, cost and performance
impact of the new wafering technology’s higher
mechanical strength and tighter thickness variation are
more difficult to quantify but are expected to be
substantial.
Joseph Berwind
SiGen – PolyMax Cost Sensitivity
July 2012
Particulars Unit Wire SawPolyMax
Stage-1
PolyMax
Stage-2Comments
Wafer thickness µm 200 120 30 Could reduce to 120µm and gradually to 30µm
Module Efficiency % % 13.43% 13.43% 13.43% Assumed no change in efficiency
Poly usage g/W g/W 6.16 3.70 0.92 Significant reduction in poly usage
Polysilicon Price $/Kg $/Kg $50.00 $50.00 $50.00
Poly Cost $/W $/W $0.31 $0.18 $0.05
Crucible - G5 size $/W $0.01
Graphite wear parts $/W $0.01
Argon $/W $0.00
Saw Wire $/W $0.07
Slurry $/W $0.04
Other Materials cost $/W $0.13 $0.00 $0.00 Polymax saves all other material costs at wafering process
Wafering Labor cost $/M2 6.00 6.00 6.00
Watts per M2 W/m2 134.325 134.325 134.325
Wafering Labor cost $/W $0.04 $0.04 $0.04
Wafering Capex $/M2 112.82 $338.46 $338.46 Assumed capex is 3x normal wafering capex
Watts per M2 W/m2 134.325 134.325 134.325
Capex per Watt $/W $0.84 $2.52 $2.52
Useful Life years 10 10 10
Annual Depreciation Charges $/W $0.08 $0.25 $0.25
Total Wafering Cost $/W $0.57 $0.48 $0.34
Net Benefit $/W $0.09 $0.23
• The cost saving comes mainly from reduced wafer thickness leading to lower polysilicon usage and avoidance of wafering
process steps which saves consumables used in conventional wafer processing (slurry, crucibles, saw wire etc).
• However, Ion Implantation involves very high capex. We assumed the capex could be 3 times higher than normal wafering
capex. Despite, there is a potential cost saving upto >$0.20/W as the wafer thickness falls to 30µm level.
Source: AEI Consulting
*In the above calculation “unit” is with respect to module. For example, $/m2 implies $ per m2 module area.
Joseph Berwind
Future Developments – c-Si Cell
July 2012
Ingot Wafer Cell Module
Texturing Diffusion HF Surface Etching Metal Line FiringAR Coating
Double
Printing
Esatto
Busbar
optimization
Rear
Passivate
d PoP
Ion
Implantation
Direct
PrintingNickle
film & wet
metallizati
on
Plasma
Etching
Texturization
Promising
Already
several
suppliers
started using
this
technology.
Cost saving:
~$0.03/W
This is a
proposed
architecture
(Segmental
bus bar) to
reduce paste
usage without
compromising
efficiency.
Cost Saving:
$0.01/W
Very
promising
technology
introduced
by nScript.
Cost
Saving:
$0.05W
Very
promising
technology .
Still in
developmen
t phase.
Cost
Saving:
$0.06W
Promising
Develope
d by
Intervac
Cost
saving:
~$0.04/W
Promising
Still in
development
phase
Cost saving:
~$0.01/W
Promising
Still in
developmen
t phase.
Cost
Saving:
$0.07/W
n-type
Silicon
Promising
Honeywell
invented
special
dopants &
codiffusion
process.
Cost
saving:
~$0.05/W
Promising
Centrother
m has
successful
ly
implement
ed
Cost
saving:
~$0.06/W
Selective
Emitter
Joseph Berwind
Plasma Etching Texturization
July 2012
Source: 26th EU PVSEC, 2BV.3.62
• Surface texturing is the first process done on incoming wafers in silicon cell manufacturing. Texturing also allows the saw
damage layer removal owed to the slicing of the Si ingots into wafers. The defects induced by the slicing with a wire saw (as
μ-cracks), well known to degrade the cell performance, are remove during this process.
• The isotropic etch in an acidic bath, based on a HF/HNO3 mixture, is now a standard process in the photovoltaic industry for
randomly oriented grains mc-Si.
• Furthermore, reflectivity losses become even more relevant to reach high efficiency silicon solar cells. This is especially true
for mc-Si wafers. It is also well accepted in the PV community that non-textured rear surface are required to reach high
efficiency. However, the texturing process currently happens on both sides of the wafers and increasing solar cell efficiency
implies a decoupling treatment of both rear and front surfaces.
• An alternative to well established texturization was established: this is called Plasma Texturization. In the experiment, saw
damage removal and texturing process were separated. Four type of texturing was carried on:
• group A: alkaline texture
• group B: alkaline etching and plasma (alk+P)
• group C: acidic isotexture process => Standard HF/HNO3 bath
• group D: acidic etching and plasma (ac+P)
Joseph Berwind
Plasma Etching - Details
July 2012
Source: 26th EU PVSEC, 2BV.3.62
• Surface texturing enhances the photon absorption and removes the saw damage layer. For randomly oriented grains silicon,
isotropic dip in HF/HNO3 mixture is currently a standard process. Important is that isotexture occurs on both sides and has a
high de-ionized water consumption. However, it is well accepted that polished rear surface and reflectivity losses become
even more relevant to reach high efficiency solar cells. Increasing solar cell efficiencies implies a decoupling treatment of rear
and front sides.
• MPO Energy, Semco Engineering & others have developed an alternative to the well established isotexture process by
combining a wet etching with a plasma process and a specific plasma chamber was been designed. The texturing process
thereby developed was then successfully implemented in a solar cell process. Surface characterizations underlined that no
plasma damages occur during this texturing process. Solar cells results exhibit an increase in short circuit current density and
no drop in open circuit voltage. This lead to a gain efficiency for plasma texturing process compared to the standard texture
process (alkaline or acidic).
• An increase of the density current was measured leading to a gain efficiency of + 0.15% absolute for both wet etchings
processes compare to standard texturing processes (alkaline or acidic) without dry plasma process. All characterizations
performed shown that dry plasma process has no significant impact on both bulk and surface electrical properties. As a
consequence, no drop in VOC was measured on solar cells.
Joseph Berwind
Plasma Etching – Process Steps
July 2012
Source: 26th EU PVSEC, 2BV.3.62 & AEI Consulting
Plasma Process
Step-1 Step-2
A texturing plasma with a Fluorine chemistry
etches and auto-masks the silicon. SF6/O2 or
NF3/O2 mixtures gases are well used for
plasma etching process. A specific plasma
chamber was designed by Semco-Engineering
to create active gases and a remote plasma is
used to reduce
The second step of the dry process is realized
just after the first one. It consists in an O2
plasma to smooth and to clean the surface of
the wafer. The same plasma source is used
and no vacuum breakage is required.
• An alternative to the isotexture process has been developed in this work. This has been done by combining a wet etching with
a dry plasma process
• A large decrease in reflectivity over the whole solar spectrum was observed, especially for alkaline etching. This texturing
process was then successfully implemented in a solar cell process.
• An increase of the density current was measured leading to a gain efficiency of + 0.15% absolute for both wet etchings
processes compare to standard texturing processes (alkaline or acidic) without dry plasma process.
Joseph Berwind
Plasma Etching – Cost Saving Potential
July 2012
Particulars Unit Existing Proposed Comment
Total Cost of production $/m2 $167.60 $167.60
Cell Efficiency % % 14.93% 15.08% 0.15% efficiency gain
Watts per M2 W/m2 149.25 150.75
Total Cost per Watt $/W $1.12 $1.11
Net Benefit $0.011
Particulars Unit Value Remark
Additional Capex $ $1,000,000 ~50% texturing tool cost
Texturization throughput Cells/hr 3,500 Assumed
Hours per Annum hrs/year 3520 220 days, 8 hrs 2 shifts
Cells processed per year cells/year 12,320,000
Efficiency after Plasma Etch % 15.08%
Watts per Cells W 3.669
Watts produced per year W/year 45,197,793
Incremental Capex per Watt $/W $0.02
Equipment Life years 10
Annual Depreciation charges $/W $0.002
Particulars Value
Incremental Benefit $/W $0.011
Incremental Cost $/W $0.002
Net Benefit $0.009
Incremental Benefit (Cost savings)
Incremental Cost (Depreciation)
Net Benefit
The new approach could result in
0.15% efficiency gain.
This implies 1.50 addition Watts
can be generated per M2 area.
This translates to $0.011/W cost
saving.
Normally, texturization tool could
cost $2 mn. We assumed the
additional capex could be 50% of
that. This implies, $0.002/W
additional annual depreciation
charges would be incurred on
implementing this.
Therefore, this leaves a net benefit
of $0.009/W
*In the above calculation “unit” is with respect to module. For example, $/m2 implies $ per m2 module area.
Joseph Berwind
Ion Implantation
July 2012
In normal solar cell making, the starting material is p-type boron doped silicon
wafers. The first process is to acid etch, or remove saw damage and to texture
the surface, improving the absorption of incident light.
Then the wafer is heated in a furnace (800 to 1000 C) with a phosphorous
atmosphere causing small amount of phosphorous to be incorporated in
the outer layer of silicon. This is called “Thermal Diffusion” in which
Phosphorous doping is done in a furnace with POCl3 gas that creates
light n+ emitter region on the silicon surface.
The diffusion causes creation of phosphorous silicate glass (PSG). The
hydrofluoric acid etch is done to remove PSG.
Then antireflective coating of SiNx is deposited on the surface to improve light
absorption.
Then the cells are screen printed with metallic pastes. Finally, Heating or
“firing” the contacts melts them through the thin insulating layer of SiNx to
make contact with the underlying n+ silicon layer.
The POCl3 diffusion is consumes one hour and requires very high temperature
(800 C). Therefore, Ion Implantation is suggested as a replacement of
Diffusion Process.
Source: photonics.com
Source: technologypublisher.comJoseph Berwind
Ion Implantaion - Introduction
• Diffusion is done in a furnace with a flow of gas running over the
wafers. This step, like etch, is not selective so the photoresist and
patterning need to be done before this step. The best way to
understand the processes of this step is to imagine oxidation. Diffusion
is very similar to oxidation except using a different gas other than
oxygen.
• Ion implantation is different from diffusion. Diffusion uses the natural
state of gas going to where there is no gas, while ion implantation
shoots the desired dopant ions into the wafer. Ion implantation has
been best equated with firing a machine gun into a wall. In this analogy
the wall is the wafer and the bullets are the ions. The main
disadvantage of ion implantation is that it can only process a single
wafer at a time while a diffusion chamber is capable of handling many
wafers.
• In this step the wafer is heated to make the ions go deeper into the
wafer. This is called “Drive in”.
• Due to the incredible damage that these processes (especially ion
implantation) can cause to the wafer an additional stage of heating is
required. During this final stage the wafer is heated so that the crystal
lattice structure of the wafer will repair itself.
• The finished wafer is an intricate sandwich of n-type and p-type silicon
and insulating layers of glass and silicon nitride.
Source: Dr Seth, P Bates, Applied Materials
July 2012
The magnets used to
control the ion beam
A wafer handling tray in
ion implantation
Annealing Process
Joseph Berwind
Ion Implantaion - Advantages
• In traditional solar cell making process, cells are diffused both
the sides at a very high temperature with POCl3. this process
takes almost 1 hour.
• IMEC & Katholieke Universiteit Leuven, have suggested a
new approach for replacing the diffusion step with a few
second, one sided low temperature implantation step.
• Traditional diffusion process flows include a diffusion process
step which takes ~1 hour to complete with a temperature of
above 800C. Traditional diffusion furnaces consume a large
amount of energy (T>800C), they are in vacuum environments
(allowing gases to flow uniformly) and need a relatively long
time to achieve the desired profile (t>30min). The resulting
wafers are diffused on both sides and have a phosphosilicate
glass (PSG) on both sides. The PSG is removed from the
wafer and then the rear side is etched using a single side
emitter etch, typically where wafers are suspended above
etching chemistry.
• The proposed technique should to save energy, chemicals
and is more robust in terms of cleanliness of equipment.
Source: 26th EUPVSEC, 2AO.1.3
July 2012 Joseph Berwind
ENERGi Ion Implantation tool
July 2012
Source: 26th EU PVSEC, 2BV.1.9
• Ion-implantation offers numerous advantages for solar cell
manufacturing. Historically, semiconductor based ion-implantation tools
did not meet the performance and cost requirements for the solar
industry.
• Intevac has developed a high throughput ion implantation tool for solar.
Wafers are transported horizontally on a conveyor in three columns.
Despite having a smaller footprint than commercial ion-implanters, the
ENERGi tool is capable of 2400 wafers per hour for low or high sheet
resistivities. The first demonstration tool has shown dose rates of
3x1015 P/cm2/s across an entire wafer, allowing for 60 Ω/◻ emitters to
be implanted in less than one second. The implanted doses were
confirmed by SIMS analyses. N-type dopants or p-type dopants can be
implanted, allowing for great flexibility in emitter design.
• For many advanced cell designs, including selective emitter (SE), dopants are patterned on a surface. For SE, the patterns
are lines, and for local contacts, the pattern can be spots. Using ion-implantation, patterning of dopants can be accomplished
during the implantation process using shadow masks or other methods. In this manner, dopant patterning can be used for not
only SE, but local contacts, and IBC designs. The advantage is non-contact patterning of all dopants as needed followed with
a single anneal.
• For the case of SE, a single ENERGi tool can implant both the homogeneous and the selective emitter pattern at 2400 wph.
With the addition of an annealing furnace, the total COO is ~$0.025/Wp. This compares favorably against other SE doping
solutions.
Joseph Berwind
ENERGi Ion Implantation tool – Cost Saving
July 2012
Source: 26th EU PVSEC, 2BV.1.9
• For the case of SE, a single ENERGi tool as
picture in can implant both the homogeneous and
the selective emitter pattern at 2400 wph.
• Ion-implantation with ENERGi implanter is high
throughput, low cost technique which can form
emitters with significantly improved J0E values
even at lower sheet resistances. Simulations
have shown the principles behind phosphorus
profile engineering for J0E and Rsheet. These
are demonstrated by the resulting experiments.
• It is shown that the ENERGi implanter is capable
for forming high quality amorphized layers which
recrystallize defect free by SPER.
• The total CoO of Ion Implantation tool could be
$0.025/W compared to $0.04/W for conventional
diffusion process. This implies $0.038W cost
saving.
Joseph Berwind
n-type Silicon
July 2012
• A solar cell is a device that converts solar energy into electric power without thermal or mechanical hardware.
When light strikes the cell, a certain portion of it is absorbed within the semiconductor material. This means that
the energy of the absorbed light is transferred to the semiconductor. The energy knocks electrons loose, allowing
them to flow freely.
• Silicon is the most commonly used material to produce solar cells. Silicon is a non- conducting material,
meaning that it does not conduct electrical current because of its wide energy band gap. During material
preparation, a dopant is introduced homogeneously into the silicon lattice to make it electrically
semiconducting, meaning that it conducts electricity in only one direction, according to the type of dopant
added.
• The most common dopants are phosphorous and boron. When silicon lattice is doped with phosphorus, the electric
conduction is dominated by electrons and is called “n-type”. Boron-doped silicon is dominated by holes and is
called “p-type”.
• During the cell making, electric junction is formed by thermal diffusion of oppositely charged impurity. For example,
a junction is formed on the n-type substrate by boron diffusion on the front and similarly a junction is formed on the
p-type substrate by phosphorous diffusion. The junction provides built-in voltage that separates electon-hole pair
that flow through an external circuit.
• Today most of the solar cells (whether mono or multi) are made from p-type (boron-doped) substrate on which
phosphorous is diffused.
Joseph Berwind
n-type: Minority carrier lifetime & bulk resistivity
July 2012
• There has been growing interest in development of low
cost industrial cell processing based on n-type
substrates. It has been well demonstrated in several
research institutions that the use of n-type substrates
could increase the minority carrier lifetime and bulk
resistivity. This could significantly increase cell
efficiency.
• Several studies have confirmed that 1% to 2%
efficiency gain can be achieved by using n-type silicon
instead of p-type standard silicon.
Sensitivity of cell efficiency to minority carrier lifetime and bulk
resistivity
Solar Cell design on n-type substrate
Source: D.M.Huljić, T. Zerres & others
Joseph Berwind
Printable Dopant for n-type solar cells
July 2012
Source: 26th EU PVSEC, 2BV.1.22
• Recently n-type silicon solar cells have been getting significant
interest due to their high tolerance to metal impurities, immunity
from light induced degradation, and potential to achieve higher cell
efficiency. So far, industry-wide adoption of n-type Si cells has
been hindered by lack of cost effective manufacturing processes.
• Although industrial manufacturing of n-type cells based on
conventional architecture has started recently, the manufacturing
cost is higher than that of conventional p-type silicon solar cells.
The process of manufacturing n-type cells usually involves two
diffusion steps including for example, BBr3 diffusion for top emitter
formation, and POCl3 diffusion for back surface field (BSF)
formation. In addition to the associated equipment depreciation,
energy costs and safety concerns involved in handling of
hazardous BBr3 in high volume manufacturing, the added thermal
budget limits the use of this process to very high quality Si
substrates.
• Honeywell presented an approach of using co-diffusion of boron
emitter and phosphorus BSF using printable dopants. The printable
dopants can be applied by various techniques including inkjet
printing and screen printing. The new technique has been able to
reach sheet resistance (Rs) below 60 /sq under diffusion condition
of 925°C, 60 min for boron emitter with good doping uniformity
over whole wafer.
Joseph Berwind
n-type: Honeywell’s Dopant experiment
July 2012
Source: 26th EU PVSEC, 2BV.1.22
• Honeywell printable phosphorous (ACCUPRINT-P) and boron (ACCUPRINT-B) dopants were used in this study. They
were deposited via either inkjet or screenprinting over Si wafers and then diffused at elevated temperature to create
doped regions
• Inkjet printing was done using Fuji Dimatix DMP 2831 tool with jetting frequency of 7 kHz and drop space of 30 um.
Screen-printing was done using ATMA semi-automatic screen printer (model# AT- 25PSC) and a PET screen. Boron film
usually was printed on the front surface of Si wafer first and dried at or below 250oC. Phosphorus film was then printed
on the other side of the Si-wafer and dried similarly.
• Too low a sheet resistance indicates higher level of doping which leads to higher level recombination, while too high a
sheet resistance indicates a low doping level and/or shallow junction depth, which can lead to highcontact resistance at
metal contact and higher potential for shunting. For a given process flow, too high or too low emitter sheet resistance will
negatively affect cell efficiency.
• The sheet resistance varied from 42.1 to 89.2 ohm/sq over the diffusion temperature range of 950oC to 900oC. It
illustrates that for printable Boron dopants, one can adjust the doping level by controlling diffusion temperature.
• Sheet resistance below 60 ohm/sq was reached at diffusion temperature of 925oC for 60 minutes.
• This study has demonstrated the feasibility of using printable dopants in manufacturing of solar cells. In particular, a full
surface emitter has been achieved on textured n-type Si wafers using screen printable boron dopant with very good
doping uniformity. It also demonstrated feasibility of forming emitter and BSFregions using boron and phosphorus printed
dopants anda single high temperature step for co-diffusion of the twospecies into opposite sides of the wafer. These
materialsshow significant promise for achieving low cost manufacturing of n-type solar cells.
Joseph Berwind
n-type: Cost Saving Potential
July 2012
Source: AEI Consulting
Particulars Unit* Existing Proposed Comment
Polysilicon $/m2 $45.98 $48.28 n-type is assumed 5% higher than p-type
Phosphorous (POCl3) $/m2 $1.26 $1.32 Phosphorous paste to cost 5% higher
Boron Pastes (BBr3) $/m2 $1.32 Assumed to be same as phosphorous paste
Other Materials $/m2 $66.10 $66.10
Total Materials cost $/m2 $113.34 $117.03
Labor Cost $/m2 $22.22 $22.22
Overhead Cost $/m2 $8.89 $9.33 5% higher overhead charges assumed
Capex $/m2 $277.78 $333.33 Assumed 20% higher capex
System Life Years 12.00 12.00
Depreciation Cost $/m2 $23.15 $27.78
Total cost of production $/m2 $167.60 $176.36
Cell Efficiency % % 14.93% 16.43%
2% gain demonstrated, conservatively taken
1.5%
Watts per M2 W/m2 149.25 164.25
Total cost of production $/W $1.12 $1.07
Net Benefit $/W $0.05
• n-type silicon offers very good cost saving potential by substantially increasing the cell efficiency by increasing the
minority carrier lifetime and bulk resistivity. Honeywell has demonstrated that using printable phosphorous and boron
dopants, the sheet resistivity can be well controlled.
• We assumed these addition materials could cost 5% higher than the materials used in conventional multi c-Si cells. Also,
we assumed this entails 20% higher capex.
• On the whole, we conclude that there is a net cost saving potential of $0.05/W
*In the above calculation “unit” is with respect to module. For example, $/m2 implies $ per m2 module area.
Joseph Berwind
Selective Emitter: Introduction
July 2012
• The energy conversion in a silicon solar cell happens at its p-n junction.
This is normally formed by firing the wafer in a phosphorus-rich
atmosphere, where the phosphorus diffuses into the upper zone of the
wafer to form a layer which is uniform, planar, lies a few hundred
nanometers under the surface, and extends across the wafer's entire area.
This is called “Emitter Diffusion”. Here, photons of sunlight release
electrons that migrate through the silicon to the cell's front face where they
are captured by the grid of silver conductor fingers printed on the cell's top
side. Their flow through this grid is the electrical current that constitutes the
cell's power. These electrons then flow around the circuit back to the
aluminium contact on the reverse side of the cell, where they once again
join with atoms missing electrons (or possessing ‘holes’).
• However, the top part of the cell where the phosphorus is most
concentrated, is essentially a “dead layer” that reduces the cell's blue
response. In other words, the electrons activated by blue light turn into
charge carriers, but then even before they get out to the silver conductor
grids, they recombine with at the wafer’s surface, generating heat rather
than current.
• In order to resolve this problem it is desirable to deposit more phosphorus
under the silver grid and less phosphorus between grid fingers. Here the
idea is varying the amount of phosphorus across the cell's surface. Using
selective emitter approaches, it is possible to deposit more phosphorus
directly under the silver grid to facilitate contact between this and the
silicon, enabling the electrons to migrate efficiently. At the same time, by
reducing the amount of phosphorus between the grid fingers,
recombination losses are reduced and the cell's blue response is improved.
Source: Honeybee Solar
Source: Kristine Drew, Sybille Hopman, Matthias Hörteis, Stefan W. Glunz
and Filip Granek
Joseph Berwind
Selective Emitter(SE): Methods
July 2012
SE
Doped
Silver Paste
Screen
Printing
Selective
Diffusion
Laser
Doping
Etch Back
Buried
contact
In this method, the doping materials are added to standard metallization pastes so that both are printed at the same time.
The phosphorus then diffuses into the silicon at the same time as the paste is fired. Not very Effective
Extra dopant is screen printed onto the cell in a pattern mirroring that of the collection grid, and is then fired, after which
the silver conductor grid is printed over it. Here, the two print patterns must be closely aligned with each other, calling for
highly reliable print technologies that offer repeatable accuracy to within just a few microns
A second doping step is performed on the wafer prior to silver paste printing, but with the areas between the silver grid
fingers masked off. In this way, only the grid area is doubly doped. In a slight variation on this theme, experimental work
is ongoing using semipermeable masks that enable doping to be graduated across the wafer in a single process
This single-step process, developed by the University of Stuttgart’s Institute for Physical Electronics, takes advantage of
the phospho-silicate glass (PSG) layer that forms on the surface of the wafer during the standard diffusion process. This
would normally be removed after the diffusion process, but in this case it is firstly laser written, driving more phosphorus
into the silicon under the area where the silver grid will be printed.
Here, the wafer is doped using high dopant concentrations, after which the wafer surface in the areas between the
fingers is etched away. As the highest concentration of phosphorus lies closest to the wafer surface, a suitably low
concentration remains where necessary, leaving a higher concentration where the silver conductor lines will be.
Etchback can be performed by printing an etching paste where phosphorus is to be removed, or by masking off the area.
Not effective
Here, the first diffusion process is run at low concentrations, after which a passivation layer is deposited on the top side
of the wafer. Deep trenches are then laser or saw-cut through the passivation, doping and emitter layers. The wafer
subsequently goes through a further doping process, during which the phosphorus will be deposited only in the trenches
as the rest of the wafer is masked off by the passivation layer. The metal conductors are then printed, or more likely,
electroplated, into the now highly doped trenches
Source: www.solarnovus.com & AEI Consulting
Joseph Berwind
Selective Emitter(SE): Laser Doping, Promising candidate
July 2012
• The major task in laser diffused selective emitters is to sufficiently increase the phosphor
concentration in the silicon close to the surface of the laser treated areas for a good contact
resistance without causing too many crystal defects or contamination within the silicon
• Centrotherm with its partners has implemented a new SE process different industrial
production lines in Asia. Average daily efficiencies above 18.5% were achieved in running
productions. With a slightly improved diffusion process, efficiencies up to 18.72% on batches
of 200 cells were achieved..
Source: 26th EUPVC 2AO.3.4
• In the new process flow, between the diffusion and the PSG etching, an additional
process step is inserted in the process sequence. In this process step the contact
resistance of the previously diffused emitter with high sheet resistance and inferior
contacting properties is locally improved to a level of contact quality comparable to
standard homogeneous emitters
• The two main cost drivers in selective emitter technologies are the number of
additional pieces of equipment added to the production line and the amount (and
price) of additional consumables needed. In both respects the laser diffused selective
emitter as developed by Centrotherm is very cost effective. Only one additional piece
of equipment (laser) which needs almost no consumables is used. Otherwise, the
cost structure of the standard process is unchanged. Thus, the process used is
probably one of the most economical selective emitter processes available.
Joseph Berwind
Selective Emitter(SE): Screen Printing –Dupont Innovalight
July 2012
• Phosphorous Doping is required to make a diode with a p-n junction.
The amount of doping impacts how efficiently the cell converts energy:
high doping undercuts the efficiency of converting blue light, while light
doping makes it difficult for the gridlines to contact Si and carry the
current out of the PV cells. Selective emitters is a technique to achieve
both at the same time.: heavily doping only areas directly under the
silver printed gridlines where the paste can contact, but very light
doping elsewhere to maximize efficiency to generate current.
• DuPont Innovalight provides innovative silicon inks and licenses
proprietary high-efficiency solar cell process technology to solar cell
manufacturers which enables an immediate increase in solar cell
conversion efficiency. The proprietary material is comprised of silicon
nano-particles dispersed in an environmentally friendly blend of
chemicals.
Source: 26th EUPVC 2BV.1.35 & 2BV.1.11
Concept illustration of Silicon Ink SE cell
• For the Silicon Ink SE cells, as-cut wafers are first cleaned and textured in an alkaline bath to create random pyramid textured
surface. In a screen-printing step, the Silicon Ink is deposited where heavily doped regions are required for good contact formation.
This screen-printing step includes a simple drying process to drive off the remaining organic solvents and densify the printed Silicon
Ink film. N-type phosphorus diffusion is subsequently performed in a quartz diffusion tube. The diffusion step forms the selectively
doped regions on the front surface of the wafer. Typically, heavily doped printed regions achieve sheet resistance values between
30 and 50 Ohm/sq, whereas unprinted areas remain at 80 to 100 ohm sq.
• Tests have shown final results of 18.9% on mono wafers, and Yingli claims 0.5% improvement on multi with best cells at 17.2% .
Innovalight claim that they will increase their efficiency improvements to 2% in 2011, and 3 % in 2012.
Joseph Berwind
Selective Emitter(SE): Cost Saving Potential
July 2012
Source: AEI Consulting
• Selective emitter offers very good cost saving potential by substantially increasing the cell efficiency. Innovalight claims
efficiency can be improved 2% to 3% with SE technology.
• Dupont’s innovalight technology requires additional material cost on silicon ink dopant. We assume this could imply 5%
additional material cost. Also, this requires the silicon ink should be screen printed, which requires new screen printing
equipment. We estimate this could entail 30% additional capex.
• Our analysis suggests that there is a cost saving potential of $0.06/W.
*In the above calculation “unit” is with respect to module. For example, $/m2 implies $ per m2 module area.
Particulars Unit Existing Proposed Comment
Material cost $/M2 $113.34 $119.01 5% additional cost due to silicon ink
Labor cost $/M2 $22.22 $22.22
Overhead $/M2 $8.89 $8.89
Capex $/M2 $277.78 $361.11 30% additional due to new screen printing equipment
System Life years 12 12
Depreciation cost $/M2 $23.15 $30.09
Total cost of production $/M2 $167.60 $180.21
Cell Efficiency % % 14.93% 16.93% Assumed 2% efficiency gain
Watts per M2 W/M2 149.25 169.25
Total cost of Production $/W $1.12 $1.06
Net Benefit $0.06
Joseph Berwind
Double Printing - Esatto
July 2012
On the front side and on the back side metallic contacts have to be formed in
order to drain the solar current. At the backside a holohedral aluminum layer is
deposited, while at the front side silver contact fingers are generated, which
allow most of the sunlight to pass into the cell. Finally a silicon nitride
antireflection coating ARC is attached to the front side in order to increase
absorption of the sunlight
For the formation of the front side and back side contacts, metallization paste
is printed on the silicon wafers, using thick film technology and screen printing.
The paste is containing aluminum for the back side contact and silver for the
front side contact fingers. The pastes have to be fired then in a furnace. In the
debindering zone of the furnace, organic or polymer parts of the paste
decomposite. In the firing section of the furnace metallic parts are sintered and
form a continuous and conductive layer.
The solar cells consists mainly of silicon. The bulk silicon is usually lightly p-doped, and conductive for positive charge
carriers or holes.
On the front side a thin heavily n-doped layer has to be formed by doping, which is conductive for negative charge carriers or
electrodes. This way a p/n-junction is formed, which can separate the charge carrier pairs, generated by the absorption of
sunlight.
Joseph Berwind
Esatto – Screen Printing Introduction
July 2012
A screen printing method is used to produce electrodes in a solar cell.
In the screen printing method, a printing mask formed with a predetermined pattern thereon is used. The printing mask is placed at a predetermined
distance from an object to be printed placed on a printing stage, and paste including an electrode material is supplied on the printing mask.
The paste is spread on the printing mask by a squeegee, and only the paste on a meshed area is applied to the object to be printed. The paste applied
to the object to be printed is baked at a predetermined temperature depending on the electrode material, and thereby the electrode is formed.
After printing the paste is still wet and is easily
smudged. Here the wafers are loaded into a drier
to evaporate off the organic binders in the paste.
Driers operate at a low temperature of around
200 °C.
Silver paste is forced through a patterned
screen. Those areas with gaps in the
pattern leaves a metal pattern on the
surface. The cells move along a conveyor
belt
The rear is printed in two parts. A thick layer of
aluminium past covers most of the cell and
provides a back surface field (BSF). Silver strips
are also printed on the cell for later soldering to
the interconnect metal tabs.
Printing on the front side Loading the printed wafers to drier Printing on the rear side
Source: pveducation.org & AEI Consulting
Joseph Berwind
Esatto Technology - Introduction
July 2012
Baccini Esatto Technology is an integrated solution for high precision screen printing for the suite of Baccini’s back end
processing systems.
Esatto Technology was designed to enable advanced contact formation techniques such as double-printed frontside metal
lines and the multiple process flows required to create selective emitter structures.
This application enables manufacturers to print taller, narrower grid lines, thus reducing the shadowing effect caused by wide
grid lines while improving electrical conductivity. In a production environment, Esatto Technology allowed the replacement of
single 120µm wide lines with two-layer, double-height lines less than 80µm wide on the finished cell.
A key component of Esatto Technology is the high precision kit which features:
High resolution cameras
Custom illumination for each application
Sophisticated image processing software
Advanced process control
Extra fine mechanics for superior durability
Customers have demonstrated 0.46% absolute cell efficiency gains with Esatto Technology, and up to a 14% reduction in
consumption of silver printing paste.
Joseph Berwind
Esatto Technology – Cost Saving Potential
July 2012
ESATTO Technology
Double printing of Tall
Narrow front contact lines
Reduced Shadow &
reduced line Resistance
Improved Cell efficiency
> 0.46% Absolute
efficiency gain is
demonstrated
Lower silver paste usage
14% saving in silver paste
is demonstrated by
customers
Source: AEI Consulting
Source: 26th EUPVC 2CV.2.24
Applied Materials Italia, in a white paper, investigated current screen printing
pastes from three different vendors in order to provide an adequate solution
for DPI and DPII in terms of line geometry and electrical performances. The
observations are documented in Table-1 & 2.
It is clear from these that there is a potential for 0.29% to 0.40% efficiency
gain and paste usage reduction of 3% to 20%.
Joseph Berwind
Esatto Technology – Cost Sensitivity
July 2012
Incremental Benefit (Cost savings) Incremental Cost (Depreciation)
Assuming Esatto could increase efficiency by 0.40% and reduce
paste usage by 10% per cell, incremental benefit works out to be
$0.03/W.
Esatto could cost $650 k per upgrade. Assuming 2000 wafers/hour
throughput and 3,520 days working per year, this implies capex
cost of $0.02/W. Assuming 5 years system life, this implies
additional depreciation expense of ¢0.50/W.
The net benefit by introducing Esatto would be $0.03/W
Particulars Unit Existing Esatto Remarks
Front silver paste usage g/Cell 0.18 0.17 10% Reduction in paste usage
Cell size (156mm) m2 0.024336 0.024336
paste usage per m2 g/m2 7.58 6.82
Paste price $/g $1.22 $1.22
Front Silver Paste cost $/m2 $9.26 $8.33
Others Costs $/m2 $158.34 $158.34
Total cost per M2 $/m2 $167.60 $166.68
Cell Efficiency % % 14.93% 15.33% 0.40% efficiency increase
Watts produced per M2 W/m2 149.25 153.25
Cost per Watt $/W $1.12 $1.09
Overall Cost Saving $/W $0.0354 Overall cost Saving
Particulars Unit ValueCost per Upgrade $ $650,000
Screen printing throughput Cell/hr 2,000
Hours per Year Hrs 3,520
Cells produced per year Cell/yr 7,040,000
Cell size mm 156
Cell Efficiency post Esatto % 15.33%
Watts per Cell Watts 3.729492
Watts per Year Watts 26,255,624
Capital Cost per Watt $/W $0.02
Useful Life Yrs 5
Depreciation cost $/W $0.0050
Net Benefit of Esatto introduction
Particulars Value
Incremental Benefit $/W $0.0354
Incremental Cost $/W $0.0050
Net Benefit $/W $0.0304
Source: AEI Consulting
Source: AEI Consulting
Source: AEI Consulting
*In the above calculation “unit” is with respect to module. For example, $/m2 implies $ per m2 module area.
Joseph Berwind
Bus Bar Optimization
July 2012
Source: 26th EU PVSEC, 2BV.3.9
• With the rising price of silver material, the cost of screen printed silver paste has become one of the major components of the
total cost for $/kW in PV modules. In traditional H-grid front metallization pattern design, over one third of the total
metallization area comes from busbars, which are required to be wide enough for terminal contacts in IV measurements and
for reducing the series resistance.
• State Key Lab of PV Science and Technology, Trinasolar Limited Company, presented a segmental busbar design which
significantly cut down silver paste usage by over 30%, while the fill factor (75.8%) and maximum output power (187 W) of the
final module keep at the same level.
• Experiments on 125x125 mm2 Cz-Si mono cells show that, because of the increase of resistive losses along the busbars, the
segmental busbar design will lead to a 0.45% drop in the cell’s FF and a 0.12% drop in cell efficiency. However, this loss can
be compensated by soldering ribbons in modules, given by almost the same performance. This implies that over 30% silver
paste cost can be saved while there’s no influence on the final module’s output power.
Joseph Berwind
Segmental bus bar pattern – Cost Impact
July 2012
Source: 26th EU PVSEC, 2BV.3.9
• In standard cells, normally 2 bus bars of 2 mm wide each is used. The bus bars normally occupy 612.5 mm2 of area per cell and
consumes 60 mg silver paste per cell.
• In the proposed optimization, the busbar regions between contact terminals have been hollowed out while the regions corresponding to
the positions of the IV tester contact pins remain normal. In this case, the current from the fingers flow through the edge of the busbar and
reach the contact terminals.
• This implies, around 30% of bus-bar area is hollowed and hence 30% less silver paste is used. Therefore, paste used per cell bus-bar
area can be reduced to 42 mg. Assuming silver paste is priced at $1200 per Kg, this implies a cost saving of $0.006/W.
Net Benefit from Bus bar optimization Source: AEI Consulting
Particulars Existing Proposed Comments
Wafer size mm 156 156
# of bus bars 2 2
Bus bar width mm 2 2
Total bus bar area (excl edges) mm2 612.5 428.75 ~30% less due to hollowing busbar region
Print thickness mm 0.03 0.03
Bus bar printing area mm3 18.375 12.8625
Paste density 3.254 3.254
Paste usage for busbar g/cell 0.060 0.042
Paste price $/g $1.22 $1.22
Paste cost for busbar $/cell $0.07 $0.05 Significant reduction in paste cost
Efficiency % 14.93% 14.93%
Watts per Cell 3.632148 3.632148
Paste cost $/W $0.02 $0.01
Paste cost Saving $0.006 Net Cost Savings
Joseph Berwind
Sputtered nickel film as a contact layer
July 2012
Source: 26th EU PVSEC, 2EO.2.6
• Reducing the contact resistance is one of the major challenges for the front side
metallization of crystalline silicon solar cells. Screen printing silver paste and firing
through the silicon nitride antireflection coating layer is the most common
technique for front side metallization of today’s industrial silicon solar cells, but it
also produces a poor, very resistive metal-silicon contact.
• Palo Alto Research Center has developed new approaches for the front side
metallization of crystalline silicon solar cells using a blanket sputtered nickel film as
the contact layer, targeting on dramatically reducing the specific contact resistance
between the metal gridline and the n+emitter layer.
• The basic procedure of the approach is shown in Figure 1. Starting with a solar cell
silicon substrate (a) and after drilling the contact holes through the nitride layer
using laser ablation method (b), a thin nickel film is sputtered on the whole surface
(c), followed by screen printing silver gridlines which are aligned with the contact
holes (d). Next the uncovered nickel film is etched away using the silver gridlines
as a protective mask, then the silver gridlines and the underlying nickel contact
layer are co-fired at high temperatures to finish the metallization, as shown in
Figure 1(e).
• The significant reduction in specific contact resistance can improve the cell efficiency in three aspects. First, the contact area
between the front side electrode and the n+ emitter layer can be reduced, and hence the reduction of front side recombination
velocity (FSRV), which results in the improvement of cell efficiency. Secondly, by reducing the glass frit content in the silver
paste or even using frit-free silver paste by using laser ablation to make contact holes, the resistance of silver gridlines can be
reduced, and thus the reduction of series resistance Thirdly, emitter layer with higher sheet resistance (e.g., 100 Ω/sq. instead
of 50 100 Ω/sq.) can be used, which improves the shortwave length response and also cell efficiency
Joseph Berwind
Nickel film as a contact layer - Details
July 2012
Source: 26th EU PVSEC, 2EO.2.6
• One of the challenges for the procedure shown in Figure 1 is to develop a method
which can etch the sputtered nickel film rapidly without destroying the green body of
the screen printed silver lines. FeCl3 solution has been widely used in PCB (Printed
Circuit Board) industry to pattern the copper film for decades and is readily available.
• As per the experiment, it has been demonstrated that after dropping the sample in
FeCl3 solution for about 3 to 5 seconds, the uncovered nickel film was removed,
without any damage of the silver gridlines.
• This approach could significantly reduce the specific contact
resistance and it has been demonstrated that this translates to
0.9% absolute efficiency gain.
• It has been demonstrated that incremental cost/W has been
~$0.74/W. This is lower than the current cost of $1.12/W and
hence seems to be attractive.
• Also, further cost reduction potential is identified through electro
less plating and inkjet printing.
Joseph Berwind
Nickel film– Cost saving potential
July 2012
Particulars Unit Existing Proposed Comment
Total Cost of production $/m2 $167.60 $167.60
Cell Efficiency % % 14.93% 15.83% 0.90% efficiency gain
Watts per M2 W/m2 149.25 158.25
Total Cost per Watt $/W $1.12 $1.06
Net Benefit $0.064
Particulars Unit Value Remark
Additional Capex $ $4,400,000 20% of Cell line capex
Screen Printing throughput Cells/hr 2,000 Assumed
Hours per Annum hrs/year 3520 220 days, 8 hrs 2 shifts
Cells processed per year cells/year 7,040,000
Efficiency after Plasma Etch % 15.83%
Watts per Cells W 3.851
Watts produced per year W/year 27,112,251
Incremental Capex per Watt $/W $0.16
Equipment Life years 10
Annual Depreciation charges $/W $0.016
Particulars Value
Incremental Benefit $/W $0.064
Incremental Cost $/W $0.016
Net Benefit $0.048
Incremental Benefit (Cost savings)
Incremental Cost (Depreciation)
Net Benefit
The new approach could
result in 0.9% efficiency
gain.
This implies 9 additional
Watts can be generated per
M2 area. This translates to
$0.064/W cost saving.
We estimate the additional
capex could be $4.40 mn.
This could be roughly 120%
of screen printing tool
Therefore, this leaves a net
benefit of $0.048/W
*In the above calculation “unit” is with respect to module. For example, $/m2 implies $ per m2 module area.
Joseph Berwind
Wet Chemical Metallization - Introduction
July 2012
Source: 26th EU PVSEC, 2BV.3.38
• Screen printing the silver paste on the front of solar cell to form electrode is the most common and widely accepted
technology in the current day cell manufacturing. However, the silver pastes are very expensive that occupies significant
portion of the cell BoM. Additionally, silver paste suffers from the constraints of screen printing and inefficient contact to the
underlying doped silicon emitter. Again, silver paste printed cells require excessive thermal processing that can induce
micro-cracks, bowing, and other thermal stresses on the cell, as well as diminish the effectiveness of the passivation layer
on the wafer’s surface
• MacDermid is developing Wet chemical deposition technology to replace silver paste screen printing for electrode
formation.
• The use of wet chemical metallization for electrical contact formation is well known in the electronics industry, as it figures
prominently in the fabrication of printed circuit boards and semiconductors. The adaptation of these technologies to the
metallization of silicon solar cells is already underway for high efficiency cell production.
• The implementation of wet chemical metallization process schemes for the formation of conductors on silicon solar cells is
advantageous for multiple reasons. Using nickel seed layers for silicon contact allows for reduced thermal processing
temperatures from 800-900°C down to <400°C while achieving significantly lower contact resistance than silver paste,
due to the continuous contact of Ni-Si compared to the localized ‘spike’ contacts made by firing paste. Additionally, a novel
patterning techniques, such as inkjet resist or laser ablation, can reduce optical losses caused by shading by as much as
50%, especially when combined with high aspect-ratio plating.
• However, there are still few technical obstacles and will require additional improvements in order to become production-
ready solutions.
Joseph Berwind
Wet Chemical Metallization – Process steps
July 2012
Source: 26th EU PVSEC, 2BV.3.38
• A low-resistance metal-silicon contact is formed using nickle. A
plated nickel seed layer forms a continuous electrical contact with
the silicon which has a lower contact resistance than silver and
doesn’t require high temperature firing. Nickel plated seed layers
can also achieve low contact resistance on shallow-doped
homogeneous emitters, permitting the production of cells with higher
Voc and thus better efficiency.
• Once a low-resistance metal-silicon contact is formed using nickel,
the grid structure is grown using either silver or copper. This serves
to reduce the metal line resistance, which also reduces overall
series resistance in the cell.
• In a further improvement, copper can be used as the plated
conductor. The substitution of copper for silver can reduce the metal
cost significantly, as copper has a comparable bulk conductivity of
59.6 MS/m versus 63.0 MS/m for silver.
• The implementation of a new process technology carries with it
some inherent obstacles and risks which should be addressed by
optimizing barrier layers, adjusting ARC, setting up new thermal
profiles etc.
Joseph Berwind
Wet chemical Metallization – Cost Saving Potential
July 2012
Wet chemical metallization brings significant cost saving potential by improving efficiency and replacing costly silver with inexpensive
copper. We assumed replacement of silver with copper could bring down metallization paste cost by 70%. We expect 1.1%
efficiency gain by wet chemical metallization through reduction of shadowing loss and reducing resistance.
On the other hand, the new process requires additional process step, which we believe, increase capex by 30% and overheads by
5%.
Our calculation suggests that there is a potential for $0.07/W cost saving potential.
*In the above calculation “unit” is with respect to module. For example, $/m2 implies $ per m2 module area. Source: AEI Consulting
Particulars Unit Existing Proposed Comments
Cost of Silver Paste $/M2 $9.26 $2.78 ~70% reduction as silver replaced by copper
Other Material costs $/M2 $104.09 $104.09
Total Material Cost $/M2 $113.34 $106.86
Labor cost $/M2 $22.22 $22.22
Capex $/M2 $277.78 $361.11 ~30% increase due to new process steps
System Life Years 12.00 12.00
Depreciation $/M2 $23.15 $30.09
Overhead costs $/M2 $8.89 $9.33 5% increase due to additional process steps
Total Cost $/M2 $167.60 $168.51
Cell Efficiency % 14.93% 16.03% 1% absolute efficiency gain is assumed
Watts per M2 W/M2 149.25 $160.25
Total Cost $/W $1.12 $1.05
Net Cost Savings $/W $0.07
Joseph Berwind
Rear-Passivated Print on Print
Source: AEI Consulting & 26th EUPVSEC, 2BP.1.4
July 2012
Efficiency Bottleneck in Screen Printing
Front Side Back Side
The silver (Ag) front side metallization
with finger widths around 90 – 100 μm
reflects about 7% of the incident solar
radiation.
The screen-printed full area Al BSF exhibits only a
moderate passivation quality. In addition, only
about 70% of the infrared light reaching the Al
rear contact is reflected back into the silicon
wafer
Problem
Solution
Reduce the front side shading loss by print
on-print (PoP) technique, where the silver
front contact is deposited in two
consecutive screen printing steps
Result
The electrical and optical losses of the full area Al-BSF at
the rear side can be reduced by applying the PERC
(passivated emitter and rear cell) solar cell design. The
dielectric layers are applied as rear passivation:
thermally grown SiO2 PECVD SiNx , SiCx or SiOx and
Al2O3 deposited by ALD or PECVD
• 10 additional fingers are printed on the front
• The Ag front side fingers deposited by print-on print are 90 μm wide and possibility to reduce to 70µm resulting in
significant reduction paste usage
• Cell efficiency increases by 0.90% & possibility for further increase upto 1%
Joseph Berwind
Rear-Passivated PoP - Details
• Majority of the silicon solar cells apply screen printing for the deposition of the silver front and aluminium rear metal
contacts resulting in conversion efficiencies around 18% on monocrystalline silicon wafers.
• Institute for Solar Energy Research Hamelin (ISFH) and DEK Printing Machines in a joint study have demonstrated that the
conversion efficiency can be further increased upto 20% by:
• optimizing a print-on-print process that reduces the silver finger width from 110 μm to 70 μm
• implementing two different dielectric rear surface passivation stacks: (i) a silicon dioxide/silicon nitride stack and (ii)
an aluminium oxide/silicon nitride stack
• The dielectric layer stacks at the rear decrease the surface recombination velocity from Seff,rear = 350 cm/s for a full-area
Al-BSF down to Seff,rear = 70 cm/s and increase the internal reflectance from 61% up to 91%. The improved solar cell rear
increases the conversion efficiency up to an independently confirmed value of 19.4%. The detailed solar cell analysis
reveals potential to further increase the conversion efficiency towards 20% in the near future
(A) Standard screen printed solar cell,
(B) Print-on-print (PoP) screen printed cell,
(C) Passivated emitter and rear cell (PERC) with
Al2O3/SiNx, and with SiO2/SiNx rear
passivation. Laser edge isolation is not
required for the PERC cells due to the single-
sided phosphorus diffusion.
Details of the study
Source: 26th EUPVSEC, 2BP.1.4
July 2012 Joseph Berwind
Rear-Passivated PoP – Process Steps
July 2012
• The process flow of the PoP cells is identical to
standard single-printed solar cells. However, the
Ag front contact is deposited in two consecutive
screen printing steps.
• The number of fingers has to be increased for
PoP in order to minimize resistive losses due to
the significantly smaller finger width.
• The first print is done with commercially available
paste, after drying at 200°C, the second Ag
screen printing step follows using a commercially
available Ag paste Ag2 with low specific resistivity
in order to reduce the finger line resistance.
• The second print is completed by a drying step at
200 °C. Both printing steps are highly accurately
aligned towards the four edges of the silicon
wafer by a vision camera system in the PVP1200
printer which ensures ±12.5 μm alignment
accuracy at 6 sigma and hence an excellent
alignment of the second print on the first print.
Print on Print (PoP) Rear Passivation
• A new process sequence in introduced which
includes a passivation of the cell rear side
applying dielectric layer stacks based on
Al2O3/SiNx and SiO2/SiNx.
• Before texturing and phosphorus diffusion, a
dielectric protection layer is deposited on the rear
side of the solar cell. The dielectric layer acts as
a barrier against the alkaline texturing process as
well as the phosphorus diffusion.
• Accordingly, only the front side of the solar cell is
textured and phosphorus doped with a sheet
resistance of about 70 Ω/□ whereas the rear side
remains planar and boron doped.
• The PSG etch after the diffusion step is slightly
adjusted in order to remove the dielectric layer at
the rear in addition to the PSG at the front. For
the Al2O3-passivated PERC cells the wafers are
coated with a 10 nm plasma-assisted ALD-Al2O3
layer
Source: 26th EUPVSEC, 2BP.1.4
Joseph Berwind
Rear-Passivated PoP – Cost Reduction Potential
July 2012
Source: 26th EUPVSEC, 2BP.1.4
ParticularsConventi
onal
Rear
Passivated
PoPComments
Silver Paste Savings
# of Grid Lines 69 79 to minimize resistance losses
Wafer size mm 156 156
Edge exclusion 0.95 0.95
Line Width mm 0.12 0.07 Width can be reduced to 70µm
Line Height mm 0.03 0.03
Grid line printing area mm3 38.28 25.57
Bus bar printing area mm3 18.38 18.38
Total Printing Area mm3 56.65 43.94
Paste Density g/cm3 3.25 3.25
Paste usage g/cell 0.184 0.143
Paste Price $/g $1.22 $1.22
Paste Cost $/cell $0.23 $0.17
Cell efficiency % 14.93% 15.93% 1% abs gain is assumed
Watts per cell 3.632 3.876
Paste Cost $/W $0.062 $0.045
Paste cost Savings $0.017 Savings in paste usage
Other Costs
Other costs $/M2 $158.34 $158.34
Cell efficiency % 14.93% 15.93% 0.90% abs gain is assumed
Watts per M2 area 149.25 159.25
Other costs $/W $1.06 $0.99
Savings in Other costs $0.07 Savings due to efficiency gain
Total Cost $/W $1.12 $1.04
Total Saving $/W $0.08 Total Saving from PREC & PoP
Particulars Unit Value Remarks
Additional screen Printing line $ $2,400,000
Additional Diffusion Furnace $ $3,100,000
Total Additional Capex $ $5,500,000 Additional equip required
Metal line throughput Cells/hr 2,000 Assumed
Hours per Annum hrs/year 3520 220 days, 8 hrs, 2 shifts
Cells processed per year cells/yr 7,040,000
Efficiency after PREC & PoP % 15.93%
Watts per Cells W 3.876
Watts produced per year W/year 27,283,576
Incremental Capex per Watt $/W $0.20
Equipment Life years 10
Annual Depreciation charges $/W $0.02 Total Incremental cost
Particulars Value
Incremental Benefit $/W $0.08
Incremental Cost $/W $0.02
Net Benefit $0.06
Incremental Benefit (Cost savings) Incremental Cost (Depreciation)
PERC & PoP could result in 1% efficiency gain and reduced silver
paste usage by reducing print width. These could result in $0.08/W
cost saving to cell makers.
However, this requires additional steps: due to additional chemical
deposition and consequent printing. These entails additional capex.
We estimate that these could result in $0.20/W additional capex.
Therefore, the proposed technological development could bring
$0.06/W net benefit. Source: AEI Consulting
Source: AEI Consulting
Joseph Berwind
Direct Printing Technology
July 2012
• The challenge in the front contact grid line printing is to reduce the grid width to decrease the shading loss without reducing the line
conductivity. This implies that increasing the aspect ratio (height/width) is recognized as one of the potential approach for increasing the cell
efficiency.
• nScrypt Inc along with Dupont and others have presented a direct printing technology and an enabling tool for patterning high aspect
ratio fine gridlines for front side metallization on industrial silicon solar cells.
• This technology is based on micro-dispensing and uses a special pump assembly and a printing head, which is able to handle screen
printable paste and thixotropic materials with very high viscosities. This technology is able to print as fast as a half a meter per second.
Multiple nozzle will enable this process to print each wafer in about 2 seconds. To achieve fine lines with high aspect ratios, a silver paste
for front side metallization was modified based on available screen printing paste.
• It was demonstrated that this new technology can bring down the cost by $0.02/W to $0.08/W, by significantly reducing the Ag paste usage
and increasing the cell efficiency.
Source: 26th EU PVSEC, 2CO.15.4
Joseph Berwind
Direct Printing Advantages
July 2012
• Through a unique parallel printing process, multiple lines and bus bars can be printed at speeds exceeding that of screen printing. A modified
commercial screen-printable silver paste is used to pattern the metal lines directly on the top-side of wafers using a process whereby the line
width, pitch, and height can be carefully controlled.
• In a typical case, the line width is as small as 50 microns by 30 microns tall after firing. This is typically more precise than stencil printing, which
generally can only achieve dimensions around 110 to 130 microns width. This type of printing effectively reduces the shading area while
maintaining high grid line conductivity and low contact resistance
• The company claims that solar cells with direct print gridlines show up to a 0.5% absolute efficiency increase over those with screen print
gridlines. As per the company this could save $2 to $3 million annually to solar cell makers.
Source: nScript
Zygo image of nScrypt's advanced
technology to produce high aspect ratio
finger lines
Scanned electron microscope (SEM) photo of
non-contact direct print finger line top view
SEM photo of the screen print finger
line with micron scale shows a wider
line.
Joseph Berwind
Direct Printing Cost Saving Potential
July 2012
ParticularsScreen
Direct
PrintComments
Silver Paste Savings
# of Grid Lines 69 69
Wafer size mm 156 156
Edge exclusion 0.95 0.95
Line Width mm 0.12 0.05 Width can be reduced to 50µm
Line Height mm 0.03 0.03
Grid line printing area mm3 38.28 15.95
Bus bar printing area mm3 18.38 18.38
Total Printing Area mm3 56.65 34.32
Paste Density g/cm3 3.25 3.25
Paste usage g/cell 0.184 0.112
Paste Price $/g $1.22 $1.22
Paste Cost $/cell $0.23 $0.14
Cell efficiency % 14.93% 15.43% 0.30% abs gain is assumed
Watts per cell 3.632 3.754
Paste Cost $/W $0.062 $0.036
Paste cost Savings $0.026 Savings in paste usage
Other Costs
Other costs $/M2 $158.34 $158.34
Cell efficiency % 14.93% 15.43% 0.50% abs gain is demonstrated
Watts per M2 area 149.25 154.25
Other costs $/W $1.06 $1.03
Savings in Other costs $0.03 Savings due to efficiency gain
Total Cost $/W $1.12 $1.06
Total Saving $/W $0.06 Total Saving from Direct Printing
Incremental Benefit (Cost savings) Incremental Cost (Depreciation)
Particulars Unit Value Remarks
Incremental Capex on nScript $ $3,000,000 ~120% screen printing tool
Metal line throughput Cells/hr 2,000 Assumed
Hours per Annum hrs/year 3520 Assuming 220 days, 8 hrs, 2 shifts
Cells processed per year cells/year 7,040,000
Efficiency after direct Printing % 15.43%
Watts per Cells W 3.754
Watts produced per year W/year 26,426,949
Incremental Capex per Watt $/W $0.11
Equipment Life years 10
Annual Depreciation charges $/W $0.01 Total Incremental cost
Particulars Value
Incremental Benefit $/W $0.06
Incremental Cost $/W $0.01
Net Benefit $0.05
Assuming double printing reduces print width to 50µm and
increases overall cell efficiency by 0.50%, incremental cost
saving by introducing direct printing could be $0.06/W.
nScript direct printing tool could cost 20% higher than normal
screen printing tool. Then, assuming 2000 wafer/hour
throughput, this could work out to be $0.11/W capex. Assuming
10 year system life could implies $0.01/W additional
depreciation cost.
The net benefit by introducing direct printing could be $0.05/W
Source: AEI Consulting
Source: AEI Consulting
Joseph Berwind
Future Developments – c-Si Modules
July 2012
Ingot Wafer Cell Module
Glass
WashingTab & String
Module
LayupModule
CuringTrim &
TappingModule
LaminationModule
TerminationFinal
TestingFraming
Multiple dye
doping of EVA
Promising
technology
Could significantly
increase module
efficiency, but still
in development
phase
Cost saving:
$0.02/W
Back sheet
There has been
significant
improvement in
backsheet
technology to
provide high quality
backsheet with
long life and
improved module
performance.
Joseph Berwind
Multiple Dye Doping of EVA
July 2012
• Luminescent down-shifting of the incident light can improve the short-
wavelength (λ) response of photovoltaic (PV) modules without altering
the structure and manufacturing methodology of the solar cells.
• School of Engineering and Physical Sciences in a study, have
optimized the absorption properties of luminescent encapsulation layers
made by poly- ethylene vinyl acetate (EVA), by using multiple dye-
doping and varying the concentration of the most promising dye.
• These layers were used to encapsulate multi-crystalline silicon (mc-Si)
solar cells. This led to enhancement of an external quantum efficiency
(EQE) up to 25% for the region 300 nm < λ < 400 nm, leading to an
efficiency increase of Δη =0.3% absolute for a 59 cm2 single-cell mini-
module.
• The starting material for the luminescent encapsulation sheets was
commercially available nonformulated EVA pellets (DuPont PV1650).
EVA sheets were made, some clear (containing no luminescent
materials) and some doped with commercially-available organic
luminescent dyes. Three dyes were selected: BASF Lumogen-F Violet
570, Yellow 170 and Orange 240 [9, 10, 11], which are referred to as V,
Y and O in all Tables and Figures. The dye concentrations used were
0.13% w/w, 0.07% w/w and 0.05% w/w, respectively, in order to achieve
an optical density (OD) of 3 at peak absorption λ of each dye for 0.5
mm sheet thickness.
Source: 26th EU PVSEC, 1DV.2.45
Joseph Berwind
EVA Multiple Dye Doping: Cost saving potential
July 2012
Source: AEI Consulting
Particulars Unit Existing Proposed Comments
Cost of EVA - per m2 module $/m2 $6.47 $7.76 20% premium for multiple dying
Other Costs - per m2 module $/m2 $144.37 $144.37
Total Costs $/m2 $150.84 $152.13
Module Efficiency % % 13.43% 13.73% 0.30% absolute efficiency gain
Watts per M2 W/m2 134.325 137.325
Total Cost $/W $1.12 $1.11
Net Cost Saving $/W $0.02
• By optimizing the light absorption properties by doping dyes to the EVA, module efficiency could be increased by 0.30%,
This implies, per M2 area, additional 3 Wp power can be produced.
• We assumed this multiple dye doping could cost 20% of EVA cost.
• There is a potential for saving $0.02/W by adopting this new approach.
*In the above calculation “unit” is with respect to module. For example, $/m2 implies $ per m2 module area.
Joseph Berwind
Backsheet Improvements
July 2012
• A backsheet is a material that is used at the backend of the panel. Most backsheets are multilayer composites which enhance the performance of PV
modules in many ways. They provide protection from the environment, electrical insulation, and contribute to aesthetics. Backsheets must provide
these properties throughout the life of modules, which today can exceed 25 years.
• The following are the main functions of backsheet:
• Physical protection: puncture and abrasion resistance
• Moisture protection: minimize moisture vapor ingress
• Electrical Insulation: isolate the cells and connections from the environment
• Long term protection: UV Stable and moisture stable over the life of the module, protects the p layer.
• Color: provide the color that helps the modules blend into environment
• More power: can improve efficiency through internal reflection
• The backsheet is laminated at the back of PV cells in vaccum laminator at a very high temperature (~140 C)
Source: Solar Gard Photovoltaic
Joseph Berwind
Backsheet - Characteristics
July 2012
The backsheet has a predominant role in providing long term protection to the solar module. It has been established that the main reason for PV system
failure includes degradation of packaging materials, adhesional loss, degradation of interconnects, degradation due to moisture intrusion, and
semiconductor device degradation.
The backsheet used in photovoltaic module should be superior in mechanical strength and also in durability mainly for protect ion of the rear face of the
photovoltaic module. Hence we consider the following are the critical parameters to be considered in selecting the backsheet:
Peel strength: The peel strength is the measure of the average force to part two bonded materials. The strength is calculated during a 180° peel
test at a constant speed rate by divided the average force required during the test by the unit width of the bonded samples. Hence it is desirable
to have higher peel strength for backsheet to have a good life expectancy.
Water Vapor Transmission Rate (WVTR): This is a measure of the passage of water vapor through a substance. The main quality of the
backsheet is determined by its water vapor barrier property. If the backsheet cannot block water vapor in the air, the vapor will enter and break
the bonding between the EVA layer and the panel. When water vapor contacts the solar panel directly, oxidation occurs and electrical function
can be lost.
Dimensional stability/Shrinkage: This is the ability of a substance or part to retain its shape when subjected to varying degrees of temperature,
moisture, pressure, or other stress. A lower dimensional stability % is required for vacuum lamination, as this is done at a very high temperature.
Dielectric Strength: This is a measure of the electrical strength of a material as an insulator. Dielectric strength is defined as the maximum
voltage required to produce a dielectric breakdown through the material and is expressed as Volts per unit thickness. The higher the dielectric
strength of a material the better its quality as an insulator.
Joseph Berwind
Backsheet - Database
• We created a database of backsheets by considering the major backsheet players’ datasheets. If we missed one of particular interest we will gladly recalculate the results for you.
• Our database has 39 backsheets offered by 13 players. Different cos. apply different chemistries. On the whole we found 23 chemistry types. PVF/PET/PVF was the most popular chemistry.
PVF: Poly Vinyl Fluoride
PET: Polyethylene terephthalate
ETFE:Ethylene tetrafluoroethylene
FPE:fluoropolyethylene resin
PVDF: Polyvinylidene Fluoride
Protekt : is a multi-layered laminate
FPF: Fluor polymerPTI: polyester film
WPO: low - oligomer white polyester filmSN: Saturated Nonwoven
PA:Polyamide
July 2012 Joseph Berwind
Backsheet - Evaluation
July 2012
• Peel Strength: The peel strength should be higher for the backsheet to avoid delaminating effects in the long run. We noticed the average peel strength for all backsheets in the database to be 4.84 N/mm, with minimum 2.50 and maximum 12. We consider a backsheet as “excellent” if peel strength >=6 and “bad” if peel strength is <3
• Water Vapor transmission (WVTR): The backsheet must block the water vapor in the air from entering the panels. WVTR averaged 2.75 g/m2/day with a minimum of 0.80 and a maximum of 9 for our database of backsheets. We consider a backsheet “excellent” if WVTR is <=1.50 and “bad” if WVTR is >3.50.
• Dimensional Stability: If the backsheet changes its shape substantially under high temperature lower manufacturing yield can result. The average dimensional stability we note as 1.21% with a minimum of 0.05% and a maximum of 5%. We consider a backsheet “excellent” if its dimensional stability is <=0.8% and “bad” if its dimensional stability is >1.5%.
• Dielectric strength: If the dielectric strength of a backsheet is low, there are chances of safety hazards in case of voltage fluctuations. We got an average dielectric strength of 20 kW with a maximum of 30 and minimum of 13 for the backsheets considered. We consider a backsheet “Excellent”, if the dielectric strength >=25 and “Bad” if this is <15.
0 1 2 3 4 5 6 7 8 9 10 11 12
Bad
Good
Excellent
0 1 2 3 4 5 6
Excellent
Good
Bad
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
Excellent
Good
Bad
0 3 6 9 12 15 18 21 24 27 30
Bad
Good
Excellent
• For the purpose of evaluating and selecting the best backsheet, we considered 4 criteria:
Joseph Berwind
Backsheet - Ranking
July 2012
• Peel strength was excellent for EVA/PET/EVA and Protekt/PET/EVA backsheets. 9 out of 12 PVF/PET/PVF backsheets are “good” with respect to Peel strength.
• WVTR was excellent for PVF/Al/PET backsheets and bad for EVA/PET/EVA, FPE/PET/WPO/Primer & Protekt/PET/EVA backsheets.
• Dimensional stability was “good” for almost all kinds of backsheets.
• Dielectric strength was “Bad” for EVA/PET/EVA and Protekt/PET/EVA backsheets. This is “Excellent” for PVG/AL/PET backsheet.
Joseph Berwind
Backsheet & Module Performance
July 2012
Backsheets predominant role is to provide long term protection. Thus, module performance largely depends on the quality of the backsheet. For example, if the backsheet is of poor quality and has a high WVTR, annual power degration could increase significantly. Similarly, if dimensional strength is poor, delamination can lower yield and increase the cost of manufacturing. Finally, if peel strength is very low, the system life could be at stake as there could be delaminating risk in the field during long term continuous exposure to the weather.
For the purpose of examining the impact of backsheets on module performance and to select the best backsheet, we consider the following relationship between backsheet parameters and module performance:
Peel Strength: If peel strength is “excellent” it can reduce annual power degradation by 0.2% and increase system life by 2 years. If peel strength is “bad” it could increase annual power degradation by 0.2% and decrease the system life by 2 years.
WVTR: If WVTR is “excellent” it could decrease the annual power degradation by 0.2%; if it is “bad” it could decrease the annual power degradation by 0.2%.
Dimensional stability: If dimensional stability is “excellent”, it could increase the lamination yield by 3%, if it is “bad” it could decrease the lamination yield by 3%.
Joseph Berwind
Backsheet quality & LCOE
July 2012
• Our analysis shows a significant variation in LCOE
for varying quality of backsheet used.
• A backsheet with “Excellent” quality in all the
parameter (Peel, WVTR & Dim strength) could
generate an LCOE of ¢31.9/kWh while a backsheet
with “Bad” quality for all the parameters could
generate an LCOE of ¢38.4/kWh in Germany.
• Peel strength has a very high impact on the final
LCOE. A backsheet with “Bad” peel strength
generates a higher LCOE of ¢36.5/kWh even
though the other parameters are “Excellent”.
• Dimensional stability has very low impact on the
final LCOE. A backsheet with “Bad” dimensional
stability generates as low as ¢32.3/kWh when the
other parameters are “Excellent”.
Existing LCOE structure
Joseph Berwind
Backsheet quality & LCOE
July 2012
• The LOWEST LCOE of ¢32.07/kWh is noticed for Isovoltabacksheet which uses FPF/PET/PA chemistry. This backsheet was “Excellent” with respect to Peel strength & WVTR, but “Good” with respect to dimensional stability.
• The HIGHEST LCOE of ¢38.09/kWh is noticed for a Dunsolar’s backsheet which uses Primer/PET/Primer chemistry. This backsheet was “bad” with respect to Peel strength & WVTR and “Good” with respect to dimensional strength.
Joseph Berwind
Cherry Picking Backsheets
July 2012
• While selecting the backsheet one should ensure that it generates lowest LCOE and whose dielectric strength is highest. This way one can ensure long term better performance as well as safety.
• Our analysis shows that Isovolta’s backsheets (38 & 39) have lowest LCOE with considerably higher dielectric strength. Solar Gard (11) has relatively high dielectric strength with low (next to Isovolta) LCOE.
Joseph Berwind
Thin Film Developments
July 2012 Joseph Berwind
Thin Film – Further Developments
July 2012
a-Si technology Tandem a-Si technology
CIGS CdTe
• In-house TCO coating
• Replace back glass with laminate back sheet
• Improve Silane usage by improving plasma deposition
• Increase Module Efficiency by optimizing light trapping, improving buffer layer design & reduce scribe line width.
• Improved cell design by inserting a transparent insulating layer, with a suitably chosen optical index in between the cells
• Adding an anti-reflective coating (ARC) at the air/glass interface and glass/TCO interface.
• Nanocrystalline silicon oxide (nc-SiOx:H) doped layers
• Novel substrates such as ZnO bi-lyers, Multi-scale ZnOtextures, nanomoulded ZnO electrodes, Ultraviolet nanoimprint lithography.
• Moving from mechanical to laser patterning to reduce the dead area of solar modules and thereby increase the module efficiency.
• Window optimization by replacing the traditional CdS buffer with more transparent materials such as Zn(O,S).
• New type of series connection by a superior arrangement of the patterning grooves aiming at considerably increasing the active area and thus efficiency.
• Depositing the un-doped SnO2 thin films with different thickness as buffer layers to improve the transparency.
• Close Spaced Sublimation (CSS) deposition tools for high deposition rates and an excellent utilization of the raw material
• New type of series connection by a superior arrangement of the patterning grooves aiming at considerably increasing the active area and thus efficiency.
Joseph Berwind
Thin Film – Cost Reduction Potential
July 2012
$0.90
$0.6527% Cost
saving
Potential
Today 2016
$0.75
$0.5034% Cost
saving
Potential
Today 2016
$1.00
$0.6931% Cost
saving
Potential
Today 2016
$0.74
$0.5328% Cost
saving
Potential
Today 2016
Joseph Berwind
a-Si Cost Improvement Areas
July 2012
Source: AEI Consulting
Focus Area Details Cost Impact
In-house TCO
coating
Generally TCO coated glass is purchased. In-
house TCO coating could reduce the glass cost
substantially.
The glass cost is assumed to be
reduced by 10%. The capex could
increase 30%
Replace back glass
with laminate back
sheet
The cost of laminate back sheet could be lower
than glass. Again, this could improve internal
reflection, increase heat shedding, and reduce
weight.
This could reduce back glass cost by
10%.
Improve Silane
usageImproved plasma deposition with confined
plasma, and in-situ exhaustThis could reduce silane usage by
10%
Increase Module
Efficiency
• Buffer layer design, which is a film design
technique used to reduce lattice mismatch
between layers and improve stability.
• Multi-junction and light-trapping techniques
• Limit scribe line width to increase active area
We assume further scope to increase
efficiency by 2.5% in short to medium
term (by 2015). For example, Trony is
currently operating at 6.5% efficiency
and has demonstrated 7.3%
efficiency at production stage and
targets at 9% by next year
Source: AEI Consulting
Joseph Berwind
a-Si – Future Cost Reduction Potential
July 2012
• There are significant cost reduction potential through continuous efficiency improvement.
• Also, in-house TCO and replacing back glass with backsheet could meaningfully reduce the cost.
• By 2015-2016, the cost of production could drop to $0.65/W level, when factored the above developments.
Source: AEI Consulting
Particulars Unit Existing Proposed Comments
TCO Glass $/m2 $12.35 $9.88 20% saving due to in-house & backside replacement
Silane $/m2 $3.48 $3.13 10% Saving is assumed
Other Materials $/m2 $18.26 $18.26
Total Material cost $/m2 $34.08 $31.27
Labor Cost $/m2 $10.00 $10.00
Capex $/m2 $125.00 $162.50 30% additional cost due to in-house TCO
Equipment Life years 12 $12.00
Depreciation $/m2 $10.42 $13.54
Overhead $/m2 $4.00 $4.00
Total cost $/m2 $58.50 $58.81
Module Efficiency % % 6.50% 9.00% 2.50% increase in efficiency
Watts per m2 W/m2 65 90
Total Cost $/W $0.90 $0.65
Net Cost Saving $/W $0.25
Joseph Berwind
a-Si/µc-Si Improvement potential
July 2012
Short circuit current (ISC)
This is current through solar cell
when there is no voltage
Module efficiency implies power produced (Pmax) per module area. For example, if
module area is 1.5m2 and module’s power (Wp) is 100, then efficiency is (1.5/100/1000) =
6.67%
Open Circuit Voltage (VOC)
This is the voltage through solar
Cell when there is no current
Fill Factor (FF)
This is a correction factor. This
represents the % power transmitted
This depends on the light speed, light
wavelength & Energy gap (Eg) of
materials used. Assuming Eg of a-Si
materials as 1.75eV, theoretical
maximum ISC would be 2.54
• Improve light trapping & absorption
• Optimize p-layer energy gap (Eg), doping
concentration and film thickness without
compromising film uniformity.
• Improve the quality and transmission of AZO
layer which has special role in controlling light
transmission & light scattering back to the
device to improve absorption in the i layer
• Achieve film uniformity by improving deposition
by optimizing deposition parameters such as
gas flow ratio, power, pressure, p-i-n spacing
etc
• Optimize i & n layer deposition conditions
without sacrificing light induced degradation
• Optimize lasers to improve scribe
quality to achieve low series and
contact resistance.
This depends on the module structure.
This can be increased by optimizing
the deposition parameters.
This depends on the resistance loss
occurred during the photovoltaic
process. Higher resistance loss
implies lower FF and consequently
lower efficiency.
Efficiency Improvement Potential Areas
Source: AEI Consulting
Joseph Berwind
a-Si/µc-Si – Module Efficiency limit
July 2012
• The solar cell efficiency is governed by Isc, Voc and FF.
• Voc and FF remain always very low in a-Si solar cells due to the p–i–n-type structure and difficulty in doping such amorphous
layers.
• However there is a scope for further increasing Isc, but this is limited because thin i-layers should be used to avoid light
induced degradation effect, reduce the deposition time and cost.
• Assuming a-Si solar cell with energy gap of 1.75eV, maximum Jsc could be 20.5mA/cm2 or Isc is 2.47
Parameter Maximum limit
Jsc (mA/cm2) 20.5
FF (%) 91
Voc 1300
Efficiency % 24.3%
• Current efficiency is 6% to 7%. Maximum efficiency for a-Si considering the bandgap, light wavelength and semiconductor
material energy gap is 24.3%. This need not be achievable currently, given the cost and technology constraints.
Source: A. V. SHAH ET AL
Joseph Berwind
a-Si/µc-Si – Efficiency improvement potential
July 2012
Source: 26th EU PVSEC, 3CO.1.1
Efficiency improvement potential areas
ARC Coating Nanocrystalline Silicon Oxide Novel substrates
• In a typical a-Si/µc-Si panel,
primary reflections of 4% at the
air/glass interface and 2% at the
glass/zinc oxide (ZnO) interface
are calculated.
• By adding an anti-reflective
coating (ARC) at the air/glass
interface, short-circuit current
density, and hence efficiency,
can be increased by up to 4%
relative.
• Similarly, an ARC such as a
titanium dioxide (TiO2)-ZnO
layer can be applied at the
glass/TCO interface as well.
Glass texturing can also be
employed to reduce reflection
losses
• The use of nanocrystalline silicon oxide
(nc-SiOx:H) doped layers combined with
optimized intrinsic layers deposition
processes result in an enhanced
resilience of the cell design to substrate
texture, allowing one to convert the high
light trapping potential of some textured
substrates into increased device
efficiencies
• The developed nc-SiOx:H doped layers
were combined with best i-layer
processes to improve μc-Si:H p-i-n
junction performance on textured
LPCVD ZnO front electrodes, resulting in
very high efficiency μc-Si:H solar cells:
small area cells (0.25 cm2) with an
efficiency of 10.6% without antireflection
coating on the front glass were achieved,
By further using an innovative textured
anti-reflection layer imprinted at the
air/glass interface a record efficiency of
10.9 % was attained
• Four types of innovative
substrates are identified:
ZnO bi-lyers, Multi-scale
ZnO textures, nanomoulded
ZnO electrodes, Ultraviolet
nanoimprint lithography.
Cell Design
• Total SA, OC Oerlikon and
Applied Materials have
developed a an alternative
way of fabricating tandem
amorphous/microcrystallin
e silicon solar cells, namely
four-terminal devices,
where the cells are stacked
up optically, but are
independent electrically.
This is achieved by
inserting a transparent
insulating layer, with a
suitably chosen optical
index in between the cells.
Carrier collection is insured
through one additional
transparent oxide layer for
each junction
Joseph Berwind
a-Si/Tandem Cell Design Optimization
July 2012
Source: 26th EU PVSEC, 3BO.4.4
• Multi-junction devices where individual cells with different band gaps are stacked
up in series, both optically and electrically, allowed significant performance gains,
and stabilized efficiencies on the order 11.9% and 12.5% have been obtained for
tandem micromorph® and triple junction cells respectively
• Further efficiency improvements are hindered by metastability issues associated
with amorphous silicon [4], as well as by the need to equalize the current of each
of the individual junctions in their serial configuration. Carefully optimized, light
trapping schemes have been implemented in order to insure current matching
conditions, and any change in one of the constituting cells requires renewed
tweaking of the intermediate reflector.
• Total SA, OC Oerlikon and Applied Materials have developed a an alternative
way of fabricating tandem amorphous/microcrystalline silicon solar cells, namely
four-terminal devices, where the cells are stacked up optically, but are
independent electrically. This is achieved by inserting a transparent insulating
layer, with a suitably chosen optical index in between the cells. Carrier collection
is insured through one additional transparent oxide layer for each junction.
Joseph Berwind
a-Si/µc-Si – Anti Reflective Coating
July 2012
Source: 26th EU PVSEC, 3CO.1.1
• a-Si/tandem cells generally have very low efficiency of 6% to 7%
compared to c-Si solar cells of 15% to 17%. But there are several
potential for further improvements.
• At PV-Lab Neuchâtel, recent efforts have been made to find
innovative solutions to further increase micromorph solar cell
efficiency in view of the well known difficulty of growing thin film
silicon solar cells with good and uniform electrical performance on
substrates with large light trapping potential.
• On of the improvement area is increasing the light trapping
potential. Light scattering takes place at rough interfaces, whereas
reflection losses typically occur at the air/glass and glass/front
transparent conductive oxide (TCO) interfaces. Primary reflections
of 4% at the air/glass interface and 2% at the glass/zinc oxide
(ZnO) interface are calculated. The light trapping can be increased
by Anti Reflective Coating (ARC) at air/glass interface and at
Glass/TCO interface. Glass texturing can also be employed to
reduce reflection.
Joseph Berwind
a-Si/µc-Si – Nanocrystalline Silicon Oxide
July 2012
Source: 26th EU PVSEC, 3CO.1.1
• The use of nanocrystalline silicon oxide (nc-SiOx:H) doped layers
combined with optimized intrinsic layers deposition processes
result in an enhanced resilience of the cell design to substrate
texture, allowing one to convert the high light trapping potential of
some textured substrates into increased device efficiencies.
• The use of nc-SiOx:H doped layers was shown to partially reduce
the effect of cracks on global cell performance by possibly
quenching current leaks.
• Furthermore, the amorphous O-rich matrix of these nc-SiOx:H
layers could possibly act as a barrier against diffusion of water
vapor or contaminants into the absorber layer through such
cracks.
• The optimized cell design then also permit the development of
micromorph tandem cells on front LPCVD ZnO electrodes
optimized for efficient light trapping.
Joseph Berwind
a-Si/µc-Si – Novel Substrates
July 2012
Source: 26th EU PVSEC, 3CO.1.1
Novel substrates for a-Si/µc-Si Cells
ZnO bi-lyers Multi scale ZnO Structure Nanomoulded ZnO electodes Ultraviolet nanoimprint lithography
LPCVD ZnO bi-layers are
obtained by growing a highly
boron-doped nucleation phase
followed by a non-intentionally
doped (n-i-d) bulk ZnO layer.
The bi-layer configuration
enables the growth of large
pyramids with enhanced light
scattering, while retaining a low
free carrier absorption and high
conduction. Indeed, sheet
resistances as low as < 20 /sq
were measured, suggesting that
boron diffuses from the
nucleation phase through the
bulk, probably via grain
boundaries
The idea behind LPCVD ZnO
multi-scale texturing is to achieve
better light scattering in both the
top and bottom cells by stacking
small pyramids on top of large
ones. A thin (< 5 nm) n-doped µc-
Si:H layer was deposited after the
growth of large ZnO pyramids to
inhibit epitaxial growth of a second
ZnO layer resulting in smaller
pyramids. Various surface
morphologies can be obtained with
this technique by varying either the
thickness of the individual ZnO
layers or the post-plasma
treatment time.
Nanomoulding method completely
frees ZnO films from
morphological constraints imposed
by nature, and allows one to
transfer or replicate an arbitrary
master structure made from an
arbitrary (transparent or opaque)
master material onto a transparent
ZnO electrode. It has been further
demonstrated that conversion
efficiencies for thin-film silicon
solar cells deposited on
nanomoulded electrodes can be
as high as those on state of- the-
art nanotextured electrodes,
proving that ZnO nanomoulding
allows one to go far beyond proof-
of concept devices. Nanomoulding
therefore provides a promising
experimental platform for exploring
the light trapping performance of
specifically designed photonic
nanostructures directly in high-
efficiency solar cells.
Nanoimprinting is performed into a
deformable UV-curable lacquer, which
is both transparent and insulating. An
additional transparent conductive layer
is therefore required to obtain an
operational front electrode.
Hydrogenated indium oxide (In2O3:H)
is used with extraordinarily high
mobilities (above 100 cm2/V.s) at low
carrier densities (in the low 1020 cm-3
range) resulting in excellent near-
infrared transparency. Compared to
state-of-the-art ZnO front electrodes,
the combination of nanoimprinted
superstrate with In2O3:H not only
leads to a substantial current
enhancement of 1 mA/cm2 in the
microcrystalline bottom cell due to the
improved near-infrared transparency
of In2O3:H and the excellent light
scattering provided by the
nanoimprinted pyramidal morphology,
but also an increase of 1 mA/cm2 in
the amorphous top cell due to the
higher band gap of In2O3:H compared
to ZnO.
Joseph Berwind
a-Si/µc-Si – Efficiency Improvement potential
July 2012
Source: AEI Consulting
7.50%
13.00%
0.00%
2.00%
4.00%
6.00%
8.00%
10.00%
12.00%
14.00%
Current
Efficiency %
Cell design
Optimization
Nanocrystalline
Silicon Oxide
ARC Coating ZnO bi-layer Multi-scale ZnO
Structure
Nanomoulded
ZnO electrodes
UV Nanoimprint
lithography
Future
Efficiency %
a-Si/Tandem: Cell efficiency Improvement Potential
Joseph Berwind
a-Si/µc-Si – Cost impact of efficiency gain
July 2012
Source: AEI Consulting* Keeping all other things constant
$0.75
$0.43
$0.00
$0.10
$0.20
$0.30
$0.40
$0.50
$0.60
$0.70
$0.80
Current
Efficiency %
Cell design
Optimization
Nanocrystalline
Silicon Oxide
ARC Coating ZnO bi-layer Multi-scale ZnO
Structure
Nanomoulded
ZnO electrodes
UV Nanoimprint
lithography
Future
Efficiency %
a-Si/Tandem: Cost reduction Potential
Joseph Berwind
Tandem a-Si/µc-Si – Future Cost Reduction Potential
July 2012
• There are significant cost reduction potential through continuous efficiency improvement. It has been suggested that
the efficiency could be increased from current 7.50% to 13%.
• These efficiency increase come from novel cell designing and use of novel substrate materials. We assume these
could entail 50% increase in capex and 20% increase in material costs.
• By 2015-2016, the cost of production could drop to $0.50/W level, when factored the above developments.
Source: AEI Consulting
Particulars Unit Existing Proposed Comments
TCO Glass $/m2 $12.35 $12.35
Silane $/m2 $2.81 $2.81
Other Materials $/m2 $16.67 $20.01 20% additional cost is assumed
Total Material cost $/m2 $31.83 $35.17
Labor Cost $/m2 $10.00 $10.00
Capex $/m2 $125.00 $187.50 50% additional capex for inhouse TCO & technology
Equipment Life years 12 12
Depreciation $/m2 $10.42 $15.63
Overhead $/m2 $4.00 $4.00
Total cost $/m2 $56.25 $64.79
Module Efficiency % % 7.50% 13.00% 5.50% efficiency gain is demonstrated
Watts per m2 W/m2 75 130
Total Cost $/W $0.75 $0.50
Net Cost Saving $/W $0.25
Joseph Berwind
CIGS – Further developments
July 2012
Source: 26th EU PVSEC, 3BO.4.5
Efficiency improvement potential areas
Laser Scribing
Moving from mechanical to laser patterning
is a measure to reduce the dead area of
solar modules. Considering the state of the
art mechanical pattering processes for P2
and P3, the active area of monolithically
integrated solar modules is reduced by
several 100 μm per cell as a result of the
scribe width of the three individual pattern
trenches and the required spacing in
between. As laser patterning avoids
chipping adjacent to the trench, spacing
between P1, P2 and P3 can be significantly
decreased resulting in more active area and
increased short circuit current. Therefore
module power can increase by up to 4%
relative.
Optimization of Window Layer
The ZnO:Al window layer sputtering process
can be optimized in a way to improve the
Haacke factor. This enhancement of the
Haacke factor can be achieved both by a
lowered sheet resistance of the window layer
as well as by an increased light transmittance
through the window layer. The better electrical
conductivity of the window layer additionally
lowers the series resistance and raises the fill
factor. The increased light transmittance also
helped to slightly improve the short-circuit
current Jsc
Series conncection
IEK5 – Photovoltaik has
introduced a new type of
series connection that can
considerably increase the
active area and thus the
efficiency of a thin film
module by a superior
arrangement of the
patterning grooves
Joseph Berwind
CIGS – Laser Scribing – Introduction
July 2012
Source: 26th EU PVSEC, 3DV.2.9 & 3CO.2.1
• The AVANCIS manufacturing sequence starts with the soda-lime glass covered by
a silicon nitride (SiN) alkali-barrier layer and the molybdenum (Mo) back electrode
as a substrate. After the P1 laser patterning, the first step in the CIGSSe absorber
formation is the elemental precursor film deposition consisting of DC-magnetron
sputtering of Cu-In-Ga:Na and thermal evaporation of selenium (Se). The second
step is the reaction of the elemental precursor stack to form the CIGSSe
semiconductor. Rapid thermal processing (RTP) is conducted in an infrared
heated furnace capable of high heating rates in a sulfur containing ambient. The
CdS buffer layer is deposited in a chemical bath deposition process, the ZnO:Al
window layer by magnetron sputtering
• In the baseline process mechanical scribing is applied for the
patterning of the absorber (P2) and the ZnO (P3). Before
lamination, the thin film is edge deleted and a bus bar is
contacted to the Mo back electrode by ultrasonic welding. The
standard module size is 30*30cm2 for the R&D pilot line. An
antireflective (AR) coated front glass is used to increase the
short-current density as described in
Joseph Berwind
CIGS – Laser Scribing – Details
July 2012
Source: 26th EU PVSEC, 3DV.2.25
• Majority of CIGS modules produced today uses mechanical
scribing process. By replacing mechanical scribing with laser
scribing suggests that significant improvements are possible in
the CIGS technology.
• In case of a CIGS panel laser scribing can reduce dead zone
from 500 μm to 200 μm, and a gain in active area can be
achieved of more than 5 % (Based on a PV panel that includes
100 cells in an area of 1200 mm x 600 mm).
• A new generation of picosecond (ps) lasers, that are now available to
the market, enabling the scribing process without a big impact on the
price tag of such a system. If these lasers would also be fiber guided
the whole system setup would be much more stable and reliable
without losing speed.
• A study by Hemut Vogt & Others (Avanics Gmbh) showed that
patterning of all three steps (molybdenum back electrode p1, the
absorber layer p2 and the ZnO front electrode p3) by picosecond laser
processes resulted in achieving module efficiency of 14.7% on 30*30
cm2 Avanics module.
Joseph Berwind
CIGS – Window Optimization
July 2012
Source: 26th EU PVSEC, 3CO.3.1
• Currently CIGS modules have an average efficiency of ~10% and also the cost of production is relatively high.
• Zentrum für Sonnenenergie- und Wasserstoff-Forschung, Baden-Württemberg (ZSW) made a detailed analysis of the
parameters for increasing the efficiencies of CIGS on glass.
• It has been noted that further potential for increasing efficiency arises from window optimisation by replacing the
traditional CdS buffer with more transparent materials such as Zn(O,S). By using alternative substrate materials such
as novel types of polyimide films, mild steel foils or special enamelled steel, flexible or non-flexible CIGS modules with
less weight and new features are under investigation.
Joseph Berwind
CIGS – Series Connection concept
July 2012
Source: 26th EU PVSEC, 3BO.4.5
• In case of thin-film, interconnection process of individual cell stripes
is embedded in the solar cell manufacturing. This processing step is
accomplished by an alternating sequence of layer deposition and
layer patterning steps. In contrast, for wafer based technologies the
cell manufacturing and the series connection process are
separated.
• A disadvantage of the integrated series connection is the formation
of so called “dead areas”, where no electrical power is produced
since they exclusively serve for the series connection. The width of
these dead areas is given by the applied patterning technique and
is typically in the range of 250 – 500 μm. The width of the active
area is usually in the range of 5 – 15 mm
• IEK5 – Photovoltaik has introduced a new type of series connection
that can considerably increase the active area and thus the
efficiency of a thin film module by a superior arrangement of the
patterning grooves
• It has been demonstrated that this can be applied to a laser
patterned thin film silicon solar module. For this module the new
series connection leads to an relative efficiency increase of
approximately 3%.
Joseph Berwind
CIGS – Efficiency Improvement
July 2012
Source: AEI Consulting
10.0%
17.0%
0.0%
2.0%
4.0%
6.0%
8.0%
10.0%
12.0%
14.0%
16.0%
18.0%
Current
Efficiency %
Laser Scribing Window Layer
Optimize
Series
connection
Future
Efficiency %
CIGS: Efficiency Improvement Potential
Joseph Berwind
CIGS – Future Cost Reduction Potential
July 2012
• There are significant scope for further efficiency improvement. On a conservative basis, we assumed 7% efficiency
improvement potential in the medium term. This should reduce the cost significantly.
• The efficiency gain is mainly brought by laser scribing technology. Being a new technology, we assume this could entail
50% incremental capex.
• Again, window layer optimization could bring further efficiency gain. However this implies replacing CdS with Zn. We
assume these could involve 20% increase in opex.
Source: AEI Consulting
Particulars Unit Existing Proposed Comments
TCO Glass $/m2 $12.35 $12.35
Other Materials $/m2 $54.15 $64.98 20% additional cost on window optimization
Total Material cost $/m2 $66.50 $77.33
Labor Cost $/m2 $14.00 $14.00
Capex $/m2 $125.00 $187.50 50% additional cost due to Laser Scribing
Equipment Life years 10 10
Depreciation $/m2 $12.50 $18.75
Overhead $/m2 $7.00 $7.00
Total cost $/m2 $100.00 $117.08
Module Efficiency % % 10.00% 17.00% 7% increase in efficiency
Watts per m2 W/m2 100 170
Total Cost $/W $1.00 $0.69
Net Cost Saving $/W $0.31
Joseph Berwind
CdTe – Further developments
July 2012
Source: 26th EU PVSEC, 3BO.4.5
Cost reduction potential areas
SnO2 buffer Layer
Depositing the un-doped SnO2 thin
films with different thickness, as
buffer layers, by magnetic reactive
sputtering could significantly improve
the transparency of CdTe solar cells.
Also this could significantly improve
response of short wave resulting in
achieving higher Jsc & FF values.
Overall, this could result in 1% to 2%
efficiency gain.
CSS Deposition
Roth&Rau AG is offering Close
Spaced Sublimation (CSS) deposition
tools for CdTe solar modules. The
advantages of this technique are high
deposition rates of some μm per
minute and an excellent utilisation of
the raw material, leading to low
production costs and competitive
module prices
Series connection
IEK5 – Photovoltaik
has introduced a new
type of series
connection that can
considerably increase
the active area and
thus the efficiency of a
thin film module by a
superior arrangement
of the patterning
grooves
Joseph Berwind
CdTe – SnO2 Buffer Layer
July 2012
Source: 26th EU PVSEC, 3DV.2.39
• Decreasing CdS thickness is one of the effective ways to improve the conversion efficiency of CdS/CdTe solar cells.
However, the decrease in CdS thickness may lead to the adverse effects on devices. In order to eliminate these
effects, it is necessary to introduce a buffer layer between CdS and front electrode.
• Guanggen Zeng & others have demonstrated that use of SnO2 buffer layer could increase the cell efficiency
significantly. The un-doped SnO2 thin films with different thickness, as buffer layers, have been deposited by magnetic
reactive sputtering. Then the films have been annealed at 550℃ in N2/O2=4:1 ambience for 30 minutes. After
annealing, surface topography has been improved, and higher than 80% transparency has been obtained.
• The performances of cells with un- doped SnO2 show that the response of short-wave has been improved; higher Jsc
and FF have been achieved.
Joseph Berwind
CdTe – CSS Deposition
July 2012
Source: 26th EU PVSEC, 3DV.2.41
• Roth&Rau AG is offering Close Spaced Sublimation (CSS)
deposition tools for CdTe solar modules. The advantages of this
technique are high deposition rates of some μm per minute and an
excellent utilization of the raw material, leading to low production
costs and competitive module prices.
• Recently Roth & Rau established in close cooperation with the
Fraunhofer Institute for Electron Beam and Plasma Technique
(FEP) in Dresden a laboratory a pilot line which is dedicated to
research on CdTe solar cells. The pilot line covers all relevant
process steps such as TCO deposition, CSS deposition of
semiconductor layers, wet chemical surface treatment and
cleaning, back contact formation by thermal evaporation and/or
sputtering.
• The advantage of these tools are a larger
deposition width for substrate sizes of
120x160cm² and a high utilization of raw
materials >95%.
Joseph Berwind
CdTe – Series Connection concept
July 2012
Source: 26th EU PVSEC, 3BO.4.5
• In case of thin-film, interconnection process of individual cell stripes
is embedded in the solar cell manufacturing. This processing step is
accomplished by an alternating sequence of layer deposition and
layer patterning steps. In contrast, for wafer based technologies the
cell manufacturing and the series connection process are
separated.
• A disadvantage of the integrated series connection is the formation
of so called “dead areas”, where no electrical power is produced
since they exclusively serve for the series connection. The width of
these dead areas is given by the applied patterning technique and
is typically in the range of 250 – 500 μm. The width of the active
area is usually in the range of 5 – 15 mm
• IEK5 – Photovoltaik has introduced a new type of series connection
that can considerably increase the active area and thus the
efficiency of a thin film module by a superior arrangement of the
patterning grooves
• It has been demonstrated that this can be applied to a laser
patterned thin film silicon solar module. For this module the new
series connection leads to an relative efficiency increase of
approximately 3%.
Joseph Berwind
CdTe – FSLR Guidance at Glance
July 2012
Source: FSLR
Joseph Berwind
CdTe – Future Cost Reduction Potential
July 2012
• There are significant cost reduction potential through continuous efficiency improvement. FSLR has noted that its
module efficiency could increase 1% in 2012 and could reach 15% by 2015.
• SnO2 buffer layer and cell design improvement (series connection) should increase the efficiency. However, this could
entail 10% increase in materials’ cost.
• Use of CSS deposition could result in significant increase in productivity. We assume this could entail 20% increase in
capex cost.
Source: AEI Consulting
Particulars Unit Existing Proposed Comments
TCO Glass $/m2 $12.35 $11.11
Other Materials $/m2 $26.23 $28.86 10% increase due to SnO buffer layer
Material cost $/m2 $38.58 $39.97
Saving due to Productivity increase $/m2 $6.00 15% productivity gain due to CSS deposition
Total material cost $/m2 $38.58 $33.97
Labor Cost $/m2 $20.00 $20.00
Capex $/m2 $200.00 $240.00 20% increase in capex due to new tool & technique
Equipment Life years 10 10
Depreciation $/m2 $20.00 $24.00
Overhead $/m2 $8.00 $8.00
Total cost $/m2 $86.58 $85.97
Module Efficiency % % 11.70% 16.20% 4.50% increase in efficiency
Watts per m2 W/m2 117 162
Total Cost $/W $0.74 $0.53
Net Cost Saving $/W $0.21
Joseph Berwind
VALUE CHAIN ANALYSISS I N GL E P AG E O V E R VI E WS O F K E Y V AL U E C H AI N
P AR T I C I PAN T S
July 2012 Joseph Berwind
TRENDS IN THE C-SI VALUE CHAIN
Silicon Metal
• DC Chemical
• GCL Silicon
• Hemlock
• MEMC
• REC
• M. Setek
• Tokuyama
• Wacker
• few others
Ingots/Wafers
• Deutsche Solar
• ErSol
• ET Solar
• Evergreen
• LDK
• MEMC
• PV Crystalox
• REC
• Renesola
• M. Setek
•Trina
• Yingli Green Energy
• several others
PV Cells
• BP Solar
• Emcore
• ECD (Unisolar)
• ErSol
• Gintech
• Isofoton
• JA Solar
• Kyocera
• Motech
• Q-Cells
• REC
• Sanyo
• Schott
• Sharp
• SunPower
• Suntech
• Yingli Green Energy
• ~50 others, many new entrants in China
PV Modules
• BP Solar
• Canadian Solar
• ErSol
• ET Solar
• General Electric
• Kyocera
• Isofoton
• Mitsubishi Electric
• Mitsubishi Heavy Ind.
• REC
• Sanyo
• Schott
• Sharp
• Signet Solar
• Solarfun
• Solarworld
• Solon
• Sun Power
• Suntech
• Trina
• Yingli Green Energy
• hundreds more
Systems/ Installation
• Borrego
• Centrosolar (Solarstocc)
• City Solar
• Conergy
• Dependable Solar
• Deutsche Solar
• Donauer Solartechnik
• ErSol
• ET Solar
• GroSolar
• IBC Solar
• Ibersolar Energia
• Isofoton
• MHH Solartechnik
• Phaesun
• Phonix Sonnenstrom
• REC
• SPG Solar
• SunPower
• SunTechnics
• Wirsol
• Yingli Green Energy
• thousands others, including small, local companies
• Ease of entry and more competitors results in lower margins for PV Modules and Systems/Installation markets
• Polysilicon and Ingots/Wafers have fewer competitors, and require economies of scale and/or technical expertise
Higher Barriers to Entry, Fewer Competitors – Higher GP
July 2012 Joseph Berwind
ALTERNATIVE ROUTES IN PV BUSINESS
• The direct supply path in c-Si will be under pressure
for lower costs. There are alternatives which will
enjoy the growth, and may have less profit pressures
• This example is for c-Si cells and modules, but the
same case can be shown for TF Si, CdTe and
CIGS
• Consider those niches in Specialty Materials and
Equipment, where there are fewer competitors, and
products with technical sophistication
• Equipment business will have separate
challenges with profit volatility
Equipment
• Anwell
• Applied Materials
• Centrotherm
• Jusung Engineering
• Oerlikon
• Roth & Rau
• Schmid
• ULVAC
Specialty Materials
•Asahi Glass Bakaert
•Cookson Dow Corning
•DuPont Etimex
•Ferro GfE
•Heraeus Indium Corp
•Isovolta Kuraray
•Metalor SiC Processing
•Voltaix
Silicon Metal Ingots/Wafers PV Cells PV ModulesSystems/
Installation
July 2012 Joseph Berwind
LIST OF ABBREVIATIONS
July 2012
Abbr Details
µc-Si Microcrystalline Silicon
µm micron
AEI Alternative Energy Investing LLC
Ag Silver
Al Aluminum
Al2O3 Alumina
ALEO Aleo Solar
Ar Argon
AR/ ARC Anti Reflective Coating
a-Si Amorphous Silicon
ASP Average Selling Price
AZO Aluminum Zinc Oxide
BAPV Building Applied photovoltaics
BIPV Building-integrated photovoltaics
BoS Balance of system
C2F6 Hexafluoroethane
CA California
CAGR Compounded Annual Growth Rate
Cd Cadmium
CdCl Cadmium chloride
CdS Cadmium sulfide
CdTe Cadmium telluride
CF4 carbon tetrafluoride
CIGS Copper Indium Gallium Selenide
cm centimeter
c-Si Crystalline Silicon
CSIQ Canadian Solar
Cu Copper
Abbr Details
DEZ Diethylzinc
DI Water Distilled water
DSO Days Sales Outstanding
DSS Directional Solidification System
ENER Energy Conversion Devices
ESLR Evergreen Solar
EVA Ethylene vinyl acetate
FiT Feed in tariff
FSLR First Solar
g Gram
Ga Gallium
GM% Gross Margin
GW Giga Watts
H2Se Hydrogen selenide
H3PO4 Phosphoric Acid
HCL Hydrochloric Acid
HF hydrofluoric acid
HNO3 nitric acid
In Indium
ITO indium tin oxide
J Box Junction Box
KCN Potassium cyanide
Kg Kilogram
KOH potassium hydroxide
kW Kilo Watt
kWh Kilo Watt Hour
kWp Killo Watt Peak
M2 Meter squares
Joseph Berwind
LIST OF ABBREVIATIONS
July 2012
Abbr Details
MIRR Modified Internal Rate of Return
mm Millimeter
Mo Molybdenum
MoS Margin of Safety
MT Metric Ton
MW Mega Watts
N2 Nitrogen
NF3 Nitrogen trifluoride
NH3 ammonia
NM% Net Margin
NY New York
O2 Oxygen
Pd Palladium
PDP Performance Designed Products
PECVD Plasma enhanced chemical vapour deposition
PEG Polyethylene Glycol
PET polyester film
POCl3 Phosphorus oxychloride
PV Photovoltaic
PVB polyvinyl butyral
PVD physical vapor deposition
QoQ Quarter over Quarter
R&D Research & Development
REC Renewable Energy Corporation
RMB Renminbi
ROA Return on Assets
ROCE Return on Capital Employed
ROW Rest of World
Rs. Indian Rupees
Abbr Details
Se Selenide
SiC Silicon Carbide
SiH4 silane
SiNx silicon nitride
SnO2 Tin oxide
Sol Nano-Particle Slurry
SOLF/HSOL Solarfun aka Hanwah Solarone
SPWR Sunpower Corp
STP Suntech Power
TCS Trichlorosilane
TF Thin Film
TMB Tetramethylbenzidine
TSL Trina solar
UK United Kingdom
USA United Sates of America
VC Variable Cost
W Watt
W.Avg Weighted Average
Wp Watt Peak
YGE Yingli Green Solar
YoY Year over year
Zn Zinc
ZnO Zinc Oxide
Joseph Berwind
LIST OF FIGURES & TABLES
July 2012
Figures & Chart Page
Global PV Demand Assessment - 2010-2013 17
Global PV Demand Scenarios - 2010-2013 19
PV demand growth rates by Region 2010-2013 20
Historical System Prices 1Q07 to 2Q11 22
Historical Subsidies 1Q08 to 2Q11 22
Historical Bond Rates 1Q08 to 2Q11 22
Historical Interest Rates 1Q08 to 2Q11 22
Excess Return & Demand in Germany, Italy, Spain, USA 23
Demand Assessment in Germany 2011 to 2013 24
Demand Assessment in Italy 2011 to 2013 25
Demand Assessment in Spain 2011 to 2013 26
Demand Assessment in USA 2011 to 2013 27
Demand growth rates in key regions 2007 to 2013 28
Cumulative Installations in major regions 2011 to 2013 29
PV Demand by Technology 2009 to 2013 30
c-Si Poly, ingot, wafer, cell & module supply Assessment 2011 to 2013 32
List of Top producers in Poly, Ingot, Wafer, Cell & Module segments 33
Global Polysilicon Capacity & production 2010 to 2013 34
Ingot: Adjusted capacity & Production 2010 to 2013 35
Wafer: Adjusted capacity & Production 2010 to 2013 36
c-Si Cell: Adjusted capacity & Production 2010 to 2013 37
c-Si Module: Adjusted capacity & Production 2010 to 2013 38
Module Capacity & production technological Distribution 2010 to 2013 39
2011 Geographical Capacity Distribution: Poly, Ingot, Wafer 40
2011 Geographical Capacity Distribution: Cell & Module 41
2011 Production Capacity analysis: Poly, Ingot, Wafer, Cell & Module 42
Joseph Berwind
LIST OF FIGURES & TABLES
July 2012
Figures & Chart Page
2011 Production Capacity analysis: Poly, Ingot, Wafer, Cell & Module 42
Supply chain imbalances in 2011 & 2012 44
Supply chain imbalances in 2013 & 2014 45
Supply chain imbalances in 2015 & 2016 46
Solar subsidies in Germany, Italy, Spain, France, CA & India 47
Solar subsidies in NY, Vermont, Japan, UK, Ontario, Czech, Korea & China 48
Region Ranking on Net benefit & Excess Return for Residential in 2019 to 2012 51
Region Ranking on Net benefit & Excess Return for Commercial in 2019 to 2012 52
Factors of solar Returns: subsidies, insolation, bond rates & interest rates 53
change in Region Ranking between 2Q11 to 3Q11 54
Net Benefit & Margin of Safety in key regions for Residential & Commercial 56
Excess Returns in key regions for Residential & Commercial systems 57
Selected Module companies performance analysis for 11 KPIs in 3Q11 59
Selected companies ranking for Compitition KPIs 3Q10 to 3Q11 60
Selected companies ranking for Operations KPIs 3Q10 to 3Q11 61
Selected companies ranking for Profitability KPIs 3Q10 to 3Q11 62
Selected companies ranking for ROA & Net Margin 63
Change in Ranking between 3Q10 & 3Q11 64
PV Module Segment Solvency ratio 1Q08 to 3Q11 65
Individual companies' solvency ratios 1Q08 to 3Q11 66
Financial health Summary: Altman & Springate Ratios: 3Q08 to 3Q11 67
Cost structure: Expected profitability of selected companies 68
Current shipment volume, guided volume and BEP volume for selected companies 69
Calculation of Breakeven and Profitability for selected companies 70
Cost change versus Price change 2Q08 to 3Q11 71
Joseph Berwind
LIST OF FIGURES & TABLES
July 2012
Figures & Chart Page
Cost change versus Price change 2Q08 to 3Q11 72
Revenue trend for selected companies 4Q10 to 3Q11 73
Volume trend for selected companies 4Q10 to 3Q11 74
R&D trend for selected companies 4Q10 to 3Q11 75
Inventory trend for selected companies 4Q10 to 3Q11 76
Pricing trend for selected companies 4Q10 to 3Q11 77
Cost simulation: Reduction potential identified in 1Q11 Base 78
Cost simulation: Reduction potential identified in 3Q11 Base 79
Cost Sensitivity to efficiency, wafer thickness & poly prices 80
Cost Sensitivity analysis 81
PV Module Production split by technology 2010 to 2015 83
c-Si Module Production split by Mono & Multi: 2010 to 2015 87
Calculation of Crucible cost per Watt & Sensitivity to wafer thickness 90
Calculation of Graphite parts cost per Watt & Sensitivity to wafer thickness 91
Calculation of Argon cost per Watt & Sensitivity to wafer thickness 92
Calculation of cost of Gases used in Ingot stage 93
Calculation of Slurry cost per Watt 99
Calculation of Saw Wire cost per Watt 100
Saw Wire Cost sensitivity analysis 101
Calculation of Consumables cost per Watt in Wafer Texturization 103
Calculation of Consumables cost per Watt in Emitter diffusion 104
Calculation of Consumables cost per Watt in Edge Isolation 105
Calculation of Consumables cost per Watt in AR Coating 106
Calculation of Front Silver Paste cost per Watt in Screen Printing 108
Calculation of Rear Silver/Aluminum Paste cost per Watt in Screen Printing 109
Joseph Berwind
LIST OF FIGURES & TABLES
July 2012
Figures & Chart Page
Calculation of BSF Aluminum Paste cost per Watt in Screen Printing 110
Calculation of Consumables cost per Watt used in Glass Washing & Glass
cost 114
Calculation of Consumables & Ribbon cost per Watt used in Tab & String 115
Calculation of EVA, Bus bar ribbon & backsheet cost per Watt 116
Calculation of Consumables cost per Watt in Module Lamination 117
Calculation of Protective tape cost per Watt in Module Trimming 118
Calculation of Module Frame cost per Watt 119
Calculation of Junction Box cost per Watt 120
Cost per Watt of Materials & Consumables used in PV module production 121
c-Si Module cost of production in 2011 122
c-Si Bill of Material in 2011 123
c-Si Material BoM split by value chain elements 124
c-Si Material cost sensitivity analysis 125
c-Si Material Cost per Watt movement: 2011 to 2016 126
c-Si cost reduction potentials 127
Global Thin film production split by subtechnologies: 2011 to 2015 131
Calculation of cost of production for a-Si Module 133
a-Si Module BoM in 2011 134
a-Si material cost per Watt 2011 to 2016 135
a-Si Cost of Production per Watt 2011 to 2016 136
Calculation of cost of production for Tandem a-Si Module 138
Tandem a-Si Module BoM in 2011 139
Tandem a-Si material cost per Watt 2011 to 2016 140
Tandem a-Si Cost of Production per Watt 2011 to 2016 141
Calculation of cost of production for CIGS Module 143
Joseph Berwind
LIST OF FIGURES & TABLES
July 2012
Figures & Chart Page
CIGS Module BoM in 2011 144
CIGS material cost per Watt 2011 to 2016 145
CIGS Cost of Production per Watt 2011 to 2016 146
Calculation of cost of production for CdTe Module 148
CdTe Module BoM in 2011 149
CdTe material cost per Watt 2011 to 2016 150
CdTe Cost of Production per Watt 2011 to 2016 151
Thin film cost of production split by cost elements & materials: 2011 152
PV Materials BoM for c-Si and Thin film in 2011 154
PV Chemicals BoM for c-Si and Thin film in 2011 155
PV Chemicals market size in 2011 split by chemicals 156
PV Chemicals market size by application in 2011 157
PV Materials Demand: total, c-Si and TF: 2011 to 2016 158
c-Si PV Materials Demand split by value chain elements 2011 to 2016 159
c-Si Materials demand split by materials: 2011 to 2016 160
Calculation of Polysilicon production potential 2011 to 2016 161
Ingot material demand 2011 to 2016 163
Wafer material demand 2011 to 2016 166
Saw Wires demand 2011 to 2016 167
Saw Wire market share analysis 2011 168
Slurry demand 2011 to 2016 170
Slurry Market Share analysis 2011 171
Cell Material market Demand 2011 to 2016 174
Wet Chemical market Demand 2011 to 2016 175
Bulk gases market Demand 2011 to 2016 177
Joseph Berwind
LIST OF FIGURES & TABLES
July 2012
Figures & Chart Page
Dopant market Demand 2011 to 2016 180
Silane market Demand 2011 to 2016 183
Metallic pastes market Demand 2011 to 2016 186
Metallic Paste market share analysis 2011 187
Aluminum Paste market share analysis 2006 to 2015 188
Module Materials Market Demand 2011 to 2016 193
c-Si Glass market Demand 2011 to 2016 194
c-Si EVA market Demand 2011 to 2016 197
c-Si Backsheet market Demand 2011 to 2016 201
c-Si Module Frames market Demand 2011 to 2016 203
c-Si Module Junction boxes market Demand 2011 to 2016 204
Thin film Materials demand split by technology: 2011 to 2016 207
Thin film Materials demand split by materials: 2011 to 2016 208
TF - TCO Glass market Demand 2011 to 2016 209
TF - Encapsulant market Demand 2011 to 2016 210
TF - Junction box market Demand 2011 to 2016 211
TF - Silane market Demand 2011 to 2016 212
TF - DEZ market Demand 2011 to 2016 213
TF - Phosphoric Acid market Demand 2011 to 2016 214
TF - other chemicals market Demand 2011 to 2016 215
List of key developments in c-Si technologies 221
Technological developments pulling down cost: 2011 to 2016 223
Calculation of cost saving potential from IMCC 228
Calculation of COO of MWSS and Diamond Saw wires 231
Diamond wire cost sensitivity analysis 233
Joseph Berwind
LIST OF FIGURES & TABLES
July 2012
Figures & Chart Page
Diamond wire cost sensitivity analysis 233
Calculation of cost saving potential from structured wire 235
Structure wire cost sensitivity 236
Calculation of cost saving potential from SiGen PolyMax 242
Calculation of cost saving potential from Plasma Texturization 247
Calculation of cost saving potential from n-type silicon 257
Calculation of cost saving potential from Esatto double Printing 266
Calculation of cost saving potential from Bus Bar Optimization 268
Calculation of cost saving potential from Nickle film contact layer 271
Calculation of cost saving potential from Rear passivated PoP 275
Calculation of cost saving potential from Direct Printing technology 278
Calculation of cost saving potential from EVA Multiple dye doping 281
Cost reduction potential for thin-film solar 293
a-Si Future cost saving potential 295
Tandem a-Si Future efficiency gain potential 303
Tandem a-Si Future cost impact of efficiency gain 304
Tandem a-Si Future cost saving potential 305
CIGS Efficiency improvement potential 311
CIGS Future cost saving potential 312
CdTe - FSLR guidance at glance 317
CdTe Future cost saving potential 318
Joseph Berwind
AEI CONSULTING
Joseph Berwind
Alternative Energy Investing, LLCAt The Intersection of Electronic Materials
& Photovoltaic Power Generation
AEI Research & Consulting
343 Millburn Avenue,
Suite 209
Millburn, NJ 07041 USA
©2005 - 2012 Alternative Energy Investing, LLC™ All Rights Reserved
July 2012 Joseph Berwind