PECVD growth of Six:Ge1−x films for high speed devices and MEMS

3
PECVD growth of Si x :Ge 1x films for high speed devices and MEMS Srinivasan Kannan a, * , Craig Taylor a , David Allred b,1 a Physics Department, University of Utah, 115 S. 1400 E. Suite 201, Salt Lake City, UT 84112, United States b Department of Physics and Astronomy, Brigham Young University, N283 ESC, Provo, UT 84602, United States Available online 29 March 2006 Abstract Thin films of Silicon–Germanium (SiGe) were deposited by plasma enhanced chemical vapor deposition (PECVD) for use in high speed devices, Micro-electrical mechanical systems (MEMS) and bolometric infrared detectors. SiGe films grown by PECVD typically have lower stress, lower deposition temperatures and higher growth rates (200 A ˚ /min) compared with other deposition techniques. The samples were deposited at temperatures from 500 °C to 580 °C and doped using either diborane (B 2 H 6 ) or phosphine (PH 3 ). As-deposited films had predominantly (1 1 1) and (2 2 0) texture determined by X-ray diffraction (XRD). Annealing produced crystalline material with no evidence of cracking as determined by resistivity measurements. It also produced variations of crystallite orientations with predom- inantly (1 1 1) texture. As-grown films exhibited compressive stresses as low as 18 MPa. Stress in annealed samples increased with increas- ing annealing temperature and time. Ó 2006 Elsevier B.V. All rights reserved. PACS: 87.64.Bx; 85.85.+j; 81.15.Gh Keywords: Germanium; Thin film transistors; MEMs; X-ray diffraction; Plasma deposition 1. Introduction There has been great interest in the influence of growth conditions on the electronic and structural properties of Silicon–Germanium (SiGe) films [1]. Poly-crystalline SiGe provides properties required for Micro-electrical mechani- cal systems (MEMS) applications at significantly lower temperatures (500 °C) compared to polycrystalline-Si (poly-Si) (P800 °C) [1]. A lattice mismatch of 4% between Si and Ge results in less stress at lower Ge concentrations. Also thermal conductivity of SiGe layers is low making them ideal for MEMS applications. SiGe offers a platform for post-processing of MEMS structures on top of prefab- ricated driving electronics (CMOS), besides being a prom- ising candidate for polycrystalline thin film transistors (TFTs) used in liquid crystal displays, due to the ability to engineer the bandgap [2]. 2. Experimental procedures Depositing poly-Si requires deposition temperatures as large as 800 °C. Adding germanium reduces the deposition temperatures as low as 450 °C enabling applications of the material in optical bolometers and post-processing of MEMS structures on CMOS devices. For bolometry, the thermal budget of the material should be small i.e., the material being deposited should be exposed for a shorter time to the deposition temperature [3]. The Ge concentration was kept at 20% to minimize sur- face roughness, and the hydrogen concentration was mini- mized by depositing at higher temperatures. Silane flow rate was at 42 sccm and Germane flow rate was maintained at 3 sccm at a deposition pressure of approximately 450 mTorr. Deposition temperature was varied from 0022-3093/$ - see front matter Ó 2006 Elsevier B.V. All rights reserved. doi:10.1016/j.jnoncrysol.2006.01.063 * Corresponding author. Tel.: +1 801 581 6901; fax: +1 801 581 4801. E-mail address: [email protected] (S. Kannan). 1 Tel.: +1 801 422 4361; fax: +1 801 422 0553. www.elsevier.com/locate/jnoncrysol Journal of Non-Crystalline Solids 352 (2006) 1272–1274

Transcript of PECVD growth of Six:Ge1−x films for high speed devices and MEMS

Page 1: PECVD growth of Six:Ge1−x films for high speed devices and MEMS

www.elsevier.com/locate/jnoncrysol

Journal of Non-Crystalline Solids 352 (2006) 1272–1274

PECVD growth of Six:Ge1�x films for high speed devicesand MEMS

Srinivasan Kannan a,*, Craig Taylor a, David Allred b,1

a Physics Department, University of Utah, 115 S. 1400 E. Suite 201, Salt Lake City, UT 84112, United Statesb Department of Physics and Astronomy, Brigham Young University, N283 ESC, Provo, UT 84602, United States

Available online 29 March 2006

Abstract

Thin films of Silicon–Germanium (SiGe) were deposited by plasma enhanced chemical vapor deposition (PECVD) for use in highspeed devices, Micro-electrical mechanical systems (MEMS) and bolometric infrared detectors. SiGe films grown by PECVD typicallyhave lower stress, lower deposition temperatures and higher growth rates (200 A/min) compared with other deposition techniques. Thesamples were deposited at temperatures from 500 �C to 580 �C and doped using either diborane (B2H6) or phosphine (PH3). As-depositedfilms had predominantly (111) and (220) texture determined by X-ray diffraction (XRD). Annealing produced crystalline material withno evidence of cracking as determined by resistivity measurements. It also produced variations of crystallite orientations with predom-inantly (111) texture. As-grown films exhibited compressive stresses as low as 18 MPa. Stress in annealed samples increased with increas-ing annealing temperature and time.� 2006 Elsevier B.V. All rights reserved.

PACS: 87.64.Bx; 85.85.+j; 81.15.Gh

Keywords: Germanium; Thin film transistors; MEMs; X-ray diffraction; Plasma deposition

1. Introduction

There has been great interest in the influence of growthconditions on the electronic and structural properties ofSilicon–Germanium (SiGe) films [1]. Poly-crystalline SiGeprovides properties required for Micro-electrical mechani-cal systems (MEMS) applications at significantly lowertemperatures (500 �C) compared to polycrystalline-Si(poly-Si) (P800 �C) [1]. A lattice mismatch of 4% betweenSi and Ge results in less stress at lower Ge concentrations.Also thermal conductivity of SiGe layers is low makingthem ideal for MEMS applications. SiGe offers a platformfor post-processing of MEMS structures on top of prefab-ricated driving electronics (CMOS), besides being a prom-ising candidate for polycrystalline thin film transistors

0022-3093/$ - see front matter � 2006 Elsevier B.V. All rights reserved.

doi:10.1016/j.jnoncrysol.2006.01.063

* Corresponding author. Tel.: +1 801 581 6901; fax: +1 801 581 4801.E-mail address: [email protected] (S. Kannan).

1 Tel.: +1 801 422 4361; fax: +1 801 422 0553.

(TFTs) used in liquid crystal displays, due to the abilityto engineer the bandgap [2].

2. Experimental procedures

Depositing poly-Si requires deposition temperatures aslarge as 800 �C. Adding germanium reduces the depositiontemperatures as low as 450 �C enabling applications of thematerial in optical bolometers and post-processing ofMEMS structures on CMOS devices. For bolometry, thethermal budget of the material should be small i.e., thematerial being deposited should be exposed for a shortertime to the deposition temperature [3].

The Ge concentration was kept at 20% to minimize sur-face roughness, and the hydrogen concentration was mini-mized by depositing at higher temperatures. Silane flowrate was at 42 sccm and Germane flow rate was maintainedat 3 sccm at a deposition pressure of approximately450 mTorr. Deposition temperature was varied from

Page 2: PECVD growth of Six:Ge1−x films for high speed devices and MEMS

2Theta (2θ)20 30 40 50 60 70 80

cps

0

1000

2000

3000

4000

(111)

(311)

(331)

(220)

Fig. 2. XRD data for annealed phosphorous-doped samples showspredominantly (111) and (220) peaks.

-50

0

S. Kannan et al. / Journal of Non-Crystalline Solids 352 (2006) 1272–1274 1273

520 �C to 610 �C and RF frequency was at 13.56 MHz.Once high growth rates and germanium concentrations ofroughly 20% were achieved, experiments were performedto test the effect of stress, thickness, and deposition temper-ature on the structural and electronic properties. Sampleswere also annealed to check for cracking due to hydrogeneffusion from the sample upon heating. Structural measure-ments were done on the sample using a Phillips X’PERTMRD diffractometer which employs copper K-alphaX-ray source of wavelength 1.54187 A. X-ray diffractionwas performed on samples grown on 7059 glass substrates.

3. Results

X-ray diffraction results were used to determine the crys-tallite texture of the samples. For a Ge concentration of20% in the SiGe alloy, we find predominantly (111) and(220) texture as seen in Fig. 1. The lattice spacing was cal-culated from Dismukes law which gives the lattice con-stant, a(x), of the SiGe alloy as a function of germaniumconcentration

aðxÞ ¼ ð5:431þ 0:20xþ 0:027x2ÞA [5], ð1Þwhere x is atomic fraction of Ge in alloy.

Annealed samples show an additional peak closer to the(220) and (111) planes observed in the as-grown film asseen in Fig. 2. We see a two-phase mixture, one from theas-grown crystal and a peak with higher intensity due toannealing of the samples. The peak that appears on anneal-ing has the alloy composition of 20% Ge as identified byEnergy Dispersive X-ray (EDX) analysis, while the as-grown phase has a higher Ge content.

Stress measurements are based upon changes in curva-ture and on the material properties of the film and the sub-strate. After measuring the curvature of the substratebefore and after deposition, the stress in the film can thenbe calculated as

r ¼ 1=6ð1=Rpost � 1=RpreÞðE=1� tÞðt2s=tfÞ; ð2Þ

where r is the stress in the film, Rpre, the substrate radius ofcurvature, before deposition, Rpost, the substrate radius of

2Theta (2θ)20 30 40 50 60 70 80

cps

0

200

400

600

800

1000

1200

(111)

(220)

(311)

Fig. 1. XRD data for as-grown phosphorous-doped sample showspredominantly (111) and (220) peaks.

curvature, after deposition, E, the Young’s modulus, t, thePoisson’s ratio, ts, the substrate thickness, tf, the filmthickness.

Higher Ge concentrations resulted in more compressivestress in as-grown films as seen in Fig. 3. Thicker filmsexhibited more tensile stresses for 20% Ge concentration.For similar Ge concentrations, an increase in annealingtemperature resulted in an increase in the tensile stress inthe films.

We used a 4-point probe method to measure resistivity.In as-grown films, boron-doped samples had resistivities aslow as 0.044 X cm for 20% Ge concentration. With higherGe concentrations, the resistivities decreased. Resistivity aslow as 0.0013 X cm were measured for 100% Ge. Phospho-rous-doped samples have a comparatively higher resistivityof 6.8 X cm at 20% Ge concentration.

Germanium Concentration%

Str

ess

(MP

a)

0 10 20 30 40 50 60-300

-250

-200

-150

-100

Fig. 3. Variation of stress with change in Ge concentration%: (d)Pressure: 440 mTorr; temperature: 594 �C; power: 20 W; thickness:2.5 lm. (-) Pressure: 430 mTorr; temperature: 590 �C; power: 19.5 W;thickness: 3.75 lm. (.) Pressure: 460 mTorr; temperature: 587 �C; power:19 W; thickness: 2.6 lm. (h) Pressure: 460 mTorr, temperature: 605 �C;power: 16 W, thickness: 3.75 lm.

Page 3: PECVD growth of Six:Ge1−x films for high speed devices and MEMS

Temperature (oC)

500 520 540 560 580 600 620 640 660

Str

ess

(MP

a)

0

200

400

600

800

1000

1200

1400

1600

1800

Fig. 4. Variation of stress with anneal temperature (Error bars are size ofthe data points.). (d) Anneal time was 30 min for 20% Ge concentration.

1274 S. Kannan et al. / Journal of Non-Crystalline Solids 352 (2006) 1272–1274

4. Discussion

For as-grown samples at 20% Ge concentration, wehave predominantly (111) and (220) crystal planes asshown in Fig. 1. The (h kl) values for as-grown samplescorrespond to germanium concentrations of approximately90%. On annealing samples in an atmosphere of N2, a sec-ond peak with higher intensity appears as seen in Fig. 2.The higher intensity peak that appears on annealing hasthe alloy concentration of 20% Ge verified by XRD analy-sis. The crystallites in the annealed samples grow preferen-tially in the (22 0) direction for films thicker than 2 lm.Films thinner than 2 lm preferentially grow in the (111)direction.

For lower germanium concentrations, the compressivestress in as-grown films is as low as 18 MPa. Filmsannealed in an atmosphere of N2 for varying time periodsled to change from compressive to tensile stress. For lowerannealing temperatures (6550 �C), the films have lower

Ge Conc %0 20 40 60 80 100

Res

isti

vity

(m

Oh

m -

cm

)

0

10

20

30

40

50

60

Fig. 5. Variation of resistivity for as-grown boron-doped samples.

stress but higher resistivity. As the annealing temperatureincreases, the tensile stress also increases for fixed germa-nium concentrations as shown in Fig. 4. For annealingtimes up to 17 h, the films do not crack as the hydrogen dif-fuses out. For annealing duration greater than 20 h, thefilms crack due to a large tensile stress.

As the Ge concentration decreases, resistivity of the filmincreases as shown in Fig. 5. The deposition temperatureshave to be increased in order to grow poly-crystalline films.The lower resistivity is attributed to an increase in the holemobility and dopant activation as the Ge concentrationincreases. Annealing boron-doped samples yielded resistiv-ity as low as 1.3 mX cm. Phosphorous-doped samples havehigher resistivity than boron-doped samples. This fact isattributed to increased mobility, but decreased effectivecarrier concentration, in phosphorous-doped samples [4].

5. Summary

Thin films of SixGe1�x with Ge concentrations of about20% were successfully developed with low as-grown, tensilestresses of about 18 MPa and resistivities as low as1 mX cm. Samples were grown with a lower thermal budgetat a rate of 0.8 nm/s. X-ray diffraction of as-grown samplesindicates crystallites with high Ge content in the alloywhere crystallites with (111) and (22 0) planes are predom-inantly found. Annealing the samples leads to formation ofdual peaks with different Ge concentrations but similarcrystallite directions. Stress in the films increases with Geconcentration and annealing temperatures. The ability tolower growth temperatures by adding germanium hasresulted in development of thin films with potential appli-cations in post processing MEMS structures on pre-fabri-cated electronics and bolometry.

Acknowledgements

We acknowledge the National Science Foundation(NSF, Grant No. DMR 0307594) and The NationalRenewable Energy Laboratory (NREL, Subcontract No.XXL-5-44205-09) for partially funding the project. We alsoacknowledge the efforts of the Utah microfabrication labstaff for all the support provided.

References

[1] Sherif Sedky, Ann Witvrouw, Annelies Saerens, Paul Van Houtte, JefPoortmans, Kris Baert, J. Mater. Res. 16 (9) (2001) 2607.

[2] Vivek Subramanian, Krishna C. Saraswat, IEEE Trans. Electron Dev.45 (8) (1998) 1690.

[3] Cristina Rusu, Sherif Sedky, Brigette Parmentier, J. Microelectromech.Syst. 12 (6) (2003) 816 (December).

[4] David S. Bang, Min Cao, Albert wang, Krishna C. Saraswat, Appl.Phys. Lett. 66 (2) (1995) 195 (January).

[5] J.P. Dismukes, L. Ekstrom, R.J. Paff, J. Phys. Chem. 68 (1964) 3021,p. 3021.