omething has changed in the psyche of semiconductor ... fileJanuary 2011 Issue Your free monthly...

8
January 2011 Issue Your free monthly digest which aims to provide you a round up of the semiconductor headlines with a special focus to the Asian arena - direct to your inbox. Simply click on the relevant links provided to get the details. Key Take-Away Local Asia-Pacific News Industry Headlines Financial Watch Mergers, Acquisition, Joint Ventures, Spin-offs and People Market Outlook Views and Opinions Stock Watch Hi, Let me start this year’s 1 st edition of Semiconductor Biz Insight with a quiz - Which product has seen biggest growth in terms of fab capacity - increasing by double digit amounts every year for the last six years - ???? It is LED. Talking about LED, Nichia remains at no 1 ranking, with Seoul Semiconductor, Samsung LED and Cree amongst the suppliers with the strongest growth in 2010. In spite of the temporary blip in the TV backlighting boom in this last quarter due to weaker demand & supply chain correction (?), the LED industry has shown a tremendous growth. “Fab-lite to fab-tight” – sounds interesting, no? Well, that’s what the analyst company, Future Horizon’s founder and principal analyst, Malcolm Penn recently said in a seminar on his market forecast for 2011. He said that something has changed in the psyche of semiconductor manufacturer. They are no longer building wafer fabs in anticipation of demand. "Forget fab-lite, welcome to the fab-tight era," An interesting acquisition this month was of Avalon Microsystems by Altera. Optical Transport Network, for long a strong domain of the ASIC vendors, is now being invaded by the FPGA makers. NXP sold off another of its business units. First its wireless biz unit was sold to STMicro (which passed to ST-Ericsson) followed by Trident buying its TV and set-top box IC unit. Then Dover has bought its sound biz unit. Now NXP has sold off its can tuner biz. What next? Things are looking well for Atmel. Less than two years after firing more than 500 employees in Colorado Springs, surging sales of chips used in smart phones and tablet computers has allowed the company to recall many of those workers and restore its work force to its 2008 level. It also formally launched an R&D centre in India, the third largest among its dozen R&D units. Warm wishes for 2011 - have a wonderful year ahead! Best Regards Meenu Ps: If you see a need for Training for your engineers Detailed research and an in-depth analysis for your specific market intelligence requirements, Do let me know; we can value-add. Visit www.asic-vlsi.com for details or simply drop me an email LOCAL ASIA-PACIFIC NEWS Intel’s commitment to IMFlash in doubt Source: David Manners, Electronics Weekly– 29 th December 2010 Although IM Flash, the Intel-Micron NAND flash manufacturing joint venture, is ramping up a fab in Singapore, Intel is making no contribution to the costs. If Intel is not contributing to the capex costs of IMFS then Intel’s 29% share in IM Flash will get diluted and Micron will take 100% of the output from IMFS. Toshiba going fab-lite for system LSI Source: David Manners, Electronics Weekly – 24 th December 2010 The business will be split into two: Logic LSI Division, responsible for SoC (system-on-chip) fabricated on 300mm wafer fabrication lines; and the Analogue and Imaging IC Division. The former will promote a flexible manufacturing strategy responsive to demand volatility by combining use of its own production line with outsourcing. KEY TAKE-AWAY Equipment bookings, billings up Preliminary results from SEMI estimate a bookings and billings increase of more than 90% and 110% respectively on November 2009 results. Manufacturers posted $1.51 billion in orders in November and a book-to-bill ratio of 0.96 Financial health

Transcript of omething has changed in the psyche of semiconductor ... fileJanuary 2011 Issue Your free monthly...

January 2011 Issue

Your free monthly digest which aims

to provide you a round up of the

semiconductor headlines with a

special focus to the Asian arena -

direct to your inbox. Simply click on

the relevant links provided to get the

details.

Key Take-Away

Local Asia-Pacific News

Industry Headlines

Financial Watch

Mergers, Acquisition, Joint Ventures,

Spin-offs and People

Market Outlook

Views and Opinions

Stock Watch

Hi,

Let me start this year’s 1st edition of Semiconductor Biz Insight with a quiz - Which product has seen biggest growth in terms of fab capacity - increasing by double digit

amounts every year for the last six years - ????

It is LED. Talking about LED, Nichia remains at no 1 ranking, with Seoul Semiconductor, Samsung LED and Cree amongst the suppliers with the strongest growth in 2010. In

spite of the temporary blip in the TV backlighting boom in this last quarter due to weaker demand & supply chain correction (?), the LED industry has shown a tremendous

growth.

“Fab-lite to fab-tight” – sounds interesting, no? Well, that’s what the analyst company, Future Horizon’s founder and principal analyst, Malcolm Penn recently said in a

seminar on his market forecast for 2011. He said that something has changed in the psyche of semiconductor manufacturer. They are no longer building wafer fabs in anticipation of

demand. "Forget fab-lite, welcome to the fab-tight era,"

An interesting acquisition this month was of Avalon Microsystems by Altera. Optical Transport Network, for long a strong domain of the ASIC vendors, is now being invaded

by the FPGA makers. NXP sold off another of its business units. First its wireless biz unit was sold to STMicro (which passed to ST-Ericsson) followed by Trident buying its TV

and set-top box IC unit. Then Dover has bought its sound biz unit. Now NXP has sold off its can tuner biz. What next?

Things are looking well for Atmel. Less than two years after firing more than 500 employees in Colorado Springs, surging sales of chips used in smart phones and tablet

computers has allowed the company to recall many of those workers and restore its work force to its 2008 level. It also formally launched an R&D centre in India, the third

largest among its dozen R&D units.

Warm wishes for 2011 - have a wonderful year ahead!

Best Regards

Meenu

Ps: If you see a need for

� Training for your engineers

� Detailed research and an in-depth analysis for your specific market intelligence requirements,

Do let me know; we can value-add. Visit www.asic-vlsi.com for details or simply drop me an email

LOCAL ASIA-PACIFIC NEWS

Intel’s commitment to IMFlash in doubt

Source: David Manners, Electronics Weekly– 29th December 2010

Although IM Flash, the Intel-Micron NAND flash manufacturing joint venture, is ramping up a fab in Singapore, Intel is

making no contribution to the costs. If Intel is not contributing to the capex costs of IMFS then Intel’s 29% share in IM

Flash will get diluted and Micron will take 100% of the output from IMFS.

Toshiba going fab-lite for system LSI

Source: David Manners, Electronics Weekly – 24th December 2010

The business will be split into two: Logic LSI Division, responsible for SoC (system-on-chip) fabricated on 300mm wafer

fabrication lines; and the Analogue and Imaging IC Division. The former will promote a flexible manufacturing strategy

responsive to demand volatility by combining use of its own production line with outsourcing.

KEY TAKE-AWAY

Equipment bookings, billings up

Preliminary results from SEMI

estimate a bookings and billings

increase of more than 90% and

110% respectively on November

2009 results. Manufacturers

posted $1.51 billion in orders in

November and a book-to-bill

ratio of 0.96

Financial health

Mediatek pursues high-end handset design win in India

Source: Dylan McGrath, EE Times– 24th December 2010

According to a UBM Techinsights report, the Taiwanese fabless company is now attempting to position itself as a player

with tier 1 companies and pinning its growth plan on markets outside China.

TI opens new Kilby Labs location in Bangalore

Source: CIOL - 20th December 2010

TI announced the first international expansion of Kilby Labs at TI India in Bangalore. Since its opening in Dallas in January

2009, Kilby Labs has completed five projects and launched one new business unit from the work realized in the lab.

Cosmic Circuits to develop analog IPs for GlobalFoundries

Source: Design & Reuse – 17th December 2010

As part of this collaboration, the Bangalore based Indian company, Cosmic Circuits, will develop system-clocking PLLs in

the GlobalFoundries’ 55LPe, 40LP, 28SLP and 28HPP process technologies, and will offer SoC designers a wide range of

analog and digital PLLs to choose from.

Taiwan mulls China investments in local LCD firms

Source: Reuters – 17th December 2010

According to a local newspaper, Taiwan's economics ministry is studying a plan to allow Chinese home appliance makers

to buy stakes in Taiwan LCD makers, helping the local companies boost their competitiveness in China.

Qualcomm to open up chips to South Korean software developers

Source: FierceWireless – 13th December 2010

Qualcomm will begin disclosing information to South Korean companies that will allow them to design software

architecture on the company's chips, the country's antitrust regulator said. The company announced plans earlier this

year to open a new research and development facility in South Korea. The chipmaker has a similar R&D plant in China.

India unveils national broadband plan

Source: Kariyatil Krishnadas, EE Times – 13th December 2010

A proposed National Broadband Plan would substantially increase broadband penetration in India from the current

300,000 connections. According to the Telecom Regulatory Authority of India, India has fallen short by half of its

targeted 20 million broadband connections by the year’s end.

Wintek to build display module plant in Vietnam

Source: Reuters – 13th December 2010

Taiwan display maker Wintek is in talks with some industrial parks in Vietnam to build a display module plant there. A

Vietnam newspaper said that Wintek will build a $150 million plant to produce iPad touch screens in the northern

province of Bac Giang's Quang Chau industrial park

Cree opens LED chip manufacturing facility in Huizhou, China

Source: LEDs Magazine – 13th December 2010

Currently, the facility undertakes LED wafer cutting, testing and classification prior to packaging. While Huizhou is Cree’s

operational headquarters in China, the company has also set up sales and engineering service centers in Shenzhen and

Shanghai.

Atmel opens microcontroller R&D unit in India

Source: The Hindu Business Line – 11th December 2010

Atmel has formally launched its R&D facility in Chennai, India. Addressing a press conference here, its VP – MCU

Business unit, Mr Vegard Wollan,, said the facility is one of the 12 such facilities Atmel has globally. The 100-member

R&D team is the third largest among its dozen R&D units and will be expanded by about 80 next year.

Panasonic and IMEC in a breakthrough towards lab-on-chip sensors

Source: Industrial Embedded Systems – 8th December 2010

IMEC and its core partner within its Human++ program, Panasonic, unveiled at the International Electron Devices

Meeting in San Francisco, various critical components of a biomedical lab-on-chip sensor enabling fast detection of

Single Nucleotide Polymorphisms (SNPs) in DNA.

Back to top

INDUSTRY HEADLINES

Silicon Valley venture investors lose their taste for chips

Source: Ari Levi and Ian King, Bloomberg News – 18th December 2010

13 fabless suppliers are expected

to top a billion dollars in 2010

sales with Qualcomm leading the

pack. With easing demand, TSMC

saw its smallest year-on-year

sales growth in four months.

MStar had Taiwan’s biggest IPO

this year.

Acquisitions

Altera acquires Avalon, NXP sells

its sound biz as well as its can

tuner biz. Mentor buys

CodeSourcery assets and Sony is

looking to buy back fab from

Toshiba for image sensors.

While venture capitalists are pouring money into social networking, e-commerce and online-game companies,

investments in chipmakers are close to a 12-year low. Last year, venture capitalists invested a total of $863.8 million in

chip companies, the lowest level since 1998.

Philips Lumileds leads LED industry with mass production on 150mm wafers

Source: BusinessWire – 15th December 2010

Philips Lumileds is the first power LED manufacturer in mass production on 150 mm wafers and is now producing

millions of GaN based LEDs weekly on the larger substrates.

AMD, Intel plan move to all digital display technology, phasing out analog

Source: Suzanne Deffree, EDN – 9th December 2010

With support from PC OEMs and display manufacturers, the companies say legacy interfaces such as VGA, DVI, and LVDS

have not kept pace and put their backing behind DisplayPort and HDMI.

Sematech, SIA and SRC from 3D Enablement Program

Source: Ken Cheung, EDA Geek – 8th December 2010

Sematech, the Semiconductor Industry Association (SIA), and Semiconductor Research Corporation (SRC) announced

they have established a new 3D Enablement program to drive cohesive industry standardization efforts and technical

specifications for heterogeneous 3D integration. The program will focus primarily on developing technologies and

specifications necessary for establishing standards in critical areas such as inspection, metrology, micro bumping,

bonding and thin wafer and die handling.

Motorola to split in January

Source: Suzanne Deffree, EDN – 1st December 2010

Motorola has announced a separation date for the splitting of its mobility business forming two independently traded

companies. As of January 4, Motorola will change its name to Motorola Solutions and will begin trading on the NYSE

under the ticker symbol MSI. Motorola Mobility Holdings will begin trading on the NYSE under the ticker symbol MMI.

Back to top

FINANCIAL WATCH

Sony will invest $1.2b next year to double image sensor production

Source: Mariko Yasu, Bloomberg – 27th December 2010

Japan’s biggest exporter of consumer electronics aims to win a 30 per cent share of shipments in the market for image

sensors used in mobile phones, compared with about 10 per cent for the year ending March 2011.

Sony looks to buy back Toshiba fab

Source: Gareth Halfacree, bit-tech – 23rd December 2010

The plant, which produces components for Sony's PlayStation 3 console, Toshiba TVs and CMOS sensors for cameras

from a range of manufacturers, was sold to Toshiba in 2008 for ¥90 billion (around £702 million). However, Toshiba is

now reported to be struggling to justify ownership of the fab and is looking to sell it.

13 fabless IC suppliers expected to top $1B in 2010 sales

Source: Suzanne Deffree, EDN – 22nd December 2010

According to IC Insights, Altera, Broadcom, and MStar are each expected to register more than 50% sales growth this

year. Qualcomm is expected to remain the number one fabless IC supplier in 2010 by registering $7.1 billion in 2010

sales on an 11% growth rate.

Christmas comes early for China’s electronics supply chain

Source: Suzanne Deffree, EDN – 22nd December 2010

According to iSuppli, cell phone exports in September reached 63.6 million units, up 4.5% from August and up by double-

digit percentages from the same month in 2008 and 2009

MStar raises additional $42m from Taiwan IPO after over allotment

Source: Morning Star – 22nd December 2010

The integrated circuit design house, particularly strong in the LCD monitor space, raised an additional US$42 million from

its initial public offering after exercising an overallotment option. The IPO is the island's biggest this year.

Hynix CEO warns of weak pricing

Source: EE Times – 20th December 2010

The CEO of Hynix Semiconductor has warned that memory chip prices are likely to continue to fall in the first quarter of

2011 and will impact his company's fourth quarter sales and profits. For the third quarter ending Sept. 30 Hynix made a

net profit of 1.06 trillion won (about $920 million) on sales revenue of 3.25 trillion won (about $2.82 billion).

Equipment bookings, billings up significantly year over year

Source: Suzanne Deffree, EDN – 17th December 2010

North America-based manufacturers of semiconductor equipment may have seen their bookings and billings slip month

over month in November, but preliminary results from SEMI estimate a bookings and billings increase of more than 90%

and 110% respectively on November 2009 results. Manufacturers posted $1.51 billion in orders in November and a

book-to-bill ratio of 0.96.

TSMC November sales fall from October, new gadgets eyed

Source: Reuters – 9th December 2010

The world's biggest contract chipmaker, saw its smallest year-on-year sales growth in four months as demand slowed,

while analysts say a new crop of smartphones and tablet PCs could spur demand next year.

Better times at Atmel; company rebuilds work force, upgrades plant

Source: Wayne Helman, The Gazette – 9th December 2010

Less than two years after retrenching more than 500 well-paid employees in Colorado Springs, surging sales of chips

used in smart phones and tablet computers has allowed Atmel Corp. to recall many of those workers and restore its

work force to its 2008 level.

Global semiconductor grew 31.5% in 2010, says Gartner

Source: CBR – 9th December 2010

Gartner said the semiconductor market in 2010 has rebounded from a 10% decline in 2009 and semiconductor market

revenue increased to $71.9bn in 2010.

PC recovery continues

Source: iSuppli – 7th December 2010

Despite the slowdown in consumer sales, the PC market’s recovery from the dismal conditions of 2009 remained on

track in the third quarter of 2010. While the year-over-year growth rate in the third quarter was more than halved

compared to the 22.8 per cent increase in the second quarter, the deceleration partly comes from the dynamics of the

industry in 2009. Back to top

MERGERS, ACQUISITIONS, JOINT VENTURES, SPIN OFFS AND PEOPLE

Dover buys NXP’s sound biz for $855m

Source: Reuters – 22nd December 2010

The Vienna based business of NXP will become a part of Dover’s Knowles Electronics subsidiary which makes cellphone

microphones. N.V.'s sound business and China-based AAC Acoustic Technologies Holdings each control about a third of

the market for cell phone speakers and receivers.

GlobalFoundries collaborates with IME for MEMS

Source: TechEYE.net– 20th December 2010

GlobaFoundries has announced a partnership with The Institute of Microelectronics (IME) in Singapore to develop

MEMS Capacitive Sensor Platform Technology with the aim of creating power-efficient and highly sensitive motion

sensing applications. GlobalFoundries will become responsible for the preliminary platform design specifications and

process flow. IME will focus on the development of a modular and scalable capacitive sensor technology platform with

standardised process modules and process integration scheme that is based its own advanced MEMS fabrication

facilities and tools.

Altera acquires Avalon Microelectronics

Source: PRNewswire– 14th December 2010

Altera expands its portfolio of customizable IP solutions for Optical Transfer Network (OTN) applications, supporting data

rates at 1.2G, 2.5G and 10G, as well as 40G and 100G by acquiring Avalon Microelectronics, an IP provider for flexible

OTN.

Huawei hooks up with MetroPCS on low cost Android phone

Source: Phil Goldstein, FierceWireless – 13th December 2010

MetroPCS launched an Android phone for its CDMA network from Huawei, giving the Chinese vendor another avenue

into the U.S. handset market. Huawei, which has struggled to break into the U.S. network infrastructure market, has

found a more favorable reception on the handset front.

Advantest may pay $729m in unsolicited bid for Verigy

Source: Jason Clenfield, Bloomberg – 7th December 2010

The proposal pits Advantest against LTX-Credence Corp. in a bidding war. The acquisition would help Tokyo-based

Advantest, which has lost money in each of the past two fiscal years, reduce its reliance on computer memory.

Mentor Graphics buys CodeSourcery assets

Source: Eric Siemers, Portland Business Journal – 7th December 2010

In a deal reaffirming its commitment to the future of open source development, Mentor Graphics has acquired certain

assets of CodeSourcery, a provider of open source tools for embedded software development.

Back to top

MARKET OUTLOOK

Automotive MEMS market witnessing a record 2010

Source: Richard Dixon, iSuppli – 22nd December 2010

By 2014, at least 984 million pressure sensors, accelerometers, gyroscopes, flow sensors, and other assorted MEMS

devices will ship, which is up from the 501 million shipped in 2009 -- a CAGR of more than 14%. In terms of revenue, the

automotive MEMS market will top $2.1 billion in 2014, up from $1.4 billion in 2009, a CAGR of 9.4%.

Gartner cuts 2011 fab tool forecast

Source: Dylan McGrath, EE Times – 15th December 2010

From its September forecast that the fab tool market would grow 4.9 per cent in 2011, Gartner cut its forecast for the

2011 semiconductor capital equipment market, saying it now expects fab tool revenue to decline 1 per cent next year.

HTC to release LTE smartphones in second half of 2011

Source: Phil Goldstein, FierceWireless – 15th December 2010

HTC is likely to offer its first LTE smartphones in the second half of 2011 which could mean the vendor will not be part of

the first wave of LTE smartphones arriving early next year.

Acer sees big growth in China, tablet market

Source: Baker Li and Argin Chang, Reuters – 14th December 2010

The world's No.2 PC vendor expects its China operations to make up more than 20 per cent of its total sales in five years,

helped by new tablet PCs and an alliance with China's Founder Technology. Acer aims to capture a 15-20 per cent share

of the global tablet PC market next year. Research firm IDC has said tablet shipments could grow by an average 57.4 per

cent per year in 2010-2014, reflecting the sector's huge growth potential.

Radical change as semi industry moves to “fab-tight” model, as per Penn

Source: David Manners, EDN – 14th December 2010

The semiconductor industry is going through a radical change – instead of building new capacity in advance of demand,

it now builds capacity which lags demand. This will have a major, fundamental change on the way the industry operates,

asserted Malcolm Penn, CEO of Future Horizons at the company’s Industry Forecast Seminar 2010.

Wi-Fi location will become the top alternative location technology by 2015

Source: ABI Research – 14th December 2010

The Wi-Fi location market is split between current incumbents such as Skyhook Wireless and Mexens Technologies, the

leading GPS companies such as Broadcom and CSR/SiRF, and the new threats from giants such as Google, Microsoft,

Apple, Nokia, and even Facebook. Other emerging location technologies include MEMs, barcodes, NFC/RFiD, Bluetooth,

and TV. It’s not a case of either/or, but of, a continually evolving hybrid approach.

Gartner: India PC shipments to grow 25% in 2011

Source: Gartner.com – 13th December 2010

By the fourth quarter of 2011 mobile PCs will outgrow desk-based PCs in India, though the total number of desk-based

shipments will be higher than mobile PC. In 2011, the desk-based PC market will grow 5 per cent totalling 7.2 million

units year-on-year, and mobile PC shipments will grow 61 per cent with 5.9 million units.

Sales growth expected to continue

Source: Suzanne Deffree, EDN – 9th December 2010

While discrepancies remain as to estimates for final sales growth gained by the semiconductor industry in 2010, there is

no doubt that the year will be one for the record books and will be the first of several years of growth.

Fab capacity back in the black: up 8 percent

Source: Christian Gregor Dieseldorff. SEMI – 7th December 2010

SEMI predicts 8 per cent annual growth in installed fab capacity for 2010, at least another 8 per cent for 2011 and at

least 9 per cent for 2012. Region wise, Japan will maintain its leadership with over 23 per cent share, followed closely by

Korea and Taiwan with nearly 20 per cent each. The top five companies contributing to China’s increased share by 2012

are: SMIC, Intel, TSMC, Hua Li and Promos.

Emerging trends in 3D on mobile devices

Source: EE Times – 6th December 2010

Senior Analyst for In-Stat, Stephanie Ethier explains, "Due to advancements in auto stereoscopic 3D technology, a type

of 3D technology that does not require glasses to view 3D images, 3D technology is finding its way into mobile devices.

Many of the chipsets found in today's smartphones have the processing power to handle 3D content; therefore the

smartphones promise the largest opportunity for mobile 3D technology suppliers over the next five years."

IMS Research estimates LED market shares for 2009 and 2010

Source: LEDs Magazine – 2nd December 2010

IMS Research believe the market for LEDs (including all types: Standard, AlInGaP and InGaN) has grown from $6.1 billion

in 2009 to about $10.0 billion in 2010, driven by economic recovery, lighting and above all backlights, especially TVs. This

means that suppliers need to grow by 64% this year just to maintain their market share.

Blu-ray players, LCD TVs help consumer electronics revenues recover

Source: Suzanne Deffree, EDN – 2nd December 2010

As per iSuppli. OEM revenue for consumer electronics in 2010 is projected to reach $340.4 billion, up 6.2% from $320.7

billion last year and a significant gain when compared to the 4.4% revenue decline in 2009. The market will continue to

grow, adding revenue ranging from $3 billion to $18 billion yearly, ending up at more than $385 billion in 2014.

LTE subscriber growth will see a bumpy road on its path to nearly 115m subscribers by 2014

Source: In-Stat – 2nd December 2010

While LTE is destined to become the dominant wireless air link, several formidable challenges will make its widespread

adoption slower than many expect. For starters, spectrum has to be cleared, licensed and either allocated or sold off

before LTE takes hold. As every country has its own telecommunications regulations, these factors will take varying

periods of time to be resolved.

Back to top

VIEWS AND OPINIONS

Mentor chief sees system design as main challenge

Source: Kariyatil Krishnadas – 13th November 2010

Speaking at the annual Mentor international users meet in Bangalore, India, Rhines said the 2000-2010 decade saw the

largest growth areas being in design for manufacturing (DFM) and electronic system level (ESL) segments

Back to top

STOCK WATCH

Source: NASDAQ

1 month chart for Intel, TSMC, TI, Broadcom, STM, Xilinx, KLA-Tencor, Qualcomm, LSI, UMC, Applied Materials, Teradyne, Toshiba and

Infineon

INTEL TSMC

TI

BROADCOM

STM

XILINX

KLA-TENCOR QUALCOMM

LSI

UMC

APPLIED MATERIALS

TERADYNE

TOSHIBA

INFINEON

Back to top

If you see a need for detailed research and an in-depth analysis for your specific market intelligence requirements, we can help.

Call us at +65 98629814 or email at [email protected]

For sponsorship and advertising opportunities in this e-newsletter, email at [email protected]

VLSI Consultancy

Providing techno-commercial value-addition

17 Jalan Tenggiri, Singapore 428263

Tel: +65 98629814, Email: [email protected]

Web: www.asic-vlsi.com, Blog: www.asic-vlsi.com/blog

LinkedIn: http://sg.linkedin.com/in/meenusarin, Twitter: @meenusarin

Registration No. 53023009K

SUBSCRIBE/FORWARD TO A COLLEAGUE

Please tell your colleagues about Semiconductor Biz Insight, your 5 minute monthly e-link Digest brought to you by VLSI Consultancy -

forward this email to a colleague so that they can Subscribe today

UNSUBSCRIBE

If you no longer wish to receive Semiconductor Biz Insight, please reply to this email with UNSUBSCRIBE in the Subject line.

DISCLAIMER

The Semiconductor Biz Insight is compiled by VLSI Consultancy. The newsletter includes a compilation of news-stories that appeared on the

internet in leading company and news sites. We provide the links for your ease and urge and encourage you to read the original story at the

respective website following the URL/Link of the news-item. All information provided in this document is of a general nature and is not

provided with any warranty as to its suitability to the circumstances of each individual business. Readers and users are entirely and

individually responsible for taking appropriate action with regard to the setting up of such services and for the consequences of such

actions.