Olivier Vatel

20
Accelerated innovation through strategic collaboration: a view from an equipment supplier Olivier Vatel SCREEN Semiconductor Solutions Co., Ltd. CTO March 1, 2018

Transcript of Olivier Vatel

Page 1: Olivier Vatel

SE-77-3018-L1 SCREEN Semiconductor Solutions Co., Ltd. 1

Accelerated innovation through strategic collaboration: a view from an equipment supplier

Olivier Vatel SCREEN Semiconductor Solutions Co., Ltd. CTO March 1, 2018

Page 2: Olivier Vatel

AGENDA

SE-77-3018-L1 SCREEN Semiconductor Solutions Co., Ltd. 2

Company outline and Product Line-up 1

Wet Clean & Litho. Track highlights 3

LETI collaboration A long History 2

Focus on Laser anneal collaboration 4

Conclusion 5

Page 3: Olivier Vatel

3 SE-77-3018-L1 SCREEN Semiconductor Solutions Co., Ltd.

Source: Gartner, April 2015

Company Name: Head Quarter: Kyoto, Japan President: Tadahiro Suhara Product Line-up

Semiconductor Equipment Solutions Wet Clean

Single

Wet Clean Batch

Scrubber Clean

Laser Anneal

Metrology

Flash Anneal

Track Coat/Develop

RE/VM series

LA-3000F

LT-3100

SU-3200

FC-3100

DT-3000

Market Share No.1

Market Share No.1

Market Share No.1

Providing leading-edge technology and solutions

Page 4: Olivier Vatel

4 SE-77-3018-L1 SCREEN Semiconductor Solutions Co., Ltd.

Product Line-up

Ф100mm

Semiconductor Equipment Solutions

Single Wafer Cleaner

Measurement System

Coat/Develop Track

Scrubber

Frontier Project for 200mm

Direct Imaging System Wet Station

SU-3200

SU-3300 SS-3200

Ф300mm

SS-3100

SS-80EX

Ф300mm

Ф300mm

Ф300mm

Ф200mm

FC-821L

FC-3100

WS-820L/WS-620C

Ф200mm

Ф300mm

Ф300mm

Ф300mm

Ф200mm

RE-3100/3300 Series VM-2200/3200 Series

VM-1200/1300 Series

Ф150mm

Ф300mm

Ф100mm

Ф300mm

Ф100mm

Ф300mm Ф200mm

Ф300mm

SU-2000

Ф200mm

CW-1500

Ф200mm

DW-3000

ZI-2000

Ф200mm

LA-3000-F

LA-830

LT-3000/3100

Ф200mm

Ф300mm

Ф300mm Ф300mm

Ф50mm

Ф300mm

VM-1020/1030 Series Ф200mm

DT-3000

Annealing System

600mm

DW-3000 for PLP

RF-310A

Page 5: Olivier Vatel

5 SE-77-3018-L1 SCREEN Semiconductor Solutions Co., Ltd.

Office and Plants Locations

Taga Plant

Global Training Center (Yasu Plant)

WHITE CANVAS RAKUSAI (Rakusai Site) Source:Google, ZENRIN 2014

Fab. FC-1・FC-2 (Hikone Plant)

Process Technology Center (Hikone Plant)

Headquarter

Shiga Pref. Japan

Page 6: Olivier Vatel

6 SE-77-3018-L1 SCREEN Semiconductor Solutions Co., Ltd.

FLX-1200 E-Beam

LETI Partnerships in Wet, Laser Anneal & Litho Tracks

Page 7: Olivier Vatel

7 SE-77-3018-L1 SCREEN Semiconductor Solutions Co., Ltd.

Single Wafer Cleaner SU-3100

♦ 2012: SCREEN engagement via JDP’s in FDSOI community. (STMicroelectronics, SOITEC, LETI)

♦ 2012: Installation of first WET Single Wafer Cleaner SU-3100 for FEOL applications.

♦ 2014: Installation of second WET Single Wafer Cleaner SU-3100 for BEOL applications.

♦ 2015: SCREEN joined European program WAYTOGOFAST.

Single Wafer Cleaner SU-3100

2015 2012 2014

LETI-SCREEN wet technology engagement

Page 8: Olivier Vatel

8 SE-77-3018-L1 SCREEN Semiconductor Solutions Co., Ltd.

Article in Solid State Technology from Laurent Pain, Raluca Tiron, Ludovic Lattard, Stefan Landis and Cyrille Laviron

KEY PROJECTS:

1. Leti IDEAL JDA to achieve DSA POR and process yield (CH Via)

2. Leti IMAGINE JDA to achieve E-Beam POR enabling new market 3. Improve Defect /CDU /LWR with new technologies

4. New process development with chemical venders

Why Lithography Alternatives Are Essential

Page 9: Olivier Vatel

9 SE-77-3018-L1 SCREEN Semiconductor Solutions Co., Ltd.

♦ SPIE Advanced Lithography 2013~2018 • The potential of block copolymer’s directed self-assembly for contact hole shrink

and contact multiplication (2013) • 300mm pilot line DSA contact hole process stability (2014) • Handling, clamping and alignment evaluation for Multi-beam technology on

Matrix1.1 platform (2014) • Investigation of coat-develop track system for placement error of contact hole

shrink process (2016) • DSA process window extension via controlled atmospheric conditions through

accurate defectivity and roughness measurements (2017) • A track process for solvent annealing of high-χ BCPs (2017) • DSA Process Window Expansion by Oxygen Concentration (2018)

♦ International Symposium on DSA 2015, 2016, 2017

Conference publication with Leti

Page 10: Olivier Vatel

TODAY NEAR FUTURE 2018

SE-77-3018-L1 SCREEN Semiconductor Solutions Co., Ltd. 10

track in-line integration

FX-1200 E-Beam Exposure Tool

E-Beam Exposure niche market development for rapid prototype IC

track in-line integration

Immersion ArF Lithography NXT:1970Ci Lithocell @ CEA-LETI

E-Beam

DSA

Page 11: Olivier Vatel

11 SE-77-3018-L1 SCREEN Semiconductor Solutions Co., Ltd.

Laser Systems and Solutions of Europe SAS Development and production of Laser Thermal Anneal Establishment:June, 2014 President:Tadahiro Suhara 14-38 Rue Alexandre, Bldg D F-92230 Gennevilliers, France Tel:+33-1-41-11-2720

LASSE

■ Ultra-Iow Thermal Budget Achieving the highest possible temperature gradient in sub-micro seconds, LT tools are able to anneal fragile

substrates without damaging critical device structures. ■ Full Device Exposure Annealing one die, a group of dies or only the desired region in a single shot, the LT makes it all possible by shaping the beam size to meet process and device requirements without stitching.

■ Dopant Activation Control Obtaining unprecedented high line and parametric yield levels are only possible with the LT annealing process. By melt-annealing, the dopants are superactivated and the low resistance layer is defect free.

Ф300mm

LT-3000/3100

Ф200mm

Main product: Laser Annealer

SCREEN Process Technology Center

LASSE HQ Factory Leti-LASSE Process Development lab

New 2014 SCREEN Group Company: Laser anneal

Page 12: Olivier Vatel

12 SE-77-3018-L1 SCREEN Semiconductor Solutions Co., Ltd.

LT-3100 UV ns laser annealing

♦ The only production proven Melt Laser Anneal Technology ♦ High Energy UV pulsed (160 ns) laser for shallow anneal ♦ Step & repeat anneal process up to full field size (no stitching) ♦ High productivity and modular platform

Page 13: Olivier Vatel

13 SE-77-3018-L1 SCREEN Semiconductor Solutions Co., Ltd.

Thermal Annealing Technology Portfolio

0

200

400

600

800

1000

1200

1400

1600

1800

1.E-09 1.E-07 1.E-05 1.E-03 1.E-01 1.E+01 1.E+03 1.E+05

Tmax

(°C

) Flash Lamp

spike

Furnace

RTP

Laser

>3000C L. Rebohle et al " Semiconductor

Science and Technology 31.10 (2016): 103001.

Page 14: Olivier Vatel

14 SE-77-3018-L1 SCREEN Semiconductor Solutions Co., Ltd.

CoolCube® : 3D integration challenges

From leti CoolCube Program

Candidate application for laser annealing

Need for process solution capable of surface localized annealing without affecting buried structure

Page 15: Olivier Vatel

15 SE-77-3018-L1 SCREEN Semiconductor Solutions Co., Ltd.

LT-3100 for Monolithic 3D integration

P. Batude et al, VLSI 2011

♦ Ultra localized annealing on top layer: – Crystallization – Activation – Stress engineering

S. Kerdilès et al. in proceeding IWJT 2016 A

rsen

ic ju

nctio

n

Incr

easi

ng la

ser e

nerg

y

Page 16: Olivier Vatel

16 SE-77-3018-L1 SCREEN Semiconductor Solutions Co., Ltd.

CoolCube® Interconnect robustness to LT-3100

C. Fenouillet S3S 2015 (Leti)

Interconnect not degraded at high temperature in nanosecond timescale

Page 17: Olivier Vatel

17 SE-77-3018-L1 SCREEN Semiconductor Solutions Co., Ltd.

SCREEN Melt laser simulation development

Simulating thermal, phases, diffusion dynamics during laser annealing

Fully-owned Standalone software Native 3D Collaborating and developing

with top academic experts Customer oriented Optimized for LT technology

Laser integration supported by LASSE Innovation Booster

SiO2 SiO2

SiO2 SiO2

Cu CuCu

Cu

Cu Cu

CuCu

ULK

ULK

ULK

ULK

ULK ULK

ULK ULK

50nm SiO2

120nm SiO2

Dense interconnect Relaxed interconnect

a-Si

Area with a slightly higher T°a-Si

T (°

C)

T (°

C)T

(°C)

T (°

C)

1200

1000

800

600

400

200

0

1200

1000

800

600

400

200

0

1200

1000

800

600

400

200

0

1200

1000

800

600

400

200

0

Page 18: Olivier Vatel

18 SE-77-3018-L1 SCREEN Semiconductor Solutions Co., Ltd.

Conclusion

Accelerated Innovation through Strategic Collaboration

1. This is not a nice to have value proposition

2. Build overtime through deep technology exchange

3. Mutually beneficial Need to offer Win-Win

4. Benefits our customers with innovation acceleration

Page 19: Olivier Vatel
Page 20: Olivier Vatel