Negative Bias Temperature Instability on Plasma-Nitrided Silicon Dioxide Film

4
This content has been downloaded from IOPscience. Please scroll down to see the full text. Download details: IP Address: 130.127.238.233 This content was downloaded on 11/11/2014 at 23:44 Please note that terms and conditions apply. Negative Bias Temperature Instability on Plasma-Nitrided Silicon Dioxide Film View the table of contents for this issue, or go to the journal homepage for more 2002 Jpn. J. Appl. Phys. 41 L314 (http://iopscience.iop.org/1347-4065/41/3B/L314) Home Search Collections Journals About Contact us My IOPscience

Transcript of Negative Bias Temperature Instability on Plasma-Nitrided Silicon Dioxide Film

Page 1: Negative Bias Temperature Instability on Plasma-Nitrided Silicon Dioxide Film

This content has been downloaded from IOPscience. Please scroll down to see the full text.

Download details:

IP Address: 130.127.238.233

This content was downloaded on 11/11/2014 at 23:44

Please note that terms and conditions apply.

Negative Bias Temperature Instability on Plasma-Nitrided Silicon Dioxide Film

View the table of contents for this issue, or go to the journal homepage for more

2002 Jpn. J. Appl. Phys. 41 L314

(http://iopscience.iop.org/1347-4065/41/3B/L314)

Home Search Collections Journals About Contact us My IOPscience

Page 2: Negative Bias Temperature Instability on Plasma-Nitrided Silicon Dioxide Film

Jpn. J. Appl. Phys. Vol. 41 (2002) pp.L 314–L 316Part 2, No. 3B, 15 March 2002c©2002 The Japan Society of Applied Physics

Negative Bias Temperature Instability on Plasma-Nitrided Silicon Dioxide FilmChew-Hoe ANG∗, Chun-Meng LEK1, Shyue-Seng TAN2, Byung-Jin CHO1, Tupei CHEN2, Wenhe LIN and Jia-Zheng ZHEN

Department of Technology Development, Chartered Semiconductor Manufacturing Ltd., 60 Woodlands Industrial Park D, Street 2, Singapore 7384061Deptartment of Electrical and Computer Engineering, National University of Singapore, 10 Kent Ridge Crescent, Singapore 1192602Department of Electrical & Electronics Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798

(Received December 20, 2001; accepted for publication January 28, 2002)

The behavior of negative-bias-temperature-instability (NBTI) on ultra-thin plasma-nitrided silicon dioxide films (1.8 and2.6 nm) has been investigated and compared with conventional thermal nitridation. Plasma-nitrided oxides shows more re-sistance to NBTI, as compared to thermal-nitrided oxides. This is attributed to the fact that plasma nitridation incorporates thenitrogen at the top oxide surface, thus mitigating the undesirable nitrogen-enhanced NBTI effect. Additionally, the degrada-tion mechanism of NBTI is found to be insensitive to the nitridation process, nitrogen concentration and boron penetration.[DOI: 10.1143/JJAP.41.L314]

KEYWORDS: negative-bias-temperature-instability, thin oxide, interface trap, MOS

Negative-bias-temperature-instability (NBTI) has beenshown to impose a severe limiting factor to complementarymetal-oxide-semiconductor field-effect transistor (CMOS-FET) scaling since it causes more severe degradation ofthreshold voltage in p-channel MOSFETs than hot-carrier ag-ing.1–4) The threshold voltage shift is generally attributed tothe creation of interface traps and positive fixed charges con-sequent on the dissociation of Si–H bonds at the Si/SiO2 in-terface by holes from the Si inversion layer, and subsequentslow diffusion of the liberated hydrogen ions towards the gateelectrode.2,5–8) However, the definitive mechanism of NBTIremains controversial.9–13) A recent work reported that nitro-gen incorporation into gate oxides could significantly enhanceNBTI.12) This finding is particularly important, as well as dis-turbing, because silicon oxynitride has been widely employedin sub-quarter micron CMOS technology to suppress boronpenetration for p-channel MOSFETs.14,15)Albeit a recent the-oretical attempt by Ushioet al.,13) the role of nitrogen in theNBTI mechanism is still largely unclear and further experi-mental evidence is thus needed to clear the muddle.

In this paper, the impact of nitrogen incorporation on NBTIis studied by mean of plasma nitridation. Unlike conventionalthermal nitridation which piles up nitrogen at the bottom ox-ide surface, plasma nitridation instead tailors a relatively highamount of nitrogen near the polysilicon/oxide interface.16,17)

A comparison of the NBTI characteristics between thesetwo nitrogen profiles has been performed, which sheds morelight on the nitrogen-enhanced NBTI effect. It is found thatthe NBTI behavior is essentially alike for both plasma andthermal-nitrided oxides. However, plasma-nitrided oxides ex-hibit improved immunity to NBTI as compared to thermal-nitrided oxides with equivalent nitrogen concentration.

Devices were fabricated using 0.13µm design-rule dual-gate CMOS technology. After shallow trench isolation andtwin-well formation, pure thermal oxides with optical thick-ness (Tox) of 1.8 and 2.6 nm were grown by rapid thermal ox-idation. The plasma-nitrided oxides were prepared by expos-ing the thermal oxides toex-situ high-density decoupled nitro-gen plasma source at room temperature. These oxides will bereferred to as decoupled plasma-nitrided oxide (DPNO) here-after for brevity. On the other hand, rapid thermal nitrided ox-ides (RTNO) were formed by subjecting the thermal oxides

∗E-mail address: [email protected]

to in-situ rapid thermal nitridation in a NO ambient. Uponnitridation, both DPNO and RTNO received identical post-nitridation annealing in N2/O2 ambient at 1050◦C. We haveattempted different plasma nitridation process and found thatpost-nitridation annealing in oxidizing ambient significantlyimproves the quality of plasma-nitrided films. Subsequently,160 nm undoped polysilicon was deposited and patterned. p+-polysilicon gate and p+-source/drain were formed simultane-ously by boron implantation to a dose of 415 cm−2, followedby dopant activation using rapid thermal spike annealing at1080◦C. For selected wafers, additional boron implantation toa dose of 615 cm−2 was performed prior to gate definition forachieving a higher doping level in p+-polysilicon gate. Thenitrogen concentration of a nitrided oxide was determined us-ing secondary ion mass spectroscopy.

NBTI stress was applied to a pMOSFET with the gate elec-trode held at a low constant negative bias (< 3.5 V) under el-evated temperature (125–150◦C), while the source/drain andsubstrate electrodes were grounded, as illustrated schemati-cally in the inset of Fig. 1. The stress was interrupted at apredetermined period to measure the threshold voltage at thesame stress temperature. To further characterizing NBTI, the

Fig. 1. Increase in threshold voltage as a function of NBTI stress timefor RTNO and DPNO. NBTI stress condition:Vg = −3 V, stresstemperature= 125◦C. Device: pMOSFET withW/L = 20/0.35µm/µmandTox = 2.6 nm. The nitrogen concentrations for DPNO and RTNO areboth 3 at%. The inset depicts a schematic diagram for the bias configura-tion of a PMOSFET during NBTI stress.

L 314

Page 3: Negative Bias Temperature Instability on Plasma-Nitrided Silicon Dioxide Film

Jpn. J. Appl. Phys. Vol. 41 (2002) Pt. 2, No. 3B C.-H. ANG et al. L 315

amount of interface traps was monitored on a pMOSFET us-ing direct-current current–voltage (DCIV) method at roomtemperature.18,19) DCIV measurement is used here becauseexcessive direct-tunneling leakage currents in our ultra-thingate oxide samples have rendered the conventional methods,such as quasi-static capacitance–voltage (CV) and charge-pumping (CP) methods, inappropriate for probing the inter-face traps. Note that for experiments using DCIV measure-ment, the sample temperature was alternating between stressand measurement temperatures.

Figure 1 shows the increase in threshold voltage (�Vth)as a function of stress time (t) for 2.6 nm thick DPNO andRTNO during NBTI stress. It is evident that�Vth obeyed apower-law dependence on time with a fractional exponent of∼ 0.3 for both RTNO and DPNO. The exponent value is ingood agreement with the published results (0.37 in ref. 20 and0.25 in ref. 1). It has been known that the threshold voltageshift during NBTI stress is associated with interface-trap andfixed-charge generation.2,5–7) Therefore, the amount of inter-face traps generated under identical NBTI stress (�Nit,eff) hasalso been determined for both DPNO and RTNO with oxidethickness of 2.6 nm, as shown in Fig. 2. The effective arealinterface-trap density (Nit,eff) was calculated from the peakDCIV bulk current (Ib,peak) using the following formula:18)

Ib,peak= q Nit,eff Anics

2

(exp

(qVeb

2kT

)− 1

)(1)

whereVeb = 0.35 V is the forward emitter bias,A is the de-vice area,ni = 1.510 cm−3 is the intrinsic carrier concentra-tion, q is the electronic charge,T is the measurement tem-perature, andcs = 2.5−8 cm2 is the capture cross section. Asseen from Fig. 2, the time dependence of interface-trap gener-ation also followed a fractional power-law with an exponentof 0.26, irrespective of the nitridation process. Previous stud-ies have similarly reported thet0.25 dependence in thicker ox-ides based on CP2) and CV6) methods. The ubiquitoust0.25

relationship has been attributed to the diffusion-limited elec-trochemical reactions given as follows:2,6,7,20)

Si3 ≡ Si–H+ h+ −→ Si3 ≡ Si • +H+ (2)

Fig. 2. Increase in effective areal interface-trap density as a functionof NBTI stress time for RTNO and DPNO. NBTI stress condition:Vg = −3 V, stress temperature= 125◦C. Device: pMOSFET withW/L = 50/50µm/µm andTox = 2.6 nm. The nitrogen concentrations forDPNO and RTNO are both 3 at%. The inset depicts a schematic diagramsof DPNO and RTNO. Note that the N-rich region for DPNO is located atthe top oxide surface, while it is located at the bottom oxide surface forRTNO.

O3 ≡ Si–H+ h+ −→ O3 ≡ Si+ + H◦ (3)

(H+, H◦)interface−→ (H+, H◦)bulk (4)

The interface traps (Si3≡Si•) and positive fixed oxide charges(O3≡Si+) are generated from the dissociation of hydrogen-terminated trivalent Si bonds (Si–H) by holes in the Si inver-sion layer during NBTI stress. The liberated hydrogen speciesthen diffuse towards the gate electrode and dictate the timedependence of defect generation.2,6,7,20)

The approximatet0.25 dependence of�Nit,eff for bothDPNO and RTNO in Fig. 2 strongly suggests that their NBTIrate-limiting steps are identical, and most likely associatedwith the above-mentioned hydrogen diffusion. However, fora specified nitrogen concentration of 3 at%, DPNO showedmuch more resistant to NBTI as compared to RTNO. Thegreater immunity of DPNO to NBTI appears to be consistentwith the nitrogen-enhanced NBTI effect reported previously.Kimizuka et al. and Ushioet al. have attributed the nitrogen-enhanced NBTI effect to the trapping of H+ by nitrogen andthe corresponding positive fixed charge generation as denotedsymbolically in the following chemical reaction:12,13)

≡ Si–||N +H+ −→≡ Si–

||N H+ (5)

If this is the sole mechanism of nitrogen-enhanced NBTI ef-fect, one would expect that the liberated H+ species from eq.(2) should be trapped by the nitrogen atoms in the DPNOas they diffuse towards the gate electrode. This fails to ac-count adequately the reduced threshold voltage degradationfor DPNO as shown in Fig. 1 since the nitrogen atomic per-centage (∼ 3%) are comparable for both RTNO and DPNO.Moreover, the trapping of H+ by nitrogen would favor the for-ward reaction of eq. (2), and enhance the interface-trap gen-eration rate accordingly. On the contrary, we found that thepower-law exponents (∼ 0.25) are identical for DPNO andRTNO as shown in Fig. 2.

To consolidate the various results by us and others, we as-cribe both the nitrogen-enhanced NBTI effect and suppressedNBTI in DPNO to the fact that the amount of interfacial Si–Hbonds increases with nitrogen incorporation near the Si/SiO2

interface. These interfacial Si–H bonds could be producedfrom the fabrication sequence in which Si dangling bondswere created during nitridation process, and passivated laterduring post-metallization annealing in forming gas. They thenact as precursors for interface-trap and fixed-charge genera-tion during NBTI stress in accordance to eqs. (2) and (3).10)

Since the nitrogen distribution is spatially displaced from theSi/SiO2 interface for plasma nitridation as depicted schemat-ically in the inset of Fig. 2, DPNO has a lower amount ofinterfacial Si–H bonds than RTNO and hence smaller degreeof interface-trap and fixed-charge generation. Additionally, ifeq. (5) does indeed happen, then more nitrogen-associated

positive fixed charges (≡Si–||NH+) would be created due to

more liberated H+ available from the breaking of interfacialSi–H bonds by holes. Note that at the present moment, a thor-ough and fundamental understanding on the effect of nitrogenincorporation on the interfacial Si–H bonding is not yet ob-tained. This is partly due to the fact that, unlike interfacial Sidangling bonds, interfacial Si–H bonds are electrically neu-tral and inactive, and thus difficult to quantify and correlateto the nitrogen concentration. However, it may be possible to

Page 4: Negative Bias Temperature Instability on Plasma-Nitrided Silicon Dioxide Film

L 316 Jpn. J. Appl. Phys. Vol. 41 (2002) Pt. 2, No. 3B C.-H. ANG et al.

infer the amount of interfacial Si–H bonds indirectly from theNBTI stress.

Figure 3 shows the time dependence ofVth shift duringNBTI stress for different DPNO with nitrogen concentrationsranging from 3 to 15 at% and gate oxide thickness of 1.8 nm.Similar to thermal nitridation,12) plasma nitridation of a gateoxide likewise has a significant influence on NBTI and it en-hances theVth shift. This is attributed to the fact that more ni-trogen atoms are spreading towards the Si/SiO2 interface withincreasing plasma-nitridation, resulting in a larger amount ofinterfacial Si–H bonds and consequent enhancement of NBTI.It can also be seen from Fig. 3 that all three curves followedthe same power-law time dependence with a slope of 0.25,indicating that the mechanism of NBTI is insensitive to theamount of nitrogen incorporation.

Figure 4 compares the time dependence ofVth shift on1.8 nm thick DPNO during NBTI stress for two differentp+-polysilicon gate doping. No discernible difference in theNBTI-inducedVth degradation can be distinguished for the

Fig. 3. Increase in threshold voltage as a function of NBTI stress time forDPNO with nitrogen concentrations ranging from 3 to 15 at%. NBTI stresscondition:Vg = −2.2 V, stress temperature= 125◦C. Device: pMOSFETwith W/L = 20/0.12µm/µm andTox = 1.8 nm.

Fig. 4. The effect of p+-poly gate implant dose on the NBTI-inducedthreshold voltage shift for DPNO with 8 at% of nitrogen. NBTI stress con-dition: Vg = −2.2 V, stress temperature= 125◦C. Device: pMOSFETwith W/L = 20/0.12µm/µm andTox = 1.8 nm. The inset shows the CVcurves for the two p+-polysilicon gate doping, measured using frequencyof 100 kHz and signal level of 45 mV.

two p+-polysilicon gate doping, despite the fact that elevatedp+-polysilicon gate doping results in significant boron pene-tration as evidenced from the positive shift of the CV char-acteristics depicted in the inset of Fig. 4. Therefore, contraryto the previous studies, boron penetration neither inhibits orenhances NBTI.2,11) This is probably due to the fact that theamount of Si–H bonds present at the Si/SiO2 interface is notsubstantially affected by the boron penetration.

In summary, the NBTI characteristics of plasma-nitridedgate oxide have been investigated. The results in this paperindicate that plasma nitridation offers improved NBTI relia-bility as compared to conventional thermal nitridation. Thisis attributed to the fact that the nitrogen distribution of aplasma-nitrided oxide is essentially located at the top ox-ide surface, and hence the oxide is less affected by the ad-verse nitrogen-enhanced NBTI effect. However, for ultra-thinplasma-nitrided oxides, NBTI can still be significantly en-hanced with higher amount of nitrogen incorporation. There-fore, it is important to optimize the plasma nitridation processso that the nitrogen does not spread excessively to the Si/SiO2

interface. Additionally, the degradation mechanism of NBTIis found to be insensitive to the nitridation process and boronpenetration.

1) N. Kimizuka, T. Yamamoto, T. Mogami, K. Yamaguchi, K. Imai and T.Horiuchi: Symp. VLSI Tech. Dig. Tech. Papers, 1999, p. 73.

2) T. Yamamoto, K. Uwasawa and T. Mogami: IEEE Trans. Electron De-vices46 (1999) 921.

3) C. Lin, S. Biesemans, L. K. Han and K. Houlihan: Int. Elec. Dev. Meet.Tech. Dig., 2000, p. 73.

4) R. Thewes, R. Brederlow, C. Schlunder, P. Wieczorek, A. Hesener, B.Ankele, P. Klein, S. Kessel and W. Weber: Int. Elec. Dev. Meet. Tech.Dig., 1999, p. 81.

5) C. E. Blat, E. H. Nicollian and E. H. Poindexter: J. Appl. Phys.69 (1991)1712.

6) S. Ogawa, M. Shimaya and N. Shiono: J. Appl. Phys.77 (1995) 1137.7) S. Ogawa and N. Shiono: Phys. Rev. B51 (1995) 4218.8) C. H. Liu, M. T. Lee, C. Y. Lin, J. Chen, K. Schruefer, T. Schiml, A.

A. Katsetos, Z. Yang, N. Rovedo, T. B. Hook and C. Wann: Ext. Abstr.2001 Int. Conf. Solid State Devices & Materials, 2001, p. 206.

9) G. J. Gerardi, E. H. Poindexter, M. Harmatz, W. L. Warren, E. H.Nicollian, A. H. Edwards, E. H. Nicollian and E. H. Poindexter: J. Elec-trochem. Soc.138 (1991) 3765.

10) E. H. Poindexter: J. Non-Cryst. Solids187 (1995) 1995.11) M. Makabe, T. Kubota and T. Kitano:IEEE Int. Reliability Physics

Symp. Proc. (IEEE, 2000) p. 205.12) N. Kimizuka, K. Yamaguchi, K. Imai, T. Iizuka, C. T. Liu, R. C. Keller

and T. Horiuchi: Symp. VLSI Tech. Dig. Tech. Papers, 2000, IEEE,p. 92.

13) J. Ushio, K. K. Abdelghafar and T. Maruizumi: Ext. Abstr. 2001 Int.Conf. Solid State Devices & Materials, 2001, p. 158.

14) B. Maiti, P. J. Tobin, V. Misra, R. I. Hegde, K. G. Reid and C. Gelatos:Int. Electron. Dev. Meet. Tech. Dig., 1997, p. 651.

15) M. L. Green, E. P. Gusev, R. Degraeve and E. L. Garfunkel: J. Appl.Phys.90 (2001) 2057.

16) C. H. Chen, Y. K. Fang, C. W. Yang, S. F. Ting, Y. S. Tsair, M. C. Yu,T. H. Hou, M. F. Wang, S. C. Chen, C. H. Yu and M. S. Liang: IEEETrans. Elec. Dev. Lett.22 (2001) 378.

17) S. V. Hattangady, R. Kraft, D. T. Grider, M. A. Douglas, G. A. Brown,P. A. Tiner, J. W. Kuehne, P. E. Nicollian and M. F. Pas: Int. Electron.Dev. Meet. Tech. Dig., 1996, p. 495.

18) B. B. Jie, M. F. Li, C. L. Lou, W. K. Chim, D. S. H. Chan and K. F. Lo:IEEE Trans. Electron Device Lett.18 (1997) 583.

19) J. Cai and C. T. Sah: IEEE Trans. Electron Devices47 (2000) 576.20) Y. F. Chen, M. H. Lin, C. H. Chou, W. C. Chang, S. C. Huang, Y. J.

Chang, K. Y. Fu, M. T. Lee, C. H. Liu and S. K. Fan: IRW Final Rep.,2000, p. 98.