Multi-beam mask writer MBM-1000 · PLDC MBM-1000 has pixel level dose correction (PLDC)...

14
Multi-beam mask writer MBM-1000 for advanced mask making H. Matsumoto NuFlare Technology, Inc. 2018/2/27 Feb. 27, 2017 Slide 1

Transcript of Multi-beam mask writer MBM-1000 · PLDC MBM-1000 has pixel level dose correction (PLDC)...

Page 1: Multi-beam mask writer MBM-1000 · PLDC MBM-1000 has pixel level dose correction (PLDC) Middle-range effect correction for EUV-PEC Short-range effect correction for linearity correction,

Multi-beam mask writer MBM-1000

for advanced mask making

H. Matsumoto

NuFlare Technology, Inc.

2018/2/27 Feb. 27, 2017 Slide 1

Page 2: Multi-beam mask writer MBM-1000 · PLDC MBM-1000 has pixel level dose correction (PLDC) Middle-range effect correction for EUV-PEC Short-range effect correction for linearity correction,

VSB Multi-beam

Shaping aperture array

(SAA) Blanking aperture array

(BAA)

Sub deflectors Main deflectors

Objective lens

Projection lens

Condenser lens

Electron gun

Condenser lenses 1st shaping aperture

Shaping deflectors

2nd shaping aperture

Electron gun

Sub deflectors

Main deflectors

Projector lenses

Objective lens

Reticle

2018/2/27 Feb. 27, 2017 Slide 2

MBM-1000 EBM-9500

current density (A/cm2) 2 1200

max. total current (nA) 500 700

CD (nm[3s]) 1.0 1.3

registration (nm[3s]) 1.8 2.5

Shot count (G/pass) 0.9# (pattern independent) 100-500 (pattern dependent)

# number of exposure by 512512 beams for 150mm area

stripe width

=beam array size

stripe width

=deflection field size

Page 3: Multi-beam mask writer MBM-1000 · PLDC MBM-1000 has pixel level dose correction (PLDC) Middle-range effect correction for EUV-PEC Short-range effect correction for linearity correction,

Correction functions (EBM series)

NFT uses consistent theory for PEC/FEC/LEC.

Offline correction can be handled by VSB12i EL function.

10-3 10-2 10-1 100 101 102 103 104

interaction range [um]

dose

Dth primary dose proximity dose fogging dose

primary dose proximity effect fogging effect

with PEC with PEC and FEC

thFP DdgDdgDD xxxxxxxxx 2/

50% dose level

adjusted by PEC

and FEC FEC

PEC LEC/FEC

EBM

offline correction (VSB12i EL)

No secondary dose

2018/2/27 Feb. 27, 2017 Slide 3

Page 4: Multi-beam mask writer MBM-1000 · PLDC MBM-1000 has pixel level dose correction (PLDC) Middle-range effect correction for EUV-PEC Short-range effect correction for linearity correction,

PLDC

MBM-1000 has pixel level dose correction

(PLDC)

Middle-range effect correction for EUV-PEC

Short-range effect correction for linearity correction,

fidelity improvement and better dose margin

2018/2/27 Feb. 27, 2017 Slide 4

Corrections run inline.

No need for pre-writing calculation.

Page 5: Multi-beam mask writer MBM-1000 · PLDC MBM-1000 has pixel level dose correction (PLDC) Middle-range effect correction for EUV-PEC Short-range effect correction for linearity correction,

Correction functions (EBM/MBM)

MBM does middle-range and short-range correction

inline and real-time by using PLDC.

Corrections by PLDC is consistent with PEC/LEC/FEC

10-3 10-2 10-1 100 101 102 103 104

interaction range [um]

PEC LEC/FEC

EBM

offline correction (VSB12i EL)

beam-by-beam

correction

MBM EUV-PEC

EUV-PEC

consistently

integrated fidelity

2018/2/27 Feb. 27, 2017 Slide 5

PLDC

Page 6: Multi-beam mask writer MBM-1000 · PLDC MBM-1000 has pixel level dose correction (PLDC) Middle-range effect correction for EUV-PEC Short-range effect correction for linearity correction,

Data path in MBM-1000

Blanking aperture array (BAA)

Sub deflectors Main deflectors

rasterize

pixel level

dose modulation

vector level processing

shot data generation

deflection data

shot time data

linearity correction

dose margin enhancement

EUV-PEC

PEC/FEC/LEC/GMC

are applied.

PLDC integrated with

rasterizer

beam-by-beam

correction tool-specific

correction

2018/2/27 Feb. 27, 2017 Slide 6

Page 7: Multi-beam mask writer MBM-1000 · PLDC MBM-1000 has pixel level dose correction (PLDC) Middle-range effect correction for EUV-PEC Short-range effect correction for linearity correction,

Resolution enhancement by PLDC

PLDC improves dose profile by pixel-based dose

correction.

Dose contrast at pattern edge is enhanced to widen process

margin.

Dose profile can be adjusted to correct patterning linearity.

2018/2/27 Feb. 27, 2017 Slide 7

Zable et al.

Proc. of SPIE Vol. 10454 104540D-1 (2017)

Page 8: Multi-beam mask writer MBM-1000 · PLDC MBM-1000 has pixel level dose correction (PLDC) Middle-range effect correction for EUV-PEC Short-range effect correction for linearity correction,

0 100 2000

1

2

3

position [nm]

dose

[a.u

.]

shot + PE dose deposited dose

0 100 2000

1

2

3

position [nm]

do

se [

a.u

.]

shot dose deposited dose

Linearity

Dose blurring limits patterning resolution at fine pitch.

under dose

just dose, but

poor contrast

2018/2/27 Feb. 27, 2017 Slide 8

10-nm beam, 5-nm grid,

20-nm Gaussian

40 nm isolated line 40-nm hp dense line

Page 9: Multi-beam mask writer MBM-1000 · PLDC MBM-1000 has pixel level dose correction (PLDC) Middle-range effect correction for EUV-PEC Short-range effect correction for linearity correction,

PLDC enhances dose margin

2018/2/27 Feb. 27, 2017 Slide 9

0

0.2

0.4

0.6

0.8

1

0 20 40 60 80 100

do

se m

argi

n [

nm

/%d

ose

]

pattern hp [nm]

w/o PLDC

w/ PLDC (100%)

w/ PLDC (140%)

w/ PLDC (200%)

0

0.2

0.4

0.6

0.8

1

0 20 40 60 80 100

do

se m

argi

n [

nm

/%d

ose

]

pattern hp [nm]

w/o PLDC

w/ PLDC (100%)

w/ PLDC (140%)

w/ PLDC (200%)0

0.2

0.4

0.6

0.8

1

0 20 40 60 80 100

do

se m

argi

n [

nm

/%d

ose

]

pattern hp [nm]

w/o PLDC

w/ PLDC (100%)

w/ PLDC (140%)

w/ PLDC (200%)

0

0.2

0.4

0.6

0.8

1

0 20 40 60 80 100

do

se m

argi

n [

nm

/%d

ose

]

pattern hp [nm]

w/o PLDC

w/ PLDC (100%)

w/ PLDC (140%)

w/ PLDC (200%)0

0.2

0.4

0.6

0.8

1

0 20 40 60 80 100

do

se m

argi

n [

nm

/%d

ose

]

pattern hp [nm]

w/o PLDC

w/ PLDC (100%)

w/ PLDC (140%)

w/ PLDC (200%)

0

0.2

0.4

0.6

0.8

1

0 20 40 60 80 100

do

se m

argi

n [

nm

/%d

ose

]

pattern hp [nm]

w/o PLDC

w/ PLDC (100%)

w/ PLDC (140%)

w/ PLDC (200%)0

0.2

0.4

0.6

0.8

1

0 20 40 60 80 100

do

se m

argi

n [

nm

/%d

ose

]

pattern hp [nm]

w/o PLDC

w/ PLDC (100%)

w/ PLDC (140%)

w/ PLDC (200%)

0

0.2

0.4

0.6

0.8

1

0 20 40 60 80 100

do

se m

argi

n [

nm

/%d

ose

]

pattern hp [nm]

w/o PLDC

w/ PLDC (100%)

w/ PLDC (140%)

w/ PLDC (200%)

5% dense Line/Space 50% dense Line/Space

hp 20nm not

resolved at 50% LPD poor resolution w/o

dose bias

10-nm beam, 5-nm grid,

20-nm Gaussian

Edge-enhanced PLDC effectively

improves dose margin Low density features print well

PLDC improves patterning resolution.

Larger dose modulation leads to more improvement, but requires

longer write time.

PLDC is effective with 140% modulation which can be good option to

balance improvement and TPT.

pattern size [nm] pattern size [nm]

Page 10: Multi-beam mask writer MBM-1000 · PLDC MBM-1000 has pixel level dose correction (PLDC) Middle-range effect correction for EUV-PEC Short-range effect correction for linearity correction,

PLDC corrects for linearity

EPE linearity is corrected.

PLDC corrects for linearity, with increasing dose margin.

Correction accuracy is ok even with 100% dose modulation.

2018/2/27 Feb. 27, 2017 Slide 10

-4

-3

-2

-1

0

1

2

3

4

0 20 40 60 80 100

EPE

[nm

]

pattern hp [nm]

w/o PLDC

w/ PLDC (100%)

w/ PLDC (140%)

w/ PLDC (200%)-4

-3

-2

-1

0

1

2

3

4

0 20 40 60 80 100

EPE

[nm

]

pattern hp [nm]

w/o PLDC

w/ PLDC (100%)

w/ PLDC (140%)

w/ PLDC (200%)-4

-3

-2

-1

0

1

2

3

4

0 20 40 60 80 100

EPE

[nm

]

pattern hp [nm]

w/o PLDC

w/ PLDC (100%)

w/ PLDC (140%)

w/ PLDC (200%)-4

-3

-2

-1

0

1

2

3

4

0 20 40 60 80 100

EPE

[nm

]

pattern hp [nm]

w/o PLDC

w/ PLDC (100%)

w/ PLDC (140%)

w/ PLDC (200%)-4

-3

-2

-1

0

1

2

3

4

0 20 40 60 80 100

EPE

[nm

]

pattern hp [nm]

w/o PLDC

w/ PLDC (100%)

w/ PLDC (140%)

w/ PLDC (200%)-4

-3

-2

-1

0

1

2

3

4

0 20 40 60 80 100

EPE

[nm

]

pattern hp [nm]

w/o PLDC

w/ PLDC (100%)

w/ PLDC (140%)

w/ PLDC (200%)-4

-3

-2

-1

0

1

2

3

4

0 20 40 60 80 100

EPE

[nm

]

pattern hp [nm]

w/o PLDC

w/ PLDC (100%)

w/ PLDC (140%)

w/ PLDC (200%)-4

-3

-2

-1

0

1

2

3

4

0 20 40 60 80 100

EPE

[nm

]

pattern hp [nm]

w/o PLDC

w/ PLDC (100%)

w/ PLDC (140%)

w/ PLDC (200%)cliff ~25nm

w/o PLDC

5% dense Line/Space 50% dense Line/Space

EPE error at hp 20nm is insignificant

as pattern will not be resolved.

PLDC corrects to

20-nm hp PLDC corrects to 30-nm hp;

20-nm hp has very poor dose margin

10-nm beam, 5-nm grid,

20-nm Gaussian

pattern size [nm] pattern size [nm]

Page 11: Multi-beam mask writer MBM-1000 · PLDC MBM-1000 has pixel level dose correction (PLDC) Middle-range effect correction for EUV-PEC Short-range effect correction for linearity correction,

PLDC for curvilinear pattern

PLDC enables ILT patterning without turnaround time

penalty

Simulation without PLDC Simulation with PLDC for edge enhancement

H. Zable et al., " GPU-accelerated inline linearity correction: pixel-level dose

correction (PLDC) for the MBM-1000" , Proc. SPIE 10454, 104540D-1, (2017).

2018/2/27 Feb. 27, 2017 Slide 11

[test pattern from D2S.inc.]

Page 12: Multi-beam mask writer MBM-1000 · PLDC MBM-1000 has pixel level dose correction (PLDC) Middle-range effect correction for EUV-PEC Short-range effect correction for linearity correction,

PLDC for curvilinear pattern

Pattern fidelity is improved by PLDC

PLDC off PLDC on comparison

low sensitivity resist (~140 uC/cm2)

4X size of 80

nm contact

PLDC off

PLDC on

2018/2/27 Feb. 27, 2017 Slide 12

Page 13: Multi-beam mask writer MBM-1000 · PLDC MBM-1000 has pixel level dose correction (PLDC) Middle-range effect correction for EUV-PEC Short-range effect correction for linearity correction,

Conclusion

PLDC corrects linearity with increasing dose margin.

Effective with 140% dose modulation

Corrects to 20-nm hp (5% density) and 30-nm hp (50% density)

with 20 nm total blur.

PLDC provides EUV-PEC, which is middle-range PEC for

EUV substrate.

PLDC is integrated consistently with correction function

of PEC/FEC/LEC

2018/2/27 Feb. 27, 2017 Slide 13

Page 14: Multi-beam mask writer MBM-1000 · PLDC MBM-1000 has pixel level dose correction (PLDC) Middle-range effect correction for EUV-PEC Short-range effect correction for linearity correction,

Thank you for your kind attention

2018/2/27 Feb. 27, 2017 Slide 14