Microscopy of Semiconducting Materials 2007
Transcript of Microscopy of Semiconducting Materials 2007
SPRINGER PROCEEDINGS IN PHYSICS 120
SPRINGER PROCEEDINGS IN PHYSICS
96 Electromagnetics in a Complex World Editors IM Pinto V Galdi and LB Felsen
97 Fields Networks Computational Methods and Systems in Modern Electrodynamics
A Tribute to Leopold B Felsen Editors P Russer and M Mongiardo
98 Particle Physics and the Universe Proceedings of the 9th Adriatic Meeting Sept 2003 Dubrovnik Editors J Trampetic and JWess
99 Cosmic Explosions On the 10th Anniversary of SN1993J (IAU Colloquium 192) Editors J M Marcaide and KWWeiler
100 Lasers in the Conservation of Artworks LACONA V Proceedings Osnabruumlck Germany Sept 15ndash18 2003 Editors K Dickmann C Fotakis
and JF Asmus
101 Progress in Turbulence Editors J Peinke A Kittel S Barth
and M Oberlack
102 Adaptive Optics for Industry and Medicine Proceedings of the 4th International Workshop Editor U Wittrock
103 Computer Simulation Studies in Condensed-Matter Physics XVII
Editors DP Landau SP Lewis and H-B Schuumlttler
104 Complex Computing-Networks Brain-like and Wave-oriented Electrodynamic Algorithms Editors IC Goumlknar and L Sevgi
105 Computer Simulation Studiesin Condensed-Matter Physics XVIII
Editors DP Landau SP Lewis and H-B Schuumlttler
106 Modern Trends in Geomechanics Editors W Wu and HS Yu
107 Microscopy of Semiconducting Materials Proceedings of the 14th Conference April 11ndash14 2005 Oxford UK Editors AG Cullis and JL Hutchison
108 Hadron Collider Physics 2005 Proceedings of the 1st Hadron Collider Physics Symposium Les Diablerets Switzerland July 4ndash9 2005 Editors M Campanelli A Clark and X Wu
109 Progress in Turbulence 2 Proceedings of the iTi Conference in Turbulence 2005 Editors M Oberlack et al
110 Nonequilibrium Carrier Dynamics in Semiconductors
Proceedings of the 14th International Conference July 25ndash29 2005 Chicago USA Editors M Saraniti U Ravaioli
111 Vibration Problems ICOVP 2005 Editors E Inan A Kiris
112 Experimental Unsaturated Soil Mechanics Editor T Schanz
113 Theoretical and Numerical Unsaturated Soil Mechanics
Editor T chanz
114 Advances in Medical Engineering Editor Thorsten M Burzug
115 X-Ray Lasers 2006 Proceedings of the 10th International
Conference August 20ndash25 2006 Berlin Germany
Editors PV Nickles KA Januelewicz
116 Lasers in the Conservation of Artworks LACONA VI Proceedings Vienna Austria
September 21ndash25 2005Editors J Nimmrichter W Kautek M Schreiner
117 Advances in Turbulence XI Proceedings of the 11th EUROMECH
European Turbulence Conference June 25-28 2007 Porto Portugal
Editors J M L M Palma A Silva Lopes
118 The Standard Model and Beyond Proceedings of the 2nd Int Summer School
in High Energy Physics Mugla 25ndash30 September 2006
Editors T Aliev NK Pak M Serin
119 Narrow Gap Semiconductors 2007 Proceedings of the 13th International
Conference 8-12 July 2007 Guildford UKEditors BN Murdin SK Clowes
120 Microscopy of Semiconducting Materials 2007
Proceedings of the 15th Conference 2-5 April 2007 Cambridge UKEditors AG Cullis PA Midgley
Volumes 69ndash95 are listed at the end of the book
AG Cullis PA Midgley(Eds)
Microscopy of Semiconducting Materials 2007Proceedings of the15th Conference 2ndash5 April 2007 Cambridge UK
Prof AG CullisDepartment of Electronic and Electrical EngineeringUniversity of Sheffi eldMappin StreetSheffi eld S1 3JDUK
Prof PA MidgleyDepartment of Materials Science and MetallurgyUniversity of CambridgePembroke StreetCambridge CB2 3QZUK
Library of Congress Control Number 2008929346
ISSN 0930-8989ISBN-13 978-1-4020-8614-4 (HB)ISBN-13 978-1-4020-8615-1 (e-book)
Published by SpringerPO Box 17 3300 AA Dordrecht The NetherlandsIn association withCanopus Publishing Limited27 Queen Square Bristol BS1 4ND UK
wwwspringercom and wwwcanopusbookscom
All Rights Reservedcopy 2008 Springer Science+Business Media BVNo part of this work may be reproduced stored in a retrieval system or transmitted in any form or by any means electronic mechanical photocopying microfi lming recording or otherwise without written permission from the Publisher with the exception of any material supplied specifi cally for the purpose of being entered and executed on a computer system for exclusive use by the purchaser of the work
SPRINGER PROCEEDINGS IN PHYSICS
69 Evolution of Dynamical Structuresin Complex Systems
Editors R Friedrich and AWunderlin
70 Computational Approaches in Condensed-Matter Physics
Editors S Miyashita M Imada and H Takayama
71 Amorphous and Crystalline Silicon Carbide IV Editors CY Yang MM Rahman
and GL Harris
72 Computer Simulation Studiesin Condensed-Matter Physics IV
Editors DP Landau KK Mon and H-B Schuumlttler
73 Surface Science Principles and Applications Editors RF Howe RN Lamb
and K Wandelt
74 Time-Resolved Vibrational Spectroscopy VI Editors A Lau F Siebert and WWerncke
75 Computer Simulation Studiesin Condensed-Matter Physics V
Editors DP Landau KK Monand H-B Schuumlttler
76 Computer Simulation Studiesin Condensed-Matter Physics VI
Editors DP Landau KK Monand H-B Schuumlttler
77 Quantum Optics VI Editors DFWalls and JD Harvey
78 Computer Simulation Studiesin Condensed-Matter Physics VII
Editors DP Landau KK Monand H-B Schuumlttler
79 Nonlinear Dynamics and Pattern Formationin Semiconductors and Devices
Editor F-J Niedernostheide
80 Computer Simulation Studiesin Condensed-Matter Physics VIII
Editors DP Landau KK Monand H-B Schuumlttler
81 Materials andMeasurements in Molecular Electronics
Editors K Kajimura and S Kuroda
82 Computer Simulation Studies in Condensed-Matter Physics IX
Editors DP Landau KK Monand H-B Schuumlttler
83 Computer Simulation Studiesin Condensed-Matter Physics X
Editors DP Landau KK Monand H-B Schuumlttler
84 Computer Simulation Studiesin Condensed-Matter Physics XI
Editors DP Landau and H-B Schuumlttler
85 Computer Simulation Studiesin Condensed-Matter Physics XII
Editors DP Landau SP Lewisand H-B Schuumlttler
86 Computer Simulation Studiesin Condensed-Matter Physics XIII
Editors DP Landau SP Lewisand H-B Schuumlttler
87 Proceedings of the 25th International Conference on the Physics of Semiconductors
Editors N Miura and T Ando
88 Starburst Galaxies Near and Far Editors L Tacconi and D Lutz
89 Computer Simulation Studiesin Condensed-Matter Physics XIV
Editors DP Landau SP Lewisand H-B Schuumlttler
90 Computer Simulation Studiesin Condensed-Matter Physics XV
Editors DP Landau SP Lewisand H-B Schuumlttler
91 The Dense Interstellar Medium in Galaxies Editors S Pfalzner C Kramer C Straubmeier and A Heithausen
92 Beyond the Standard Model 2003 Editor HV Klapdor-Kleingrothaus
93 ISSMGE Experimental Studies Editor T Schanz
94 ISSMGE Numerical and Theoretical Approaches Editor T Schanz
95 Computer Simulation Studies in Condensed-Matter Physics XVI
Editors DP Landau SP Lewis and H-B Schuumlttler
Preface This volume contains invited and contributed papers presented at the conference on lsquoMicroscopy of Semiconducting Materialsrsquo held at the University of Cambridge on 2-5 April 2007 The event was organised under the auspices of the Electron Microscopy and Analysis Group of the Institute of Physics the Royal Microscopical Society and the Materials Research Society This international conference was the fifteenth in the series that focuses on the most recent world-wide advances in semiconductor studies carried out by all forms of microscopy and it attracted delegates from more than 20 countries With the relentless evolution of advanced electronic devices into ever smaller nanoscale structures the problem relating to the means by which device features can be visualised on this scale becomes more acute This applies not only to the imaging of the general form of layers that may be present but also to the determination of composition and doping variations that are employed In view of this scenario the vital importance of transmission and scanning electron microscopy together with X-ray and scanning probe approaches can immediately be seen The conference featured developments in high resolution microscopy and nanoanalysis including the exploitation of recently introduced aberration-corrected electron microscopes All associated imaging and analytical techniques were demonstrated in studies including those of self-organised and quantum domain structures Many analytical techniques based upon scanning probe microscopies were also much in evidence together with more general applications of X-ray diffraction methods The materials subjected to investigation covered the complete range of elemental and compound semiconductors often in epitaxial form with some emphasis on both device-processed materials and finished devices at the state-of-the-art This Proceedings volume presents work described in all study areas Every manuscript submitted for publication in this Proceedings volume has been reviewed by at least two referees and modified accordingly The editors are very grateful to the following colleagues for their rapid and meticulous reviewing work
A Andreev J Arbiol I Arslan J S Barnard H Bender N Browning T J Bullough A Cavallini M Dahne C Dieker M Fay M Galtrey M Gass V Grillo A Harrison C J Humphreys Z Liliental-Weber H Kirmse M MacKenzie J Mardinly G Moldovan R T Murray R Oliver B Pecz F Priolo A Rocher A Rosenauer F M Ross J-L Rouviere O Schmidt M Schowalter B Sieber J Sloan E SpieckerV Stolojan P Sutter K Tillmann C Trager-Cowan T Walther E Yakimov N Zakharov
It is a pleasure to thank Claire Garland and Jodie Cartwright of the Institute of Physics for their expert assistance in organising the present conference Also we are very grateful to Erica Bithell Jo Sharp and Edmund Ward of Cambridge University for editorial assistance in preparing papers for printing in this Proceedings volume
A G Cullis P A Midgley
December 2007
Contents Preface v Part I Wide Band-Gap Nitrides The Puzzle of Exciton Localisation in GaN-Based Structures TEM AFM and 3D APFIM Hold the Key C J Humphreys M J Galtrey N van der Laak R A Oliver M J Kappers J S Barnard D M Graham and P Dawson 3 Elastic Strain Distribution in GaNAlN Quantum Dot Structures Theory and Experiment A Andreev E Sarigiannidou E Monroy B Daudin and J Rouviegravere 13 Concentration Evaluation in Nanometre-Sized InxGa1-xN Islands Using Transmission Electron Microscopy A Pretorius K Muumlller T Yamaguchi R Kroumlger D Hommel and A Rosenauer 17 Optical Properties of InGaN Quantum Dots With and Without a GaN Capping Layer Q Wang T Wang P J Parbrook J Bai and A G Cullis 21 Strain Relaxation in an AlGaNGaN Quantum Well System P D Cherns C McAleese M J Kappers and C J Humphreys 25 Characterisation of InxAl1-xN Epilayers Grown on GaN T C Sadler M J Kappers M E Vickers and R A Oliver 29 Generation of Misfit Dislocations in Highly Mismatched GaNAlN Layers J Bai T Wang P J Parbrook K B Lee Q Wang and A G Cullis 33 InN Nanorods and Epilayers Similarities and Differences Z Liliental-Weber O Kryliouk H J Park J Mangum T Anderson and W Schaff 37 Residual Strain Variations in MBE-Grown InN Thin Films A Delimitis Ph Komninou J Arvanitidis M Katsikini S-L Sahonta E Dimakis S Ves E C Paloura F Pinakidou G Nouet A Georgakilas and Th Karakostas 41 Growth of c-Plane GaN Films on (100) γ-LiAlO2 by Hydride Vapour Phase Epitaxy A Mogilatenko W Neumann E Richter M Weyers B Velickov and R Uecker 45 Interaction of Stacking Faults in Wurtzite a-Plane GaN on r-Plane Sapphire R Kroumlger T Paskova and A Rosenauer 49 Composite Substrates for GaN Growth B Peacutecz L Toacuteth L Dobos P Bove H Lahregraveche and R Langer 53 GaN Layers Grown by MOCVD on Composite SiC Substrate L Toacuteth L Dobos B Peacutecz M A di Forte Poisson and R Langer 57
An Initial Exploration of GaN Grown on a Ge-(111) Substrate Y Zhang C McAleese H Xiu C J Humphreys R R Lieten S Degroote and G Borghs 61 Electron Microscopy Characterization of a Graded AlNGaN Multilayer Grown by Plasma-Assisted MBE G P Dimitrakopulos Ph Komninou Th Kehagias A Delimitis J Kioseoglou S-L Sahonta E Iliopoulos A Georgakilas and Th Karakostas 65 The Effect of Silane Treatment of AlxGa1-xN Surfaces N Ketteniss M J Kappers C McAleese and R A Oliver 69 Quantitative Analysis of Deformation Around a Nanoindentation in GaN by STEM Diffraction K K McLaughlin and W J Clegg 73 Microstructure of (GaFe)N Films Grown by Metal-Organic Chemical Vapour Deposition T Li C Simbrunner A Navarro-Quezada M Wegscheider M Quast and A Bonanni 77 Nanostructures on GaN by Microsphere Lithography W N Ng K N Hui X H Wang C H Leung P T Lai and H W Choi 81 On the Nature of Eu in Eu-Doped GaN J S Barnard and Y S Beyer 85 Part II General Heteroepitaxial Layers Recent Studies of Heteroepitaxial Systems David J Smith 91 Nitrogen-Enhanced Indium Segregation in (GaIn)(NAs)GaAs Multiple Quantum Wells E Luna A Trampert E-M Pavelescu and M Pessa 99 Nanoscale Characterisation of MBE-Grown GaMnN(001) GaAs M W Fay Y Han S V Novikov K W Edmonds B L Gallagher R P Campion C R Staddon T Foxon and P D Brown 103 Antiphase Boundaries in GaAsGe and GaPSi I Neacutemeth B Kunert W Stolz and K Volz 107 Investigation of the Local Ge Concentration in SiSiGe Multi-QW Structures by CBED Analysis and FEM Calculations E Ruh G Mussler E Muumlller and D Gruumltzmacher 111 Crystal Lattice Defects in MBE Grown Si Layers Heavily Doped with Er N D Zakharov P Werner V I Vdovin D V Denisov N A Sobolev and U Goumlsele 115 Epitaxial (001) Ge on Crystalline Oxide Grown on (001) Si Ch Dieker J W Seo A Guiller M Sousa J-P Locquet J Fompeyrine Y Panayiotatos A Sotiropoulos K Argyropoulos and A Dimoulas 119
Analysis of GeMn Magnetic Semiconductor Layers by XPS and Auger Electron SpectroscopyMicroscopy Yu A Danilov E S Demidov S Yu Zubkov V P Lesnikov G A Maximov D E Nikolitchev and V V Podolskii 123 Reduction of Threading Dislocations in Epitaxial ZnO Films Grown on Sapphire (0001) Y K Sun D Cherns P Heard R P Doherty Y Sun and M N R Ashfold 127 Part III High Resolution Microscopy and Nanoanalysis Progress in Aberration-Corrected High-Resolution Transmission Electron Microscopy of Crystalline Solids K Tillmann J Barthel L Houben C L Jia M Lentzen A Thust and K Urban 133 Strain Measurements in SiGe Devices by Aberration-Corrected High Resolution Electron Microscopy F Huumle M J Hyumltch J-M Hartmann Y Bogumilowicz and A Claverie 149 (S)TEM Characterisation of InAsMgOCo Multilayers D A Eustace D W McComb L Buckle P Buckle T Ashley L J Singh Z H Barber A M Gilbertson W R Branford S K Clowes and L F Cohen 153 Core Composition of Partial Dislocations in N-Doped 4H-SiC Determined by TEM Techniques Dislocation Core Reconstruction and Image Contrast Analysis Michaeumll Texier Maryse Lancin Gabrielle Regula and Bernard Pichaud 157 Three-Dimensional Atom Probe Characterisation of III-Nitride Quantum Well Structures Mark J Galtrey Rachel A Oliver Menno J Kappers Colin J Humphreys Debbie J Stokes Peter H Clifton and Alfred Cerezo 161 Novel Method for the Measurement of STEM Specimen Thickness by HAADF Imaging V Grillo and E Carlino 165 STEMSIMndasha New Software Tool for Simulation of STEM HAADF Z-Contrast Imaging A Rosenauer and M Schowalter 169 On the Role of Specimen Thickness in Chemistry Quantification by HAADF V Grillo E Carlino G Ciasca M De Seta and C Ferrari 173 Accurate and Fast Multislice Simulations of HAADF Image Contrast by Parallel Computing E Carlino V Grillo and P Palazzari 177 Z-contrast STEM 3D Information by Abel transform in Systems with Rotational Symmetry V Grillo E Carlino L Felisari L Manna and L Carbone 181 Quantifying the Top-Bottom Effect in Energy-Dispersive X-Ray Spectroscopy of Nanostructures Embedded in Thin Films T Walther 185
Effect of Temperature on the 002 Electron Structure Factor and its Consequence for the Quantification of Ternary and Quaternary III-V Crystals T J Titantah D Lamoen M Schowalter and A Rosenauer 189 Calculation of Debye-Waller Temperature Factors for GaAs M Schowalter A Rosenauer J T Titantah and D Lamoen 195 The Use of the Geometrical Phase Analysis to Measure Strain in Nearly Periodic Images J-L Rouviere 199 Cross Section High Resolution Imaging of Polymer-Based Materials D Delaportas P Aden C Muckle S Yeates R Treutlein S Haq and I Alexandrou 203 Part IV Self-Organised and Quantum Domain Structures Direct Observation of Carbon Nanotube Growth by Environmental Transmission Electron Microscopy H Yoshida T Uchiyama and S Takeda 209 Band-Gap Modification Induced in HgTe by Dimensional Constraint in Carbon Nanotubes Effect of Nanotube Diameter on Microstructure J Sloan R Carter A Vlandas R R Meyer Z Liu K Suenaga P J D Lindan G Lin J Harding E Flahaut C Giusca S R P Silva J L Hutchison and A I Kirkland 213 Gold Catalyzed Silicon Nanowires Defects in the Wires and Gold on the Wires M I den Hertog J L Rouviere F Dhalluin P Gentile P Ferret C Ternon and T Baron 217 Electron Microscopy Analysis of AlGaNGaN Nanowires Grown by Catalyst-Assisted Molecular Beam Epitaxy L Lari R T Murray M Gass T J Bullough P R Chalker C Chegraveze L Geelhaar and H Riechert 221 Epitaxial Growth of Single Crystalline GaN Nanowires on (0001) Al2O3 Th Kehagias Ph Komninou G P Dimitrakopulos S-L Sahonta C Chegraveze L Geelhaar H Riechert and Th Karakostas 225 Structural Characterisation of GaP lt111gtB Nanowires by HRTEM L S Karlsson J Johansson C P T Svensson T Maringrtensson B A Wacaser J-O Malm K Deppert W Seifert L Samuelson and L R Wallenberg 229 Structural and Chemical Properties of ZnTe Nanowires Grown on GaAs H Kirmse W Neumann S Kret P Dłużewski E Janik G Karczewski and T Wojtowicz 233 TEM Characterization of ZnO Nanorods R Divakar J Basu and C B Carter 237 Semiconducting Oxide Single Nanowire Cathodoluminescence Spectroscopy L Lazzarini G Salviati M Zha and D Calestani 241 Determining Buried Wetting Layer Thicknesses to Sub-Monolayer Precision by Linear Regression Analysis of Series of Spectra T Walther 247
Transmission Electron Microscopy Study of Sb-Based Quantum Dots B Satpati V Tasco N Deguffroy A N Baranov E Tournieacute and A Trampert 251 TEM Characterization of Self-Organized (InGa)N Quantum Dots H Kirmse I Haumlusler W Neumann A Strittmatter L Reiszligmann and D Bimberg 255 Investigating the Capping of InAs Quantum Dots by InGaAs S L Liew T Walther S Irsen M Hopkinson M S Skolnick and A G Cullis 259 Comparing InGaAs and GaAsSb Metamorphic Buffer Layers on GaAs Substrates for InAs Quantum Dots Emitting at 155microm Y Qiu T Walther H Y Liu C Y Jin M Hopkinson and A G Cullis 263 Structural and Compositional Properties of Strain-Symmetrized SiGeSi Heterostructures I M Ross M Gass T Walther A Bleloch A G Cullis L Lever Z Ikonic M Califano R W Kelsall J Zhang and D J Paul 269 EELS and STEM Assessment of Composition Modulation in InAlAs Tensile Buffer Layers of InGaAsInAlAs(100)InP Structures S Estradeacute J Arbiol and F Peiroacute 273 In situ Observation of the Growth of Tungsten Oxide Nanostructures D C Cox V Stolojan G Chen and S R Silva 277 Gas Sensing Properties of Vapour-Deposited Tungsten Oxide Nanostructures Y Tison V Stolojan P C P Watts D C Cox G Y Chen and S R P Silva 281 Morphology of Semiconductor Nanoparticles J Deneen Nowak and C Barry Carter 285 Part V Processed Silicon and Other Device Materials Light Emission from Si Nanostructures F Priolo G Franzograve A Irrera F Iacona S Boninelli M Miritello A Canino C Bongiorno C Spinella D Sanfilippo G Di Stefano A Piana and G Fallica 291 Hydrogenated Nanocrystalline Silicon Investigated by Conductive Atomic Force Microscopy A Cavallini D Cavalcoli M Rossi A Tomasi B Pichaud M Texier A Le Donne S Pizzini D Chrastina and G Isella 301 Structural Characterization of Nanocrystalline Silicon Layers Grown by LEPECVD for Optoelectronic Applications M Texier M Acciarri S Binetti D Cavalcoli A Cavallini D Chrastina G Isella M Lancin A Le Donne A Tomasi B Pichaud S Pizzini and M Rossi 305 Electron Tomography of Mesoporous Silica for Gas Sensor Applications E Rossinyol F Bohils F Cardoso H Montoacuten M Roldaacuten M Rosado A Saacutenchez-Chardi O Castell and M D Baroacute 309 Electron Energy-Loss Spectrum Imaging of an HfSiO High-k Dielectric Stack with a TaN Metal Gate M MacKenzie A J Craven D W McComb C M McGilvery S McFadzean and S De Gendt 313
Elemental Profiling of III-V MOSFET High-k Dielectric Gate Stacks Using EELS Spectrum Imaging P Longo A J Craven J Scott M Holland and I Thayne 317 Low-Energy Ion-Beam-Synthesis of Semiconductor Nanocrystals in Very Thin High-k Layers for Memory Applications C Bonafos S Schamm A Mouti P Dimitrakis V Ioannou-Sougleridis G Ben Assayag B Schmidt J Becker and P Normand 321 Nucleation Crystallisation and Phase Segregation in HfO2 and HfSiO C M McGilvery S McFadzean M MacKenzie F T Docherty A J Craven D W McComb and S De Gendt 325 High Accuracy and Resolution for the Separation of Nickel Silicide Polymorphs by Improved Analyses of EELS Spectra K Asayama N Hashikawa M Kawakami and H Mori 329 TEM Study of Ytterbium Silicide Thin Films J Deneen Nowak S H Song S A Campbell and C B Carter 333 TEM Study of the Silicidation Process in PtSi and IrSi Structures A Łaszcz J Ratajczak A Czerwinski J Kątcki N Breil G Larrieu and E Dubois 337 The Dielectric Properties of Co-Implanted SiO2 Investigated Using Spatially-Resolved EELS V Stolojan W Tsang and S R P Silva 341 Removing Relativistic Effects in EELS for the Determination of Optical Properties M Stoumlger-Pollach A Laister P Schattschneider P Potapov and H J Engelmann 345 Analytical STEM Comparative Study of the Incorporation of Covalent (Ge) or Heterovalent (As) Atoms in Silicon Crystal R Pantel L Clement L Rubaldo G Borot and D Dutartre 349 Lattice Location Determination of Ge in SiC by ALCHEMI T Kups M Voelskow W Skorupa M Soueidan G Ferro and J Pezoldt 353 Part VI Device and Doping Studies Moores Law and its Effect on Microscopy in the Semiconductor Industry John Mardinly 361 Tomographic Analysis of a FinFET Structure O Richard A Kalio H Bender and E Sourty 375 3-D Characterisation of the Electrostatic Potential in an Electrically Biased Silicon Device A C Twitchett-Harrison R E Dunin-Borkowski and P A Midgley 379 Three-Dimensional Field Models for Reverse Biased P-N Junctions F Ubaldi G Pozzi P F Fazzini and M Beleggia 383
Automated Quantification of Dimensions on Tomographic Reconstructions of Semiconductor Devices A Kalio O Richard E Sourty and H Bender 387 Dopant Profiling in the TEM Progress Towards Quantitative Electron Holography D Cooper A C Twitchett P A Midgley and R E Dunin-Borkowski 391 Observation of Dopant Distribution in Compound Semiconductors Using Off-axis Electron Holography H Sasaki S Ootomo T Matsuda K Yamamoto and T Hirayama 395 Dopant Profiling of Silicon Calibration Specimens by Off-Axis Electron Holography D Cooper R Truche F Laugier F Bertin and A Chabli 399 Novel Approach for Visualizing Implants in Deep Submicron Microelectronic Devices Using Dopant Selective Etching and Low keV SEM Y Chakk I Vidoshinsky and R Razilov 403 Quantitative Dopant Profiling in the SEM Including Surface States K W A Chee C Rodenburg and C J Humphreys 407 On the Asymmetric Splitting of CBED HOLZ Lines under the Gate of Recessed SiGe SourceDrain Transistors A Benedetti and H Bender 411 CBED and FE Study of Thin Foil Relaxation in Cross-Section Samples of SiSi1-xGex and SiSi1-xGexSi Heterostructures L Alexandre G Jurczak C Alfonso W Saikaly C Grosjean A Charaiuml and J Thibault 415 Stress and Strain Measurement in Stressed Silicon Lines A Beacutecheacute J L Rouviegravere J C Barbeacute F Andrieu D Rouchon J Eymery and M Mermoux 419 Measuring Strain in Semiconductor Nanostructures by Convergent Beam Electron Diffraction L Cleacutement J-L Rouviere F Cacho and R Pantel 423 Part VII FIB SEM and SPM Advances Nano-FIB from Research to Applications - a European Scalpel for Nanosciences J Gierak A Madouri A L Biance E Bourhis G Patriarche C Ulysse X Lafosse L Auvray L Bruchhaus R Jede and Peter Hawkes 431 Advanced Focused Ion Beam Specimen Preparation for Examination by Off-Axis Electron Holography D Cooper R Truche P A Midgley and R E Dunin-Borkowski 441 Critical Thickness for Semiconductor Specimens Prepared using Focused Ion Beam Milling A C Twitchett-Harrison R E Dunin-Borkowski and P A Midgley 445 Organic-Based Micropillar Structure Fabrication by Advanced Focused Ion Beam Milling Techniques Wen-Chang Hung Ali M Adawi Ashley Cadby Liam G Connolly Richard Deanl Abbes Tahraoui A M Fox David G Lidzey and A G Cullis 449
Controlled Band Gap Modulation of Hydrogenated Dilute Nitrides by SEM-Cathodoluminescence G Salviati L Lazzarini N Armani M Felici A Polimeni M Capizzi F Martelli S Rubini and A Franciosi 453 Interdiffusion as the First Step of GaN Quantum Dot Degradation Demonstrated by Cathodoluminescence Experiments B Sieber 459 Calibration and Applications of Scanning Capacitance Microscopy n-Type GaN J Sumner R A Oliver M J Kappers and C J Humphreys 463 The Factors Influencing the Stability of Scanning Capacitance Spectroscopy Mao-Nan Chang Tung-Huan Chou Che-Yu Yang and Jeng-Hung Liang 467 Growth and in vivo STM of III-V Compound Semiconductors F Bastiman A G Cullis M Hopkinson and M Green 471 Mapping Defects in Dielectrics with Dynamic Secondary Electron Contrast in the low Vacuum SEM Brad Thiel 477 EBIC Characterization of Light Emitting Structures Containing InGaNGaN MQW E B Yakimov 481 EBIC Characterisation of Diffusion and Recombination of Minority Carriers in GaN-Based LEDs G Moldovan V K S Ong O Kurniawan P Kazemian P R Edwards and C J Humphreys 485 A Parametric Study of a Diode-Resistor Contrast Model for SEM-REBIC of Electroceramics A G Wojcik and L E Wojcik 489 Author Index 491
The Puzzle of Exciton Localisation in GaN-Based Structures TEM AFM and 3D APFIM Hold the Key C J Humphreys M J Galtrey N van der Laak R A Oliver M J Kappers J S Barnard D M Graham1 and P Dawson1 Department of Materials Science and MetallurgyUniversity of Cambridge Pembroke Street Cambridge CB2 3QZ UK 1School of Physics and Astronomy University of Manchester Manchester M60 1QD UK Summary The InGaNGaN quantum well system emits intense light even though the dislocation density is high This is a puzzle since dislocations should quench the light emission Photoluminescence (PL) experiments show that the excitons in the InGaN quantum well are localised on a nanometre scale thus separating the carriers from most of the dislocations Many papers report transmission electron microscopy (TEM) results showing that this localisation is caused by gross indium clustering in the InGaN quantum wells but our TEM reveals no gross indium clustering Three-dimensional atom probe field ion microscopy confirms that InGaN is a random alloy Mechanisms are given for localisation on a nm scale Confinement on a broader length scale (50 ndash 100 nm) can also occur in some InGaN quantum wells 1 Introduction A remarkable feature of InGaNGaN quantum well LEDs is that they emit intense light even though the dislocation density is typically 109cm-2 In all other light-emitting semiconductors the light emission is quenched if the dislocation density exceeds about 103cm-2 Yet InGaN quantum wells emit strong blue and green light (depending on the In concentration) when the dislocation density is one million times higher than that in other light-emitting semiconductors even though it is known that dislocations in InGaN are non-radiative recombination centres
The widely-believed solution to the above problem up to a few years ago was that InGaN was an unstable alloy and the indium in the InGaN quantum wells formed In-rich clusters Since the band-gap of InN is less than that of GaN the bandgap of these In-rich clusters is reduced and hence the electrons and holes are spatially localised in these clusters At room temperature (and below) in InGaN an electron and hole form a bound exciton hence the In-rich clusters localise the excitons The clusters were believed to be small on a nanometre scale Statistically most threading dislocations would not pass through these nanometre-scale In-rich clusters even for a dislocation density of 109cm-2 at which the average dislocation spacing is about 300 nm Hence it was almost universally believed that the In-rich clusters localised the excitons away from most of the dislocations so that they did not quench the light emission Thus it was believed that the intense light emission observed from InGaN quantum wells with a high dislocation density was due to In-rich clusters
In this paper we first present evidence to support this argument We then show that In-rich clusters are produced in InGaN in the electron microscope due to electron beam damage However careful low-dose electron microscopy reveals no gross In clustering but it cannot rule out small In fluctuations We then report that three-dimensional atom probe analysis of InGaN quantum wells yields that InGaN is a random alloy with no In fluctuations other than would be expected of any random alloy This is consistent with our electron microscopy results Finally we return to the question of why InGaN emits intense light despite having a high dislocation density
4 C J Humphreys et al
2 The Evidence for Exciton Localisation in InGaN There is clear evidence that at low temperature the dominant emission from InGaNGaN quantum-well structures involves the recombination of strongly localised excitons (see for example [1 2]) Graham et al [2] studied the low temperature (T = 6 K) optical properties of a series of InxGa1-xNGaN single-quantum-well structures where the indium fraction x varied from sample to sample over the range 005 ndash 025 The structures were grown by Metal Organic Vapour Phase Epitaxy (MOVPE) and the InGaN quantum well was 25 nm thick By comparing the strengths of the phonon-accompanied recombination with those obtained from a theoretical model the spatial extent of the carrier wavefunctions in the plane of the quantum well was estimated This localisation length was found to range from 1 nm for the InGaN quantum well containing 25 indium to 3 nm for the 5 indium alloy Thus the exciton localisation length in the plane of the quantum well is typically about 2 nm The key question is what causes this localisation 3 The Evidence from Electron Microscopy for Indium-Rich Clusters Bright-field transmission electron microscopy (TEM) images of InGaNGaN quantum well structures were reported to show dark dot-like features with a size of about 3 nm in the InGaN quantum wells [3 4] Since an indium atom is much larger than a gallium atom fluctuations in InGaN compositions will cause variations in lattice strain and hence strain contrast in TEM images The dot-like features were therefore attributed to strain contrast Energy-dispersive X-ray analysis in the TEM suggested a correlation between the dark spots and higher indium content [4] This was confirmed by Cho et al [5] who used energy-filtered transmission electron microscopy (EFTEM) to analyse the regions of strain contrast observed in InGaN quantum wells EFTEM images clearly revealed these strained regions to be indium-rich clusters with a size of 2-3 nm
A popular method for studying these indium-rich clusters has been lattice parameter mapping In this technique high-resolution TEM lattice images are taken of InGaNGaN quantum well structures The indium-rich clusters give rise to localised strain and by measuring the local lattice fringe spacings a two-dimensional lattice parameter map can be plotted which shows the size of the indium-rich clusters to be typically a few nm Strains of the order of 10 are found in these clusters By using Vegardrsquos law the lattice parameter map can be converted to a composition map For InGaN quantum wells grown with 10-20 indium the indium-rich clusters are typically found to contain at least 80 indium [6 7] although the projection problem in TEM makes it difficult to quantify the indium content We will call such clusters ldquogross indium-rich clustersrdquo It was reported that such gross indium-rich clusters may in fact be pure InN [7] and pure InN regions with a 1-3 nm size were reported in InGaN quantum wells grown by both MOVPE and MBE as measured using high resolution TEM lattice parameter mapping of samples with mean composition of 16 In in the InGaN quantum wells [8]
The argument for gross indium clustering in InGaN quantum wells appears to be strong We know from optical measurements that the excitons in InGaN are localised on a 1-3 nm scale Thermodynamic calculations show that InGaN is unstable and should decompose into In-rich and In-poor regions [9] TEM shows gross In-rich clusters in InGaN quantum wells on a nanometre scale similar to the scale on which the excitons are localised
Because of the apparently strong and convincing arguments given above many hundreds of papers have been published stating that InGaN quantum wells contain gross In-rich clusters and that these clusters are responsible for the exciton localisation The Cambridge GaN research group has observed such clusters in the TEM many times and indeed they are among the authors of a paper demonstrating that those clusters are indium-rich [5] However this work necessarily used high electron doses for the EFTEM images which revealed the In-rich clusters We will now demonstrate that in the wide range of InGaN materials we have examined such gross indium-rich clusters do not exist and they are produced by electron beam damage in the TEM
The Puzzle of Exciton Localisation in GaN-Based Structures 5
4 The Effect of Electron Beam Damage on InGaN in the TEM We have found that InGaN quantum wells damage extremely rapidly in the electron beam of a TEM at the beam currents normally used for imaging The damage causes indium-rich clusters to form Figure 1 shows (0002) lattice fringe images of an In022Ga078N quantum well using high-resolution TEM (HRTEM) The lattice fringe images were obtained with the specimen tilted about 6-7 deg away from a lt11 2 0gt axis towards the adjacent lt10 1 0gt pole At this orientation a systematic row of reflections are excited with (0002) and (000 2 ) under equal excitation The images in Fig 1 were recorded using 400 keV incident electrons in a JEOL 4000EX Figure 1a was recorded within 20 seconds of first exposing this part of the quantum well to the electron beam Figure 1b is the same area after a few minutes of exposure We have analysed these images to produce lattice parameter maps [10 11] using a process similar to the DALI technique [7] After only a few minutes exposure to the electron beam we found nanometre-size indium clusters formed which caused local strains of up to 10 corresponding to an indium fraction x of 60 These cluster sizes strains and compositions are typical of those found by others using TEM (for example [6 7]) However we have found no evidence at all of gross indium clustering if low electron beam currents are used At low electron dose the lattice fringe image of the quantum well and the lattice parameter map are both reasonably uniform (Fig 1a 1c) [10 11] We have studied the effect of 200 300 and 400 keV incident electrons For the 200 keV electrons we used a FEI Tecnai F20-G2 We reduced the electron beam current substantially below the maximum available so that the current density incident on the sample was 35Acm-2 Electron beam damage of the InGaN QWs was already strong after less than 30 seconds of exposure to 200 keV electrons at this current density
Fig 1 A pair of HRTEM lattice fringe images demonstrating the electron-beam induced damage to an In022Ga078N quantum well The (0002) lattice fringe images were obtained using a JEOL 4000 EX operating at 400kV (a) shows the image after minimal exposure to the beam and (b) the same region after only a few minutes of exposure (c) is a lattice parameter map of (a) and (d) is a lattice parameter map of (b)
6 C J Humphreys et al
Since publishing the Smeeton et al [10 11] papers it has been suggested to us that our results may apply only to InGaN grown on MOVPE equipment at Cambridge or may be related to our TEM specimen preparation procedures rather than being a general effect We have therefore purchased a very bright blue commercial LED and examined the InGaN quantum wells it contains by TEM Again we found no evidence of gross indium clustering at low electron beam currents and short exposure times in the TEM However as the electron dose increased indium-rich clusters formed just as in the Cambridge grown samples [12] OrsquoNeill et al [13] also reported that In-rich clusters formed as a result of electron beam damage in their specimens We also prepared TEM specimens using only mechanical polishing instead of using a combination of mechanical polishing followed by ion beam thinning We observed no differences in the behaviour of both specimens in the TEM suggesting that the susceptibility of InGaN to electron beam damage is intrinsic to the InGaNGaN system and not a consequence of our ion milling procedures [12] We have also studied MBE grown InGaNGaN structures In all the samples we have studied we observe no gross indium clustering in the TEM at low beam currents and short exposure times Indium-rich clusters only appear at higher electron doses 5 Does TEM Give Any Evidence for Genuine In Clustering Slight fluctuations in the TEM image contrast of InGaN quantum wells can be observed in low-dose images It should be noted that if InGaN is a random alloy the composition will not be uniform and some statistical fluctuations will be observed Hence the small fluctuations that are observed in TEM image contrast could be due to random alloy fluctuations or to genuine low-level In-clustering In addition the initial stages of damage may already have occurred in low-dose images since significant radiation damage can occur in orienting the specimen in the electron microscope before recording the image Hence even the lowest dose images should not be treated as a faithful representation of the original specimen
In the light of the Smeeton et al [10 11] papers which suggested that the gross indium-rich clusters in InGaN quantum wells reported by many researchers might be due to electron beam damage the Gerthsen group revised their earlier conclusions [7] They observed that the indium concentration in the clusters increased with increasing irradiation time in the electron microscope However because they found In-rich clusters already in their first HRTEM images taken after only 20s of exposure to the electron beam they concluded that In-rich clusters genuinely existed in their InGaN quantum wells but that the In concentration was significantly lower than they had previously stated [14]
The Kisielowski group has recently made detailed studies of indium clustering in InGaN following their earlier work [6] They claim that InGaN quantum wells can be imaged in HRTEM with negligible electron beam damage and that indium-rich clusters genuinely exist [15] They have found that no measurable alteration of the initial element distribution occurs for electron irradiation times of up to 2 minutes and current densities of 20-40 Acm-2 [16] They report that green InxGa1-xN quantum wells (with average indium fraction x about 02) have genuine indium-rich clusters 1-3 nm wide with In content up to 040 [17] This disagrees with our findings reported above (see Fig 1)
A key question is whether the electron micrographs carefully recorded and reported in the above papers [14-17] are in fact damage free Electron-beam damage of inorganic materials in an electron microscope can be a complex process and the damage mechanism for strained thin layers of InGaN is not yet known In some inorganic materials there appears to be a threshold electron beam current density for damage to occur below which there appears to be little or no damage [18 19] If InGaN behaves in this way then Gerthsen and Kisielowski may be correct that damage-free electron micrographs of this material can be recorded However for other inorganic materials there appears to be no lower threshold electron beam current density for damage which can also occur for incident electron energies as low as 40 keV [20] If InGaN behaves in this way then damage-free microscopy is impossible Until more is
The Puzzle of Exciton Localisation in GaN-Based Structures 7
known about the mechanism(s) by which strained thin layers of InGaN damage we cannot be sure that it is possible to record high resolution electron micrographs in which the damage is negligible 6 3-D Atom Probe Studies of Indium Clustering Our low-dose TEM studies have revealed that gross indium clustering does not exist in the many InGaN quantum wells we have studied However we cannot rule out lower level indium clustering for the reasons given above namely the fact that such genuine clustering if it exists may be masked by the noise in low-dose images and genuine clusters cannot be distinguished from indium-rich clusters already created by the electron beam in even low-dose images In addition since the electron-beam damage mechanism in strained layers of InGaN is not yet known we do not know if it is possible to record damage-free electron micrographs of this material In order to assess whether low-level indium clustering genuinely exists we therefore need a different technique from electron microscopy The method should not involve exposure to high-energy electrons and it should preferably provide direct information at the atomic level of the distribution of indium in InGaN quantum wells In addition the technique should preferably avoid the projection problem in TEM
It is well known that the three-dimensional atom probe (3DAP) can provide nanometre-scale information about composition variations in a variety of materials [21] We have recently applied this technique to InGaN quantum wells Needle-shaped 3DAP specimens were prepared in a FEI Dualbeam Quanta FIBSEM All SEM imaging was performed at 5 kV and exposure times and currents were minimised in order to limit the risk of damage to the InGaN quantum wells The 3DAP images were obtained using an Oxford nanoScience instrument fitted with a prototype laser module
Figure 2 shows reconstructions of the InGaNGaN structure with the indium and gallium atoms displayed Four indium-containing quantum wells are clearly visible and we have analysed in detail the indium distribution in the bottom three of these since the top well may have been damaged by sample preparation We have compared the indium distribution with the expected distribution from a random alloy No significant deviations were found from that expected in a random alloy for all three of the quantum wells (for further details see [22 23] We therefore conclude that there is no evidence of indium clustering in this sample
Fig 2 Three-dimensional Atom Probe Field Ion Microscope (3DAP) image of InGaNGaN multi-quantum wells Each dot represents a single atom light blue is gallium and orange is indium Statistical analysis shows that the indium distribution is as expected in a random alloy
Two independent direct imaging techniques TEM and 3DAP have therefore found no
evidence for indium clustering in InGaN quantum wells The 3DAP results indicate that the distribution of indium in the InGaN sample studied is that of a random alloy Local compositional fluctuations statistically exist of course in a random alloy but there is no atomic clustering
8 C J Humphreys et al
7 Localisation Mechanisms The evidence for exciton localisation on a nanometre scale in InGaN quantum wells is strong (see Section 2) This is consistent with InGaN quantum well structures emitting intense light with high quantum efficiency despite having a high dislocation density In this section we discuss possible mechanisms for the carrier localisation having ruled out gross indium clustering 71 Quantum Well Thickness Fluctuations At low temperature excitons are known to be localised in GaAsAlGaAs quantum wells by well-width fluctuations The localisation energy is typically only a few meV and so localisation by this mechanism only occurs at low temperature in GaAsAlGaAs [24] However the localising effects of well-width fluctuations are much greater in the InGaNGaN quantum-well system both because the InGaN is more highly strained and because the piezoelectric effect is much stronger than in GaAsAlGaAs
High-resolution electron micrographs show that in the InGaNGaN quantum well system the lower quantum well interface appears to be atomically abrupt whereas the upper interface is atomically rough [2] The in-plane extent of these well-width fluctuations is small typically a few nm The thickness variation is typically one monolayer (= 0259 nm) Calculations show that for an InGaNGaN quantum well system with an indium fraction of 025 and well widths of 33 nm and 33 nm + 1 monolayer the quantum well bandgap for the n = 1 electron and hole confined states decreases by 58 meV Since kT at room temperature is 25 meV a monolayer change in quantum well thickness consistent with electron micrographs is sufficient to localise the carriers [2] 72 Indium-Localised Hole Wave Functions Bellaiche et al [25] have suggested from theoretical calculations of cubic InGaN that even for a perfectly homogeneous InGaN material the carriers could be localised The calculations predict localisation of the hole wavefunctions around indium in InGaN along randomly formed In-N-In chains Hole localisation leads to exciton localisation because of the small effective Bohr radius of excitons in GaN (= 34 nm) Chichibu et al [26] have recently explained their positron annihilation results in InGaN in terms of such In-N-In chains Unfortunately there is no theoretical calculation of the carrier localisation energy due to In-N-In chains in a random hexagonal InGaN alloy 8 Thermodynamics of Strained InGaN The thermodynamic calculations reported earlier [9] which predicted the decomposition of InGaN were for bulk material However Karpov [27] has calculated the phase diagram for an InGaN layer epitaxially matched to a GaN layer which puts the InGaN into biaxial compression The effect of the strain is to stabilise the InGaN and no decomposition is predicted for normal growth conditions
Electron microscopy of the InGaN quantum wells we have studied in this paper reveals no misfit dislocations We are aware that the measured critical thickness for the introduction of misfit dislocations depends on the resolution of the experimental technique used to detect the dislocations [28] and that electron microscopy because of the limited volume of specimen sampled may over-estimate the critical thickness However electron microscopy indicates that at least locally our InGaN quantum wells are fully strained and this is confirmed by our X-ray diffraction measurements
The Puzzle of Exciton Localisation in GaN-Based Structures 9
Hence we would not expect indium-rich clusters to form in strained InGaN quantum wells and
this is precisely what our TEM and 3DAP results reveal 9 Confinement in InGaN Quantum Wells with Gross Fluctuations in Width We have shown above that dislocations do not quench the light emission from InGaN quantum wells because the excitons are localised on a nanometre scale The localisation is due to quantum well thickness fluctuations on an atomic scale the interface steps being a few nm in lateral extent and may also be due to localisation of the hole wavefunction at randomly formed In-N-In chains in the homogeneous InGaN alloy We will now consider an additional confinement mechanism on a different length scale in InGaN quantum wells with gross length scale fluctuations
It has been known for some time that under certain conditions epilayers of various materials
50 nm
Fig 3 A cross-sectional STEM-HAADF image of a commercial green-emitting LED showing gross thickness variations (some of which are arrowed) in all four InGaN quantum wells
600nm 600nm
(a) (b)
Fig 4 AFM images of InGaN epilayers with gross thickness fluctuations showing the InGaN network structure of interlinking strips (a) shows the network structure after the InGaN has been subjected to a temperature ramp and (b) after it has been subjected to an anneal at the growth temperature
10 C J Humphreys et al
can exhibit gross fluctuations in thickness [29 30] Figure 3 shows a cross-sectional transmission electron micrograph of a bright commercial LED showing gross thickness fluctuations in all four InGaN quantum wells We have also grown InGaN quantum wells with similar gross thickness fluctuations [31 32] These fluctuations occur if the barrier material is grown at a higher temperature than the quantum well layer (two-temperature growth) or if the quantum well is annealed at its (lower) growth temperature prior to growth of the barrier at the same temperature
The two-dimensional nature of the thickness fluctuations in the plane of the quantum wells is not very apparent in the electron micrograph of Fig 3 Atomic force microscopy (AFM) has therefore been performed on an InGaN epilayer subject to a temperature ramp (Fig 4a) or an anneal (Fig 4b) In each case the layer-thickness fluctuations can be seen to take the form of interlinking strips of InGaN EDX analysis in the TEM shows that indium is depleted at the edges of the strips and between the strips there is no indium at all only GaN We have recorded bright field images of an annealed InGaN epilayer down three different 1213 zone axes (Fig 5 a b and c) since all threading dislocations are visible using this zone axis orientation [33] The electron microscopy reveals that 90 of the threading dislocations terminate in the GaN regions between the InGaN strips [31] (Fig 5) This physical separation of 90 of the dislocations from the light emitting InGaN strips prevents exciton recombination at these dislocations and hence may enhance light emission Since the InGaN strips are typically about 50-100 nm across this separation of the dislocations from the light-emitting InGaN strips is on a totally different length scale from the few nanometre-scale localisation of the excitons described earlier in this paper
The quantum wells that exhibit this network structure emit very bright light in some cases brighter than equivalent quantum wells of more uniform thickness Hence the network structure provides an additional mechanism for confining the light emission away from the dislocations 10 Conclusions Low-dose TEM shows no evidence of gross indium clusters in InGaN quantum wells that emit bright light 3DAP shows that InGaN is a homogeneous random alloy consistent with TEM results and with thermodynamic calculations that take strain into account Hence indium-rich clusters in InGaN are not necessary for bright light emission Optical studies of InGaN show that the excitons are localised on a nanometre scale which prevents them diffusing to dislocations which would quench the light emission Excitons can be localised by atomic scale well-width fluctuations and by In atoms in In-N-In chains forming statistically in a homogeneous InGaN alloy Calculated localisation energies at In atoms in In-N-In chains in hexagonal InGaN are not yet available However the localisation energy provided by a monolayer well-width fluctuation of an InGaN quantum well is about 60 meV sufficient to localise excitons at room temperature We therefore have a consistent story that in the InGaNGaN quantum-well system the InGaN is a
a b c
Fig 5 Bright field plan-view TEM images of an annealed InGaN epilayer taken down different 1213 zone axes (Fig 5 a b and c) All threading dislocations (edge mixed and screw) are revealed in this orientation From the different projections it can be determined that 90plusmn8 of the threading dislocations terminate between the interlinking InGaN strips
The Puzzle of Exciton Localisation in GaN-Based Structures 11
random alloy Localisation of the excitons may be due to monolayer thickness variations of the quantum wells which TEM suggests occur on a few nm in-plane length scale consistent with the PL evidence of the in-plane localisation length of the excitons of a few nm The 60 meV localisation energy strongly localises the excitons at room temperature Additionally excitons may be localised around randomly formed In-N-In chains in InGaN but the localisation energy for this in hexagonal InGaN is not yet known
Confinement on a different length scale about 50-100 nm has recently been observed in InGaN quantum wells that have gross well-width fluctuations produced by annealing or two-temperature growth Such quantum wells consist of network structures in which the dislocations are separated from the InGaN light-emitting regions These structures emit very bright light consistent with them containing an additional confinement mechanism Hence in these network structures there may be exciton confinement on both a few nm and a 50 nm scale Acknowledgements The authors are grateful to Dr T M Smeeton for stimulating discussions and to the EPSRC the EU PARSEM grant and Thomas Swan Scientific Equipment Limited for financial support References 1 Chichibu S Wada K and Nakamura S 1997 Appl Phys Lett 71 2346 2 Graham D M Soltani-Vala A Dawson P Godfrey M J Smeeton T M Barnard J S Kappers M
J Humphreys C J and Thrush E J 2005 J Appl Phys 97 103508 3 Chichibu S Azuhata T Sota T and Nakamura S 1996 Appl Phys Lett 69 4188 4 Narukawa Y Kawakami Y Funato M Fujita S and Nakamura S 1997 App Phys Lett 70 981 5 Cho H K Lee J Y Sharma N Humphreys C J Yang G M Kim C S Song J H and Yu P W
2001 Appl Phys Lett 79 2594 6 Kisielowski C Liliental-Weber Z and Nakamura S 1997 Japan J Appl Phys 36 6932 7 Gerthsen D Hahn E Neubauer B Rosenauer A Schoumln O Heuken M and Rizzi A 2000 Phys
Stat Sol(a) 177 145 8 Ruterana P Kret S Vivet A Maciejewski G and Dluzewski P J 2002 Appl Phys 91 8979 9 Ho I and Stringfellow G B 1996 Appl Phys Lett 69 2701 10 Smeeton T M Kappers M J Barnard J S Vickers M E and Humphreys C J 2003 Appl Phys
Lett 83 5419 11 Smeeton T M Kappers M J Barnard J S Vickers M E and Humphreys C J 2003 Phys Stat
Sol(b) 240 297 12 Smeeton T M Humphreys C J Barnard J S and Kappers M J 2006 J Mater Sci 41 2729 13 OrsquoNeill J P Ross I M Cullis A G Wang T and Parbrook P J 2003 Appl Phys Lett 83 1965 14 Li T Hahn E Gerthsen D Rosenauer R Strittmatter A Reissmann L and Bimberg D 2005
Appl Phys Lett 86 241911 15 Jinschek J R and Kisielowski C 2006 Physica B 376 536 16 Bartel T Jinschek J R Freitag B Specht P and Kisielowski C 2006 Phys Stat Sol(a) 203
167 17 Jinschek J R Erni R Gardner N F Kim A Y and Kisielowski C 2006 Solid State
Communications 137 230 18 Mochel M E Humphreys C J Eades J A Mochel J M and Petford A M 1983 Appl Phys Lett
42 392 19 Salisbury I G Timsit R S Berger S D and Humphreys C J 1984 Appl Phys Lett 45 1289 20 Turner P S Bullough T J Devenish R W Maher D M and Humphreys C J 1990 Phil Mag
Lett 61 181 21 Cerezo A Godfrey T J and Smith G D W 1988 Rev Sci Inst 59 862
12 C J Humphreys et al
22 Galtrey M J Oliver R A Kappers M J Humphreys C J Stokes D J Clifton P H and Cerezo A 2007 Appl Phys Lett 90 061903
23 Galtrey M J Oliver R A Kappers M J Humphreys C J Stokes D J Clifton P H and Cerezo A 2007 (These proceedings)
24 Orton J W Fewster P F Gowers J P Dawson P Moore K J Dobson P J Curling C J Foxon C T Woodbridge K Duggan G and Ralph H I 1987 Semicond Sci Technol 2 597
25 Bellaiche L Mattila T Wang L-W Wei S-H and Zunger A 1999 Appl Phys Lett 74 1842 26 Chichibu S F Uedono A Onuma T Haskell B A Chakraborty A Koyama T Fini P T Keller
S Denbarrs S P Speck J S Mishra U K Nakamura S Yamaguchi S Kamiyama S Amano H Akasaki I Han J and Sota T 2006 Nature Materials 5 810
27 Karpov S Y 1998 MRS Internet J Nitride Semicond Res 3 16 28 Eaglesham D J Kvam E P Maher D M Humphreys C J Green G S Tanner B K and Bean J C
1988 Appl Phys Lett 53 2083 29 Walther T Humphreys C J and Cullis A G 1997 Appl Phys Lett 71 809 30 Walther T and Humphreys C J 1999 J Crystal Growth 197 113 31 van der Laak N K Oliver R A Kappers M J and Humphreys C J 2007 Appl Phys Lett 90
121911 32 van der Laak N K Oliver R A Kappers M J and Humphreys C J 2007 J Appl Phys
(Submitted) 33 Datta R Kappers M J Barnard J S and Humphreys C J 2004 Appl Phys Lett 85 3411
Elastic Strain Distribution in GaNAlN Quantum Dot Structures Theory and Experiment A Andreev E Sarigiannidou1 E Monroy2 B Daudin2 and J Rouviegravere2 Advanced Technology Institute University of Surrey Guildford GU2 7XH UK 1 INP Grenoble ndash MINATEC 3 Parvis Louis Neacuteel 38016 Grenoble Cedex 1- France 2 CEA-Grenoble DRFMCSP2MLEMMA GEM-minatec 17 rue des Martyrs 38054 Grenoble Cedex 9 France Summary We present a theory of strain distribution in GaNAlN quantum dot (QD) structures and compare the results of calculations with experimentally measured strain maps from HRTEM images using geometrical phase analysis We find that the AlN spacers situated between the wetting layers are almost fully relaxed On the contrary the AlN spacers located between the vertically correlated GaN QDs are found to be in a tensile strain state This result demonstrates that the biaxial strain approximation is not valid for the case of a three-dimensional system like a QD 1 Introduction Nitride quantum dots (QDs) are of great interest because of their potential applications in optoelectronic devices from the ultraviolet to the infrared energy range [1] The piezoelectric polarization whose magnitude is comparable to the spontaneous polarization induces a significant blue-shift of the luminescence spectra of GaNAlN QDs Therefore knowledge of the strain distribution in QDs is essential to understand their electronic structure and tune their emission wavelength for the desired application
The aim of this paper is to study the strain tensor spatial variation in GaNAlN quantum dots both theoretically and experimentally We demonstrate a good qualitative and quantitative agreement between simulated and measured strain maps Surprisingly we found that thin foil effects can be neglected for strain calculations in our samples 2 Theory The calculation of the spatial strain distribution in a QD structure requires the solution of a 3D problem in elasticity theory In this paper we employ a method we previously developed to calculate the strain tensor in a semiconductor structure containing QDs of arbitrary shape [23] This method is based on a Greenrsquos function approach and allows nearly analytical solution of the problem In particular a simple analytical formula can be derived for the Fourier transform of the strain tensor in a hexagonal QD structure [2] in case when the elastic constants of the QD and matrix materials are assumed to be the same Simple iterative procedure can be used to take account of the differences in elastic constants [2] However it should be noted that the differences in reported values of elastic constants in GaN and AlN are greater that the average values of the constants for each of the material [24] Therefore taking account of the difference of the elastic constants would not improve the model in our case and also does not change the results noticeably
To calculate the spatial strain tensor εij we summed up the Fourier series
)exp()(~)2()(321
3
rr nn
n ξξεπε iddd
sijij sum= (1)
14 A Andreev et al
where d1 d2 d3 ndash are the periods of the QD array in x y z directions respectively )(~nξε s
ij is the Fourier transform of the strain tensor for a single QD [2] The summation is taken over all possible values of the components of the vector iii dn 2πξ = with the cut-off of n1max=n2max=n3max=150 Fourier components for each direction The periods of the QD array are taken from experiment and are equal to 546 nm in the lateral (x-y) direction and 10 nm in the vertical (z axis) direction The results of the calculations are presented in Fig 2 3 Experiment and Results The wurtzite GaNAlN QD superlattice sample that is studied in this paper was grown by plasma-assisted molecular beam epitaxy (PAMBE) in a MECA2000 molecular beam epitaxy chamber equipped with standard effusion cells for Al and Ga Active nitrogen was produced in a radio-
Fig 1 Schematic top and side views of the GaN QDs studied in this paper showing dot shape and geometrical parameters
-20 -10 0 10 20
-4
-2
0
2
4exx -33-27-21-16-10-04401206913
z n
m
x nm-20 -10 0 10 20
-4
-2
0
2
4
x nm
z n
m
-25-19-12-055010075142025
ezz
Fig 2 Calculated spatial variation of the material strain tensor components εxx εzz εxz (measured in percent) and the elastic energy per unit volume (in au) for the GaN QD structure with the parameters shown in Fig 1
[2-1-10][01-10]
Diameter
[2-1-10][01-10]
Diameter
Diameter =~30nm
Height= ~4nm
lt01-13gt
a=~30deg
~12-13nm
Diameter =~30nm
Height= ~4nm
lt01-13gt
a=~30deg
~12-13nm
-20 -10 0 10 20
-4
-2
0
2
4 densityenergy
0003000450087013017021026030034
z n
m
x nm
au
-20 -10 0 10 20
-4
-2
0
2
4 exz -11-084-056-028002805608411
z n
m
x nm
Elastic Strain Distribution in GaNAIN Quantum Dot Structures 15
frequency plasma cell by dissociation of N2 The superlattice consists of 80 GaN QD layers embedded in an AlN matrix The growth of GaN on AlN was performed under N-rich conditions by depositing an amount of about 6 monolayers (ML) of GaN on ~10nm of AlN matrix Under these conditions the growth starts layer-by-layer leading to the formation of a 2 MLs GaN wetting layer (WL) followed rapidly by the formation of 3D islands by elastic relaxation (Stranski-Krastanow growth mode) [5] A JEOL4000EX electron microscope was used for the HRTEM observations TEM cross-section samples were prepared using the standard techniques of mechanical polishing and Ar+ ion milling All HRTEM images were directly recorded by an on-line charge-coupled device (CCD) camera of (2048 x 2048) pixels In order to measure and map the strain fields that are present in the sample high-resolution images were analyzed by using the geometrical phase analysis method (GPA) [6] This method has proven to be a powerful tool for extracting quantitative strain information from HRTEM images [67]
Before presenting the experimental and theoretical strain results it is important to recall the definitions of strain used by the various methods Strain results are expressed using two different strain tensors the GPA strain and material strain the latter is defined by Eq(1) The GPA measures strain with respect to a reference area in the HRTEM image following the expressions
ref
refGPAxxref
refGPAzz a
ararec
crcre minus=
minus=
)()()()( (2)
where c(r) and a(r) are the lattice parameters at the pixel r of the HRTEM image and cref
and aref are the values averaged on the reference region of the image This definition of strain called here ldquoGPA strainrdquo is also known as GPA Lagrange strain [7] In this work we have chosen the AlN matrix situated between two WLs as the reference region The material strain εij can be easily obtained from GPA strain [4] We summarise the results for measured and calculated strain values in Table I We find that the AlN spacers situated between wetting layers are almost fully relaxed On the contrary the AlN spacers located between the vertically correlated GaN QDs (Figs 3 and 4) are found to be in a tensile strain state The GaN QDs are almost completely strained on the barriers in the a-direction while they are under a slight tensile strain in the c-direction This result demonstrates that the biaxial strain approximation is not valid for the case of a three-dimensional system like a QD Finally all these results demonstrate that the mechanism responsible for the vertical correlation of GaNAlN QDs is neither the presence of threading dislocations nor Ga-Al interdiffusion but the local strain induced in the AlN matrix by the presence of the buried GaN QD layers
Fig 3 Off-axis HRTEM image of two vertically aligned GaN QDs embedded inside an AlN matrix and corresponding experimental ezz GPA strain map
5 nm
GaNQD
AlN
[0001]
5 nm
GaNQD
AlN
[0001]
-19
~0
25
50
e zz
GPA
Str
ain
( )
-19
~0
25
50
e zz
GPA
Str
ain
( )
-19
~0
25
50
-19
~0
25
50
e zz
GPA
Str
ain
( )
16 A Andreev et al
Table I Experimental and calculated values of the GPA strain components in various region of the sample
ezz GPA strain exx GPA strain exper (plusmn04) theory exper (plusmn06) theory AlN (WL) asymp0 asymp0 (0 (0 AlN (between QDs)
-19 -192 09 100
GaN (WL) 51 554 (0 -003 GaN (QDs) 27 331 09 118
4 Conclusions In conclusion using HRTEM measurements in combination with theoretical calculations the strain distribution in a GaNAlN QD SL has been investigated The GaN WL roughly follows a biaxial deformation which is not the case for the GaN in the QDs due to the compressive hydrostatic stress imposed by the AlN matrix We have experimentally identified the mechanism responsible for the vertical correlation of GaNAlN QDs which is the local strain induced in the AlN matrix by the presence of dots References 1 Nakamura S Pearton S and Fosol G 2000 The Blue Laser Diode The Complete Story
(Springer Heidelberg) 2 Andreev A D and OrsquoReilly E P 2000 Phys Rev B 62 15851 3 Sarigiannidou E Monroy E Daudin B Rouviegravere J L and Andreev A D 2005 Appl Phys Lett
87 203112 4 Christmas U M E Andreev A D and Faux D A 2005 J Appl Phys 98 073522 5 Daudin B Widmann F Feuillet G Samson Y Arlery M and Rouviegravere J L 1997 Phys Rev B
56 R7069 6 Hyumltch M J Snoeck E and Kilaas R 1998 Ultramicroscopy 74 131 7 Rouviere J L and Sarigiannidou E 2005 Ultramicroscopy 106 1
Fig 4 High-resolution image of two GaN QDs of the superlattice taken along the [2minus1minus10] direction and corresponding GPA strain map for the exx
5 nm
GaN
AlN5 nm
GaN
AlN
22
-15
05
-08
~0
11
22
-15
05
-08
~0
11
22
-15
05
-08
~0
11
Concentration Evaluation in Nanometre-Sized InxGa1-xN Islands Using Transmission Electron Microscopy A Pretorius K Muumlller T Yamaguchi R Kroumlger D Hommel and A Rosenauer Institute of Solid State Physics University of Bremen 28334 Bremen Germany Summary In this work the indium concentration of uncapped InGaN samples is measured by three different transmission electron microscopy approaches which are based on measurement of local lattice plane distances In the case of three dimensional nanometre-sized uncapped InGaN islands an increase of the indium concentration from the base of the islands toward their tip is observed Additionally an indication is presented that the local indium concentration in the islands is influenced by the vicinity of other islands 1 Introduction Semiconductor quantum structures with dimensions of only a few nanometres in one or more dimensions can be used in high quality optoelectronic devices Especially quantum dots (QDs) in the active region of these devices can improve the optical properties and lead to a reduced and temperature independent threshold current density [1] InGaN QDs are very promising for achievement of eg a QD laser emitting in the blue spectral range due to the direct band gap which can be adjusted between 3508 eV (GaN [2]) and ~07 eV (InN [3ndash5]) Within this scope the indium concentration x of InxGa1-xN is a crucial parameter influencing the wavelength of the emitted light In this work the indium concentration of free-standing nanometre-sized InGaN islands is measured by different transmission electron microscopy (TEM) approaches 2 Experimental 21 Sample Growth All structures analysed for this work were grown by metal organic vapour phase epitaxy (MOVPE) The substrates consist of approximately 2 microm thick MOVPE grown GaN deposited on (0001) sapphire On top of the GaN a 200 nm to 300 nm thick GaN buffer layer was grown at 1030degC A subsequent growth interruption of approximately five minutes was used to adjust to the lower growth temperature of InGaN of only 600degC The indium to group three flux ratio was 0736 for sample C2 and 0582 for the samples C3a and C3b The InGaN deposition time tInGaN was varied between 22 s (C2) 17 s (C3a) and 52 s (C3b) With these growth conditions smooth two dimensional InGaN layers or free-standing InGaN islands with dimensions of a few nanometres were obtained depending on the InGaN growth duration 22 TEM Analyses For derivation of the local indium concentration x in the InxGa1-xN structures three different methods were employed which are based on measurement of lattice plane distances by TEM
An easy to use and established method to derive the local concentration in InxGa1-xNGaN heterostructures is the evaluation of 0002 fringe images using strain state analysis Using Vegards rule [6] and linear elasticity theory x can be derived from measured fringe distances of high resolution transmission electron microscopy (HRTEM) images Nevertheless the measured hkil
18 A Pretorius et al
fringe distance dhkilm in a HRTEM image of a heterostructure differs from the actual hkil lattice plane
distance dhkil inside the crystal by a factor which contains the gradients of the phases phkil of the complex beam amplitudes Fhkil of the used beams [7] These differences have to be minimised to obtain reliable values of the indium concentration This can be done in the case of two beam imaging Corresponding imaging conditions for 0002 fringe imaging of InGaNGaN are given in [7]
In case of free-standing InGaN islands with [0001] growth direction elastic relaxation of the islands in the (0001) plane has to be taken into account This was done by evaluation of object exit wave functions of islands which were derived by focus variation object wave function reconstruction (FVOWR) using the True Image program (FEI) [8]
In case of the FVOWR which was performed along a low index zone axis (ZA) orientation the imaging conditions are not optimised which may lead to an imprecise measurement of the concentration as mentioned before To derive a more accurate value of x 0002 fringe images were recorded with the optimised imaging conditions reported in [7] Additionally optimised imaging conditions for 1-100 fringe images were calculated to obtain the strain state of the free-standing islands in the (0001) plane For the lt11-20gt ZA orientation presented in this work minimal errors are obtained by using a centre of Laue circle (COLC) of 11 -11 0 -22 By recording 0002 as well as 1-100 fringe images from the same sample area both resulting images were superposed and the final image was evaluated using the DALI software package [9] This method will be referred to as ldquosuperposition methodrdquo in the following
All fringe images for this work were recorded with a CM20 UT microscope equipped with a LaB6 filament and operated at 200 kV The defocus series for the FVOWR were done using a CS-corrected TITAN 80300 (FEI NanoPort Eindhoven) with field emission gun which was operated at 300 kV 3 Results and Discussion For the lower indium to group three flux ratio of 0582 a comparably smooth two dimensional InGaN layer is obtained for tInGaN = 17 s (sample C3a) as shown in Fig 1a Strain state analysis of 0002 fringe images of this sample showed an InGaN layer thickness of (19 27) nm and indium concentration of 022 plusmn 004 0002 fringe analysis is in this case sufficient as no lateral relaxation in the (0001) plane is present except due to a possible relaxation in about electron beam direction due to a thin TEM foil The uncertainty due to the unknown amount of foil relaxation is included in the error of the given indium concentration
Using unchanged growth conditions but a longer InGaN growth time of 52 s (sample C3b) InGaN islands are formed with average height of 38 nm and diameter at the island base of 78 nm (Fig 1b) The total thickness of the InGaN region up to the top of the islands varies between 60 nm and 70 nm as derived by strain state analyses of 0002 fringe images No misfit dislocations were observed inside the islands ie they relax only elastically In agreement with finite element (FE) calculations it is assumed in the following that the InGaN at the base of an island is completely strained to the a lattice parameter of GaN and completely relaxed at the tip of the island 0002 fringe analyses then result in an indium concentration at the islands bases which varies between 019 and
Fig 1 HRTEM images taken along the lt11-20gt ZA of InGaN layers grown on GaN a) Two dimensional InGaN layer with small surface roughness of sample C3a (tInGaN = 17 s) b) InGaN islands of sample C3b grown with identical growth parameters as C3a but with tInGaN = 52 s Markings are valid for both images
Concentration Evaluation in Nanometre-Sized InxGa1-xN Islands 19
032 for different islands At the islands tips x varies between 030 and 051 It has to be mentioned that the measured values of the indium concentration are influenced by a thickness gradient of the TEM specimen which is inevitably present in case of the free-standing InGaN islands Nevertheless Bloch wave calculations showed that this thickness gradient is not responsible for the observed increase of x toward the tip of the islands
To derive more precise values of x also in between an islands base and tip defocus series were taken from islands of sample C3b along the [11-20] ZA and the object exit wave functions were reconstructed and analysed Fig 2a shows the amplitude of the reconstructed object exit wave of one island The measured distances d0002
m and d1-100m in [0002] and [1-100] were fitted and from the fit
functions the indium concentration x was calculated under the assumption that the strain within each (0001) plane of the island is laterally homogeneous The result is shown in Fig 2b From focus series reconstructions it is obtained that at the GaNInGaN interface x increases in growth direction in the first few monolayers (MLs) corresponding to about 12 nm This is followed by an approximately 65 ML thick plateau of indium concentration 022 plusmn 007 Subsequently x increases with approximately constant slope towards the top of the islands where it reaches about 04 The first increase of x and the plateau is interpreted as wetting layer (WL) The concentration of the WL is in good agreement with the concentration of the two dimensional InGaN film of sample C3a
For sample C2 which was grown with a higher indium to group three flux ratio of 0736 for tInGaN of 22 s 0002 fringe analyses were performed Assuming again that the InGaN at the bases of the islands is completely strained to the a lattice parameter of the underlaying GaN the indium concentration at the base varies between 029 and 042 for different analysed islands The assumption of completely relaxed material at the tips of the islands results in x varying between 047 and 069 For this sample also the ldquosuperposition methodrdquo was employed The superposed image and the map of the indium concentration derived from this image is displayed in Fig 3 Again x was calculated assuming that the strain within each (0001) plane of the island is homogeneous It can be seen from
a) b)
Fig 3 Superposition of 0002 and 1-100 fringe images The indium concentration x is displayed for the island on the right hand side
Fig 2 a) Amplitude of the reconstructed object exit wave function of one island of sample C3b The indium concentration along the centre of the island in growth direction as marked by the rectangle is displayed in b) The error bars are determined by the accuracy of the fit functions of d0002
m and d1-100m
20 A Pretorius et al
Fig 3 that x increases in approximately growth direction Nevertheless a misalignment along the [0002] direction between the 0002 and the 1-100 fringe images cannot be excluded especially as the image contrast is different for both imaging conditions As the 0002 fringe distance in the InGaN area is constant this will only affect the apparent onset of the increase of x but not the increase itself Thus also here an increase of the indium concentration in growth direction in the islands is obtained as was observed for sample C3b From Fig 3 it can also be seen that the increase of x is more pronounced towards the upper right side of the image As the island on the left hand side shows a more pronounced increase of x towards the left side (not shown here) the inclined increase of x cannot be due to a misalignment of the 1-100 and the 0002 images along the [1-100] direction Nevertheless the inclined gradient could be due to the vicinity of the second island seen on the left hand side of Fig 3 which changes the strain at the position of the island on the right hand side
The increase of the indium concentration in approximately the growth direction observed for the analysed free-standing InGaN islands of samples C2 and C3b is probably due to the composition pulling effect [1011] due to strain energy the incorporation of indium atoms at sites with lateral lattice parameter closer to InN is energetically favourable As the elastic relaxation of an island increases in the growth direction these positions represent a preferential location for indium in comparison to incorporation eg on top of the WL 4 Conclusions Three different TEM approaches based on the derivation of local lattice parameters were used to evaluate the indium concentration of uncapped InGaN nanostructures These are 0002 fringe analysis evaluation of object exit wave functions and a new ldquosuperposition methodrdquo All three approaches show in the case of free-standing three dimensional InGaN islands an increase of the indium concentration toward the tips of the islands A possible explanation is the composition pulling effect [1011] which may lead to a minimisation of strain energy Additionally an indication is presented that the gradient of x in the islands is influenced by the strain field arising from the vicinity of other islands Acknowledgements Many thanks to Dr Bert Freitag (FEI) who operated the TITAN 80300 to the FEI company for supplying the True Image program and to Dr Marco Schowalter for the FE calculations Financial support by the Deutsche Forschungsgemeinschaft (DFG grant no 506) is gratefully acknowledged References 1 Arakawa Y and Sakaki H 1982 Appl Phys Lett 40 939 2 Vurgaftman I Meyer J R and Ram-Mohan L R 2001 J Appl Phys 89 5815 3 Davydov V Y Klochikhin A A Emtsev V V Ivanov S V Vekshin V V Bechstedt F
Furthmuumlller J Harima H Mudryi A V Hashimoto A Yamamoto A Aderhold J Graul J and Haller E E 2002 phys stat sol b 230 R4
4 Wu J Walukiewicz W Yu K M Ager III J W Haller E E Lu H and Schaff W J 2002 Appl Phys Lett 80 4741
5 Matsuoka T Okamoto H Nakao M Harima H and Kurimoto E 2002 Appl Phys Lett 81 1246 6 Vegard L 1921 Z Phys 5 17 7 Rosenauer A Gerthsen D and Potin V 2006 phys stat sol a 203 176 8 Coene W M J Thust A op de Beeck M and van Dyck D 1996 Ultramicroscopy 64 109 9 Rosenauer A Kaiser S Reisinger T Zweck J and Gebhardt W 1996 Optik 102 63 10 Shimizu M Kawaguchi Y Hiramatsu K and Sawaki N 1997 Sol-Stat Elektron 41 145 11 Zhang J Hao M Li P and Chua S J 2002 Appl Phys Lett 80 485
Optical Properties of InGaN Quantum Dots With and Without a GaN Capping Layer Q Wang T Wang P J Parbrook J Bai and A G Cullis Department of Electronic and Electrical Engineering University of Sheffield Mappin Street Sheffield S1 3JD UK Summary Optical investigations have been carried out on InGaN quantum dots (QDs) with and without a GaN capping layer showing a massive difference in terms of photoluminescence (PL) emission energy and intensity A large difference has also been observed in excitation power dependent PL spectra All these differences can be attributed to the existence of the strong quantum confined Stark effect (QCSE) in the QDs with the capping layer A numerical calculation based on the QCSE model has been made showing a good agreement with the PL data 1 Introduction
Although InGaN-based violet laser diodes (LDs) are commercially available they suffer from a high threshold current density much higher than that for GaAs-based LDs in the few kAcm2
range [1-2] Generally quantum dot (QD) structures have been predicted to significantly decrease the threshold of LDs In particular the improvement in threshold current due to QDs theoretically should be more enhanced in GaN-based wide-band gap semiconductors than for other III-V semiconductor lasers Arwakawa et al pointed out that the expected threshold current for GaN-based LDs should be in the same range as for GaAs-based LDs if the size of GaN-based QDs is small enough [3] So far there are a large number of reports studying optical properties of InGaN-based QDs grown by metal-organic chemical vapor deposition (MOCVD) or molecular beam epitaxy (MBE) [3-5] although there is no report on InGaN QD-based devices with good performance Generally the characterization of surface morphology is carried out on a single layer of quantum dots without any capping layer while the investigation of optical properties is performed on QDs with a capping layer However so far there is no report on comparing the optical properties of the InGaN QDs with and without a capping layer to our best knowledge We are successful in growing InGaN QDs with a high density up to 9times1010cm-2 on a GaN surface using the so-called growth interruption approach by MOCVD Then the influence of a capping layer on the optical properties of the InGaN QDs has been investigated In contrast to our expectation the InGaN QDs without a GaN capping layer show stronger photoluminescence (PL) emission and much higher emission energy than the InGaN QDs grown under identical conditions but with a GaN capping layer Both an excitation power dependent PL measurement and a numerical calculation within the framework of effective-mass approximation and variational approach confirm the existence of a strong quantum-confined Stark effect (QCSE) in the InGaN QDs with the capping GaN layer while there is no QCSE in the InGaN QDs without the capping layer 2 Sample Growth All the samples investigated were grown on (0001) sapphire substrates using high temperature AlN buffer technology by low pressure MOCVD as we have previously reported [6] In this case the high temperature AlN layer can massively improve the crystal quality of the overlying GaN layer subsequently grown [6] An AlN layer of thickness ~05 microm was directly grown on a
22 Q Wang et al
sapphire substrate at 12000C and then the temperature was lowered to a normal temperature for growth of ~1 microm GaN Afterwards the temperature was reduced to 755oC an optimal temperature for growth of our InGaN QDs At this temperature a 10 nm GaN layer was first deposited prior to growth of any InGaN QDs An interrupted growth approach was employed to grow InGaN QDs similar to the method used in ref [4] In our approach the InGaN was initially deposited for 20 seconds followed by a 20 second growth interruption and the deposition of another InGaN layer was then carried out under identical conditions for another 20 seconds Once the growth was finished the temperature was immediately reduced to room temperature in the case of the QD structures without a capping layer labeled as surface quantum dots (SQDs) For the QD structures with a capping layer a 20 nm GaN capping layer was continuously grown at 7750C and then the temperature was immediately reduced to room temperature giving capped QDs (CQDs) 3 Results and Discussion Figure 1a shows a typical AFM result of InGaN QDs dots with an approximate density of 9x1010cm-2 on a GaN surface Further numerical analysis indicates that the QDs have a diameter of 20 nm and a height of 15 nm on average For comparison Fig 1b shows a typical AFM image of the InGaN QDs grown under identical conditions but with a 20 nm GaN capping layer ie CQDs where a smooth surface except a few dark points can be observed The dark points have been generally observed in InGaNGaN quantum well structures in particular in high indium composition samples which are related to the so-called ldquoVrdquo defects [7]
To perform the PL
measurements the samples were held in a helium closed-circuit refrigerator The PL was measured using a 325 nm He-Cd laser to excite the investigated samples Figure 2 shows the PL spectra of both SQDs and CQDs measured at 10K Although the InGaN QDs themselves were grown under identical conditions a major difference has been observed between SQDs and
CQDs The SQDs show a strong emission at 257eV while in contrast to this the PL intensity of the CQDs is ~20 times weaker at 222 eV and the emission energy shows ~350 meV red shift compared with the SQDs In addition the PL spectrum of another five-stacked QD sample grown under identical conditions also showed almost identical emission energy to the CQDs except a more intense PL intensity confirming that the emission at 222 eV is indeed from the InGaN QDs
Figure 3 shows the excitation power dependent PL spectra of both samples recorded at excitation powers from 02 to 25 mW measured at 10K Figure 3a shows a blue-shift of ~35 meV for the CQDs when the excitation power is increased from 02 to 25 mW while there is a negligible shift in emission energy to be observed in the SQDs as shown in Fig 3b The excitation
Fig 1 a) A typical AFM image of InGaN quantum dots without a GaN cap showing an approximate density of 9times1010cm-2 b) A typical AFM image of InGaN quantum dots with a 20nm GaN cap layer showing a smooth surface except for a few V-defects
16 18 20 22 24 26 28 30 32
SQDs
PL
Inst
ensi
ty(a
u)
Emission Energy(eV)
BQDs
Fig 2 PL spectra of SQDs and CQDs at 10 K
SQDs
CQDs
Optical Properties of InGaN Quantum Dots With and Without a GaN Capping Layer 23
power induced blue shift suggests that there exists a strong quantum-confined Stark effect (QCSE) due to the strain-induced electric field exerted in the CQDs as such blue shift is a finger print of the QCSE generally observed in InGaNGaN quantum structures [8]
Generally the InGaN QDs formed on a GaN surface are due to a large lattice-mismatch giving rise to the well-known Stranski-Krastanow (S-K) growth mode [9] In the S-K mode a so-called wetting layer is initially formed and 3D islanding then follows [10] Recent x-ray diffraction (XRD) and transmission electron microscopy (TEM) studies (not optical investigation) indicate that the GaN QDs on an AlN surface or InN QDs on a GaN surface are almost completely relaxed if a capping layer is not deposited while those with the capping layer can remain compressively strained [11-12] Similarly in our case our InGaN QDs with the capping layer should remain compressively strained As a result a strong built-in electric field is produced in the InGaN QDs with the capping layer ie CQDs leading to the QCSE which causes a large red shift and a weak PL intensity compared with the SQDs
In order to support the above conclusion a numerical simulation based on a QCSE model has been carried out within the framework of the effective-mass approximation and the variational approach [13] To simplify the calculation the height of QDs is assumed to remain unchanged after the capping layer is deposited The indium composition was estimated to be 25 based on high resolution XRD data of the calibration sample grown under identical conditions If the wetting layer thickness is not taken into account a QD diameter (20nm) and a QD height (15nm) determined by AFM were used Figure 4(a) shows an electric field induced red shift of the emission energy as a function of indium composition for the cases with and without considering a wetting layer effect respectively If the wetting layer
effect is not considered as shown by the curve of Fig 4a with triangular points there is a redshift of only ~70 meV in the case of indium composition of 25 for example This value is smaller compared with that of our experimental result Since the wetting layer has been proved to significantly affect the electronic structure of QDs the wetting layer effect should be considered in our calculation as shown by the curve of Fig 4a with square points In our case the thickness of the wetting layer has been estimated as ~5ML Figure 4a also clearly
indicates that the red shift in emission energy of the fully strained InGaN QD with 25 indium (ie the sample used) is ~346 meV compared with the fully relaxed InGaN QDs The calculation is
24 27 30 33
(a)
Norm
aliz
ed In
sten
sity
(au
)
(b)
Nor
mal
ized
Inst
ensi
ty(a
u)
02mW
CQDT=10K
SQDT=10K
18 mW
25 mW
12 mW
85mW
32mW05mW
18 21 24 27 30
Emission Energy(eV)
25 mW
18 mW
12 mW
85mW
32mW
05mW
02mW
Fig 3 Excitation power dependent PL spectra of a) CQDs and b) SQDs Thedashed lines are guides for eyes
010 015 020 025 0300
100
200
300
400
500
Indium mole fraction
Shift
of t
rans
ition
ene
rgy(
meV
)
Indium mole fraction
Recom
bination rate(au)
(a)
010 015 020 025 0300
2
4
6
8
(b)
with build-in electric field without build-in electric field
010 015 020 025 0300
100
200
300
400
500 With wetting layer Without wetting layer
Fig 4 a) Electric field induced red shift of the emission energy as a function of indium composition with and without the effect of wetting layer and b) electron-hole recombination rate of QDs with and without considering build-in electric field when the effect of wetting layer is taken into account
24 Q Wang et al
in an excellent agreement with our experimental results which strongly supports the above conclusion namely that there exists a strong QCSE in the InGaN QDs with a GaN capping layer while there is no QCSE in the InGaN QDs without the GaN capping layer
In addition to demonstrate the effect of the built-in electric field on the recombination process of InGaN QDs the electron-hole recombination rates of QDs with and without the effect of a built-in electric field are calculated when the wetting layer is taken into account As is shown in Fig 4b the rate ratio of electron-hole recombination of QDs without and with built-in electric field is estimated to ~301 when the indium composition is ~ 25 which is close to the ratio (501) of the integrated PL intensity of SQDs and CQDs This indicates that the QCSE plays an important role in significant reduction of PL intensity of CQDs compared with SQDs
4 Summary
Optical investigations have been carried out on the InGaN QDs with and without a GaN capping layer A significant difference in terms of their PL emission energy and intensity has been observed which can be attributed to the existence of the strong QCSE in the QDs with the capping layer This conclusion is supported by an excitation power dependent PL measurement and a numerical calculation within the framework of the effective-mass approximation and the variational approach Acknowledgements This work is supported by the EPSRC (UK) through grant numbers EPC5435211 and EPC5435131 The authors also thank J J Shi and Y M Chi of Peking University for their contribution to the calculations References 1 Nakamura S Senoh M Nagahama S Iwasa N Yamada T Matsushita T Kiyoku H and
Sugimoto Y 1996 Jpn J Appl Phys 35 L74 2 Asano T Tojyo T Mizuno T Takeya M Ikeda S Shibuya K Hino T Uchida S and Ikeda M
2003 IEEE J Quant Electr 39 135 3 Arakawa Y Someya T and Tachibana K 2001 Phys Stat Sol (b) 224 1 4 Ji L W Su Y K Chang S J Wu L W Fang T H Chen J F Tsai T Y Xue Q K and Chen S C
2003 J Cryst Growth 249 144 5 Damilano B Grandjean N Dalmasso S and Massies J 1999 Appl Phys Lett 75 3751 6 Wang T Bai J Parbrook P J and Cullis A G 2005 Appl Phys Lett 87 151906 7 Sharma N Thomas P Tricker D and Humphreys C 2000 Appl Phys Lett 77 1274 8 Takeuchi T Sota S Katsuragawa M Komori M Takeuchi H Amano H and Akasaki I 1997
Jpn J Appl Phys 36 382 9 Stranski I N and Krastanow V L 1939 Akad Wiss Lit Mainz Abh Math Naturwiss KI146
797 10 Cullis A G Norris D J Walther T Migliorato M A and Hopkinson M 2002 Phys Rev B
081305 11 Chamard V Schuumllli T Sztucki M Metzger T H Sarigiannidou E Rouviegravere J-L Tolan M
Adelmann C and Daudin B 2004 Phys Rev B 69 125327 12 Lozano J G Saacutenchez A M Garciacutea R Gonzalez D Briot O and Ruffenach S 2006 Appl Phys
Lett 88 151913 13 Shi J J and Gan Z Z 2003 J Appl Phys 94 407
Strain Relaxation in an AlGaNGaN Quantum Well System P D Cherns C McAleese M J Kappers and C J Humphreys Department of Materials Science and Metallurgy University of Cambridge Pembroke Street Cambridge CB2 3QZ UK Summary AlGaNGaN quantum well stacks have been grown in a series with 105nm Al05Ga05N barriers and 15nm 25nm and 35nm GaN wells These samples have been studied by weak beam dark field (WBDF) TEM Threading dislocations form lsquostaircasesrsquo in the stack generating a short misfit segment at the lower interface of each well By imaging dislocations at different tilts and opposite values of the deviation parameter s it is established that the misfit segments are pure edge type and relieve strain in the GaN layers Two mechanisms are proposed for the formation of these lsquostaircasersquo structures by climb 1 Introduction The work presented in this paper is part of an ongoing effort to understand the development of AlGaN based devices that emit in the ultraviolet part of the electromagnetic spectrum There has been particular interest in emission at 380nm for generating white light by pumping a suitable phosphor The focus of the work presented here has been to look at the use of narrow wells with relatively high (50) Al content barriers to minimise the effects of polarisation fields and thermionic emission These samples have been grown using AlN interlayers to avoid problems with cracking 2 Experimental The three samples studied all have the basic structure shown in Fig 1 Each sample consists of 10 GaN quantum wells of 15nm 25nm or 35nm thickness separated by 105nm Al05Ga05N barriers This structure is grown on a 05microm buffer layer of Al045Ga055N itself grown on a 5microm low threading dislocation density GaN template with the use of a 30nm AlN interlayer All samples were grown in a Thomas Swan 6 x 2rsquorsquo reactor by metalorganic vapour phase epitaxy (MOVPE) using c-plane sapphire substrates The organometallic precursors were trimethylgallium and trimethyl-aluminium and ammonia was the nitrogen source All layers were grown at 1020 degC and 50 Torr reactor pressure The only change made between the samples was the growth time for the GaN wells hence varying the well thickness since the growth rate was kept constant
Cross-sectional transmission electron microscopy (TEM) samples were prepared by mechanical polishing dimpling and ion milling in a Gatan Precision Ion Polishing System TEM images were taken on a JEOL 2000FX microscope operating at 200kV The weak beam dark field (WBDF) images in this work are acquired using a g-4g condition
Fig 1 A schematic drawing of sample structure
26 P D Cherns et al
3 Results Initial dark-field TEM shows as expected following previously presented work [1] a large increase in edge-type threading dislocations (TDs) at the AlN interlayer which propagate to the sample surface However as the TDs reach the quantum well stack they can be observed to tilt and move diagonally through the layers This perturbation of the dislocations was studied by WBDF TEM Images were taken of the 15nm 25nm and 35nm well samples as shown in Fig 2 The images are taken with the sample tilted close to the [1-100] orientation and with g=[11-20] Edge and mixed-type TDs are visible in this condition and it has been ascertained from images not presented here with g=[0002] that the vast majority of the dislocations are pure edge-type Figure 2 reveals that the diagonal movement observed in strong-beam images is in fact due to staircase-like movement of the dislocations through the stack with a misfit segment at each well It is also seen that the angle of inclination from the vertical (averaged over the dislocation as a whole) related to misfit segment length increases with increasing well thickness The dislocations appear to split into 3 groups a) those that appear to not deviate at all b) those that deviate left at a characteristic angle and c) those that deviate right at the same characteristic angle The characteristic angles of tilt are summarised in the table below Well Width Average angle of dislocations to the vertical 15nm 22o 25nm 93o 35nm 162o
It is known at this stage that all the dislocations studied have b = plusmn13[11-20] plusmn13[-2110] or
plusmn13[1-210] It is also assumed that all behave in equivalent ways in the well stack depending on their Burgers vectors It is therefore reasonable to expect that the dislocations that appear vertical in Fig 2 are in fact tilted either directly towards or away from the electron beam with the in-plane components moving along the [1-100] viewing direction The vertical dislocations also appear brighter than the surrounding defects indicating gb=2 and b=plusmn13[11-20] The in-plane components would therefore be pure edge-type as the Burgers vector is perpendicular to the line direction It is reasoned that the other dislocations also have edge-type in-plane components extending along appropriate lt1-100gt directions depending on their Burgers vectors In this system where the wells have been grown on a
Fig 2 WBDF TEM images of samples with a) 15nm wells b) 25nm wells and c) 35nm wells The samples
are oriented close to [1-100] with g=[11-20]
(a)
(b)
(c)
Strain Relaxation in an AIGaNGaN Quantum Well System 27
relaxed Al045Ga055N template it is expected that misfit segments are generated at the lower interface of each compressively strained GaN well and act to remove half planes of atoms This would be consistent with the relief of strain arising from the lattice mismatch
To establish whether staircase structures are acting to relieve mismatch in the quantum well stack firstly the line directions are identified Two vertical dislocations A and B as labelled in Fig 3 were selected They were first imaged with g=[11-20] close to the [1-100] zone axis The sample was then rotated 30o out of the plane of the paper close to the [1-210] zone axis and a second image of the dislocations was acquired with g=[10-10] It is seen that A now tilts left and B tilts right From this information it is deduced that when imaging along the [1-100] direction A steps towards the viewer and B steps away
The line directions of the in-plane segments alone are not sufficient to establish the effect of the staircase structure It is also necessary to know the sign of the dislocation Burgers vector ie where the half plane is located relative to the dislocation core Dislocations A and B were again imaged with g = [11-20] but with the deviation parameter s varied from positive to negative When s is non-zero the lattice has been rotated away from the Bragg position If this rotation is sufficiently small then local rotation of the lattice at one side of a dislocation is in the correct direction and can be sufficiently large to bring that area of the sample back to the Bragg condition This is the basis for dislocation imaging in the TEM The location of the half plane can be determined because the lattice rotations on each side of the dislocation are of opposite sign if the half plane is on the near or far side of the defect When s is varied from positive to negative the dislocation image switches from one side of the dislocation core to the other The image of dislocation A moves left to right and the image of B in the opposite direction It is found that the half plane associated with dislocation A lies on the near side of the core and B on the far side This means that both dislocations behave in the same way the misfit segments act to reduce the extent of the half plane and therefore relieve compressive strain in the quantum well 4 Discussion The generation of misfit dislocations (MDs) in semiconductors most commonly takes place by the glide of TDs at interfaces [2] The Matthews mechanism relates to the glide of a TD at the interface between a substrate and a subsequently grown epilayer of different lattice parameter The relief of elastic strain energy on creation of an MD is compared with the energy cost to the system of the presence of the dislocation at the interface At a critical layer thickness hc MD generation becomes energetically favourable and glide occurs However this relies on the existence of a suitable slip system Srinivasan et al [3] identified possible slip systems in the wurtzite structure but none are appropriate in this case for dislocations with b=13[11-20] The movement of dislocations at well interfaces that is seen in this work must be due to dislocation climb This process is driven by the same energetic arguments as glide but is not limited by slip systems The rate of climb is significantly slower than glide due to the diffusion of atoms that is necessary and is therefore not observed if glide is possible The rate of climb is expected to be strongly dependent on the distance from the growth surface given that diffusion tofrom this point is necessary Figures 4 and 5 are schematics of two possible mechanisms by which staircase
(b) (a) Fig 3 DF TEM images of the 35nm well sample a) Sample close to [1-100] g=[11-20] b) Sample tilted 30o
anticlockwise close to [1-210] with g=[10-10]
28 P D Cherns et al
structures would result from climb of dislocations in this system Figure 4 shows a case where the well thickness hw exceeds hc As the first well is grown relaxation occurs and a misfit segment is generated As the second well is grown the 1st misfit segment is still extending but is now further from the growth surface so is moving more slowly Relaxation occurs in the second well and the process continues Figure 5 shows a case where hw = hc3 No relaxation occurs in the 1st well until 2 additional wells or more have been grown A misfit segment is generated in each well once there are 2 additional wells above but the climb slows to a stop once the growth surface is too far from the misfit segment for significant diffusion to occur In reality the critical thickness is thought to be around 2-5nm so it is likely that both these processes will be observed The longer misfit segments observed for thicker wells could be explained by either increased diffusion or by a transition between the two relaxation mechanisms Finally it is noted that no additional MDs are generated in contrast to the findings of Costa et al [4] in InGaN wells The strain relief is achieved through staircase-like movement of existing TDs possibly due to their high density 5 Conclusions High Al content AlGaNGaN quantum well structures on AlGaN templates have been studied by weak beam dark field TEM It is found that the compressive strain in the GaN wells is relieved by the generation of pure edge-type misfit segments along lt1-100gt directions This relaxation occurs at each well by the diffusion limited climb of a-type TDs
References 1 Cherns P D McAleese C Kappers M J and Humphreys C J 2005 Springer Proc in Phys 107 55 2 Matthews J W 1979 Misfit Dislocations in Dislocations in Solids Vol 2 ed Nabarro F R N
pp 461-545 3 Srinivasan S Geng L Liu R Ponce F A Narukawa Y and Tanaka S 2003 Appl Phys Lett 83
5187 4 Costa P M F J Datta R Kappers M J Vickers M E Humphreys C J Graham D M Dawson P
Godfrey M J Thrush E J and Mullins J T 2006 Phys Stat Sol a 203 1729
Fig 4 Schematic of relaxation when hwgthc
(b) (a)
Fig 5 Schematic of relaxation mech-anism when hw=hc3a) After 3 wells are grown b) After 4 wells
Characterisation of InxAl1-xN Epilayers Grown on GaN T C Sadler M J Kappers M E Vickers and R A Oliver Department of Material Science and Metallurgy University of Cambridge Pembroke St Cambridge CB2 3QZ UK Summary InxAl1-xN epilayers were grown on GaN pseudo-substrates at a range of temperatures between 900 degC and 750 degC Indium incorporation decreased as the growth temperature was increased and surface roughness at the 1 microm scale was observed to decrease simultaneously However due to macroscopic cracking of the samples grown at higher temperature broader scale surface roughness reached a minimum at 800 degC which corresponded to the layer most closely lattice-matched to the GaN pseudo-substrate 1 Introduction InxAl1-xN can be grown to have the same in-plane lattice parameter as c-plane GaN by adjusting x to 018 allowing the fabrication of structures free of misfit strain [1] Such layers have many potential applications they have sufficient refractive index contrast with GaN to allow them to be used in distributed Bragg reflectors (for use in devices such as resonant cavity LEDs and vertical cavity surface emitting lasers) [2] and as marker layers for reflectivity measurements during epitaxial growth on bulk GaN [3] Additionally their etching characteristics make them suitable as sacrificial layers for use in nitride device fabrication [4] Here we explore the effect of growth temperature on the composition and surface morphology of InxAl1-xN 2 Experimental The InxAl1-xN layers were grown on GaN pseudo-substrates by metal-organic vapour phase epitaxy (MOPVE) using a Thomas Swan 6 times 2rdquo close-coupled showerhead reactor The pseudo-substrates consisted of ca 6 microm of GaN grown on c-plane (0001) sapphire at 1020 degC following deposition of a 30 nm GaN buffer at 560 degC InxAl1-xN layers were grown using the precursors trimethylaluminium (TMA) trimethylindium (TMI) and ammonia with nitrogen as the carrier gas The growth rate of the InxAl1-xN was estimated from in situ reflectivity measurements performed during growth of ca 220 nm thick layers For microscopy and X-ray diffraction (XRD) studies thinner (ca 100 nm) layers were then grown
Surface morphology was assessed by Nomarski light microscopy (also known as differential interference contrast microscopy) using an Olympus BX60FS11 and Atomic Force Microscopy (AFM) using a Veeco Dimension 3100 with analysis using WSxM freeware[5] The average root-mean-square (rms) roughness for each sample was found from four scans at each scan size studied (1 microm and 10 microm)
Scanning electron microscopy (SEM) was performed using a JEOL JSM 5500LV with an Oxford Instruments INCAx-sight Energy Dispersive Spectrometer (EDS) XRD was carried out using a PhilipsPanalytical PW305065 XPert PRO HR horizontal diffractometer with an asymmetric Ge (220) 4-bounce primary monochromator Analysis was performed using Xrsquopert Epitaxy and Smoothfit 40 Philips Analytical BV
The epilayer lattice parameters were calculated from peak positions using the (002) symmetric and (105) antisymmetric reflections These were then used to calculate the epilayer compositions and equivalent relaxed lattice parameters by assuming Vegardrsquos law holds for InAlN and isotropic strain
30 T C Sadler et al
in the c-plane of the samples [6] We used calculated literature values for the Poissonrsquos ratios of AlN and InN [7]
3 Results and Discussion 31 Compositional Information from XRD Table 1 shows that increasing the growth temperature reduces the indium incorporation in InxAl1-xN Growth Temperature degC 750 800 850 900 InN fraction 203 109 31 13 Error 29 12 10 13 Table 1 Variation of InN fraction in InxAl1-xN with growth temperature
The 800 degC sample had three InxAl1-xN-related peaks present in the X-ray diffraction scans for both the (002) and the (105) reflections When analyzed separately each peak corresponded to InxAl1-xN of the same composition within the experimental errors but with different strain states The material varied from being fully relaxed to being fully strained to the GaN pseudo-substrate The strain state could vary through the InAlN epilayer thickness or it could vary across the plane of the sample We hope to investigate this issue in the future by transmission electron microscopy
The wafer grown at 750 degC showed an unexpected excess of in-plane tension The in-plane lattice parameter a was greater than the calculated relaxed lattice parameter which was greater than the in-plane lattice parameter of GaN One would usually expect a for such an epilayer to vary between the a of GaN and the value it takes for a relaxed epilayer
This datum could represent a real excess of in-plane tension but it is currently unclear how this might arise Other possible explanations include a breakdown of the assumptions used in the analysis or that the measurement was some kind of artifact although tilt and wafer bowing have been eliminated as possibilities 32 Surface Topography Nomarski light microscopy revealed that the epilayers grown at 900 degC and 850 degC were both highly cracked the former with a slightly higher crack density (Figs 1a b) This cracking would reduce the tensile strain in the epilayer which is present due to the low InN fraction of these samples The epilayers grown at 800 degC and 750 degC were not cracked but the latter had large clusters of round shiny features distributed across its surface (Figs 1c d) Analysis by EDS in SEM (Fig 2) revealed these features to be indium droplets This surface accumulation of indium may be related to the sample having the largest indium content of the series
Fig 1 Nomarski interference contrast images of the surfaces of AlInN epilayers grown at a) 900 degC b) 850 degC c) 800 degC d) 750 degC
25 microm
(a) (b)
25 microm 100 microm 100 microm
(c) (d)
Characterisation of InxAl1-xN Epilayers Grown on GaN 31
AFM data at a 10 microm scale (Figs 3a-d) showed similar features of the coarse morphology to the Nomarski images but also revealed additional nanoscale roughness These nanoscale features may be seen more clearly in Figs 3e-h The epilayer grown at 900 degC (Fig 3e) has a surface made up of stacks of single-monolayer two-dimensional islands some of which are centred on pits on which the islands may have nucleated The pit size is consistent with that
observed for the termination of threading dislocations in the GaN-pseudo-substrates which may also be the origin of the pits in this case
At 850 degC the surface consists of nanoscale three-dimensional (3D) islands ~25 nm in diameter and rising 2-3 nm above the surface (Fig 3f) These islands are grouped into clusters ~250 nm in diameter approximately the same size as the larger islands seen at 900 degC
At 800ordmC (Fig 3g) we see similar but slightly larger 3D islands ~35 nm in diameter and 3-4 nm high but they are not clustered Nanoscale 3D islands can again be seen between the indium droplets on the surface of the epilayer grown at 750 degC (Fig 3h) and these are slightly larger again at ~50 nm in diameter and 4-6 nm high Overall the nanoscale islands
coarsen as the growth temperature is decreased The small scale roughness over a 1 microm field decreased as the epilayer growth temperature
increased perhaps because of increased surface diffusion at the higher temperatures reducing stochastic roughening The roughness over a 10 microm field is at a minimum for growth at 800 degC (Fig 4) because cracking of the epilayers grown at 850 degC and 900 degC increased their broad scale roughness
Fig 2 SEM micrograph of the In droplets on the epilayer grown at 750 degC
10 microm
Fig 3 Atomic force microscopy images of epilayer surfaces 10 microm wide scans of epilayers grown at a) 900 degC b) 850 degC c) 800 degC d) 750 degC 1 microm wide scans of epilayers grown at e) 900 degC f) 850 degC g) 800 degC h) 750 degC The scans of the 750 degC sample were taken from areas between the indium droplets seen in the Nomarski micrograph Fig 1d
(b)
(h) (g)(f)
(c) (d)
(e)
(a)
32 T C Sadler et al
4 Summary InxAl1-xN epilayers have been grown on GaN at various temperatures and examined by XRD which reveals that indium incorporation increases as the growth temperature decreases The epilayer grown at 800 degC was the closest to being lattice-matched to the GaN pseudo-substrate This epilayer also had the lowest broad scale roughness over a 10 microm field However more development of growth processes is required both to produce high quality lattice matched InAlN and to understand its growth mechanisms Previous studies on InxGa1-xN [8] suggest that altering the NH3 flow or the reactor pressure may be possible routes to engineering the InN fraction 5 Acknowledgements The authors gratefully acknowledge funding from the EPSRC and the Royal Society We also thank Clifford McAleese for helpful discussion References 1 Carlin J F Zellweger C Dorsaz J Nicolay S Christmann G Feltin E Butte R and Grandjean N
2005 phys stat sol b 242 2326 2 Butte R Feltin E Dorsaz J Christmann G Carlin J F Grandjean N and Ilegems M 2005 Japan
J Appl Phys 44 Part 1 7207 3 Bejtka K Rizzi F Edwards P R Martin R W Gu E Dawson M D Watson I M Sellers I R and
Semond F 2005 phys stat sol a 202 2648 4 Watson I M Liu C Gu E Dawson M D Edwards P R and Martin R W 2005 Appl Phys Lett
87 151901 5 Horcas I Fernandez R Gomez-Rodriguez J M Colchero J Gomez-Herrero J and Baro A M
2007 Rev Sci Instrum 78 013705 6 Schuster M Gervais P O Jobst B Hosler W Averbeck R Riechert H Iberl A and Stommer R
1999 J Phys D-Appl Phys 32 A56 7 Wright A F 1997 J Appl Phys 82 2833
8 Oliver R A Kappers M J Humphreys C J and Briggs G A D 2005 J Appl Phys 97 013707
05
15
25
35
750 800 850 900temperature degC
rms
roug
hnes
s n
mFig 4 The variation of surf-ace roughness at different length scales Diamond-shaped symbols relate to rms rough-nesses measured over 10 microm by 10 microm areas and averaged over 4 scans Crosses relate to rms roughnesses measured over 1 microm by 1 microm areas and averaged over 4 scans
Generation of Misfit Dislocations in Highly Mismatched GaNAlN Layers J Bai T Wang P J Parbrook K B Lee Q Wang and A G Cullis Department of Electronic and Electrical Engineering University of Sheffield Mappin Street Sheffield S1 3JD UK Summary A grid of regularly-distributed misfit dislocation (MD) arrays is observed and analyzed by plan-view and cross-sectional transmission electron microscopy in the GaN films grown on AlN buffer layers A good agreement between experiment and theoretical prediction indicates that the MDs are introduced first by interfacial migration of pre-existing threading dislocations (TDs) in AlN and then gradually form into a grid by direct nucleation around the misfit segments of TDs 1 Introduction The growth of high-quality (AlGa)N films using a thick AlN buffer layer has been attracting interest recently because AlN buffer layers have optical transparency for sub-280nm ultraviolet light-emitting diodes (UV-LEDs) and also prevent cracking simultaneously [1] Due to the large lattice mismatch between AlN and sapphire substrates a very high density of threading dislocations (TDs) exists in AlN films grown on sapphire These dislocations are observed to extend into the overgrown AlGaN layers and are deleterious for device performance Recently we have shown [2] that inserting a very thin layer (20nm) of GaN can successfully improve the device operation of 340nm UV-LEDs This is attributed to a reduction in the dislocation density in the overgrown AlGaN layers Lateral movement and subsequent annihilation of pre-existing TDs can be experimentally [3] and theoretically [4] explained for that dislocation elimination in strained layers It is well known that when a strained layer exceeds a critical thickness hc misfit dislocations (MDs) are generated by interfacial migration of pre-existing TDs or by the nucleation and subsequent glide of dislocation loops or by direct nucleation of new dislocations in nearly perfect crystal materials Strain induced TD movement and MD generation in GaNAlN are therefore important issues The misfit strain produced by the large lattice mismatch (~24) between GaN and AlN is expected to lead to generation of MDs even for very thin GaN films The exact nature and mechanism of introduction of MDs in GaN have still to be clarified The purpose of the present paper is to discuss the generation of MDs in GaN thin films grown on AlN buffers
2 Experiments All epitaxial films used in this study were directly grown on (0001)-faced sapphire substrates using vertical low pressure metalorganic chemical vapour deposition (MOCVD) A ~500nm AlN layer was directly grown on a sapphire substrate at 1150 degC Afterwards the temperature was lowered to grow GaN layers with different thicknesses For plan-view samples a ~60nm GaN layer was grown on the AlN layer Microstructural observations of thinned samples were carried by using Philips EM430 and high resolution JEOL 2010F transmission electron microscopes (TEMs)
3 Results and Discussion Figure 1a shows the sample surface of 60nm GaN grown on an AlN layer GaN platelets with an average size of around 200nm are connected to each other showing that GaN grew by a form of coarse islanding and coalescence mechanism Figure 1b is a plan-view TEM image of the GaN on AlN sample taken using the weak-beam dark field technique The TD density in the AlN layer is
34 J Bai et al
~7x1010 cm-2 as determined from regions without GaN coverage A triangular grid of equally spaced MDs is observed in the GaN platelets We did not observe the interfacial MD lines with cross-sectional TEM using g=lt0002gt even if tilting the sample to a large angle indicating that the MDs are pure-edge dislocations with Burgers vector b=13lt1120gt The line direction of MDs in area A is ~30deg rotated compared to the line direction in area B By detailed analysis the MDs are along lt1100gt and lt1120gt in each case respectively lt1210gt MDs always have a line direction of 60deg with the Burgers vector In the case of lt1100gt MDs the line direction is either 30deg or 90deg to the Burgers vector The accommodated strain εm by a triangular MD grid is given by εm = 3bsinβ2Dm b is the magnitude of the Burgers vector b Dm is the MD spacing and β is the angle between the MD line and b [10] It is thus energetically favourable for the lt1100gt MDs to adopt a direction normal to Burgers vector since the 90deg case provides maximum strain relief We deduce from the MD spacing in area A that about 90 of misfit strain has been relaxed by MDs in the 60nm GaN grown on AlN
The question therefore arises as to how the MDs are generated In our case the density of TDs in AlN buffers is quite high (~1010 cm-2) which should have a major effect on the introduction of MDs Figure 2a is a cross-sectional TEM image of 200nm GaN grown on an AlN buffer Most
of the TDs in AlN are observed to stop at the interface consistent with our observation of dislocation reduction through the insertion of a 20nm GaN layer between AlGaN and AlN layers [2] Interfacial dislocations are also observed in the image by tilting the sample These are regularly spaced with a spacing of ~19nm This spacing agrees with the plan-view image in Fig1b As can be observed an interfacial dislocation marked with ldquoMDrdquo in the image is connected with its threading arm in AlN marked with ldquoTDrdquo which suggests that the TD in AlN migrated into the interface plane to become a misfit segment The relation between the in-plane MD in the GaNAlN interface and the TD in AlN is further revealed in a high resolution TEM (HREM) image (Fig2b) The inset shows a Fourier filtered image of the circled area where only the in-plane frequencies are used It should be noted that there is one less lattice fringe in the GaN than in the AlN due to the edge component of in-plane MD Considering that almost all of TDs in AlN extend along lt0001gt line direction and have pure-edge Burgers vectors in the basal plane [5] the glide planes of these dislocations should be normal to the basal plane The in-plane MD lines are thus out of the glide planes whether that is along lt1100gt or along lt2110gt Otherwise the Burgers vector b would be parallel to the dislocation line direction in the interface and such a pure screw dislocation can not relieve misfit strain Therefore the TD migration can not be completed
Fig 2 a) Cross-section TEM imag of GaNAlN taken around [2110] zone-axis with g=lt0110gt b) HREM image of a TD in AlN migrating into the interface to become a misfit dislocation
Fig 1 a) AFM image of 60nmGaN grown on an AlN layerb) Plan-view dark-field TEM imagetaken with g=lt1010gt
Generation of Misfit Dislocations in Highly Mismatched GaNAlN Layers 35
by glide but must occur by climb Though the energy required for the climb is usually larger than that for glide as the dislocation climb needs diffusion and condensation of point defects both the high growth temperature and super-saturation of point defects during the MOCVD growth of GaN exist to support climb formation
However it is impossible that all of the MDs observed in Fig 1b were formed by the migration of pre-existing TDs since the TD spacing is about three times larger than that of the MDs Further MDs need to be generated by direct nucleation to develop the observed grid Theoretical analysis shows that the energy required for in-plane migration of a TD to form a MD is lower than that for direct nucleation of a new MD because in the case of TD migration the TD self-energy for the line direction normal to the surface is released [6] The interfacial migration of TDs is expected to occur first followed by the direct nucleation of MDs Experimental observation also suggests that the MD grid did not form suddenly but developed gradually As shown in Fig 3 there is a well-developed MD grid in area A while the dislocations in area B are not distributed regularly and uniformly The larger MD spacing in area B indicates a larger local residual strain in the area B than that of area A which may be related to the local morphology pre-existing defects or film thickness Actually our cross-section TEM observation presented that the MD spacing depends strongly on the film thickness The MD spacings of 213nm 193nm and 180nm were obtained for film thicknesses of 20nm 60nm and 400nm respectively Additionally it is interesting to note that there is a long straight dislocation in the middle of area B bordered by some roughly equally-spaced short lines at one of its sides It can be observed that the short lines in area B make a 60deg angle with the long one and the two line directions are two of the six symmetrical lt1100gt directions which suggests that the short dislocations probably originate around the long one and are forming in order to achieve an isotropic strain relaxation This is very similar to the report of the nucleation of bowed pure-edge MDs at crack edges in AlGaNGaN heterostructures [6] All these observations indicate that the MD grid formed by a progressive introduction of MDs with the growth of GaN We thus propose that the MDs were introduced first by interfacial migration of pre-existing TDs in AlN and then gradually formed into a MD grid by direct nucleation around the TD misfit segments
In order to identify the feasibility of this mechanism we plot the in-plane strain of the GaN films as a function of film thickness in Figure 4 comparing our experimental results with theoretical predictions The in-plane strain ε (filled square symbols) was obtained for each film thickness by TEM measurements of MD spacings using ε=ε0-3bsinβ2Dm where ε0 is 0024 the original misfit strain of GaN on AlN The values obtained are very close to those from our Raman measurements (not shown here) and also close to those reported from in-situ optical curvature measurements [7] Two classic theoretical predictions are plotted as well in Fig4 One is based on the energy balance model by People amp Bean [8] and the other is based on force balance approach by Matthews [9] and [10] People amp Beanrsquos model follows an energy static approach and gives good fits for InGaAsGaAs or SiGeSi systems where the dislocation density is low However the fit is much poorer for high TD systems as shown in our case because it does not predict the turnover of pre-existing TDs well The model based on Matthewsrsquo equilibrium approach which supposes that MDs are formed by movement of pre-existing TDs tends to give lower strain values than are experimentally observed in semiconductor films The discrepancy can be attributed to the existence of a kinetic barrier to the generation of MDs in semiconductors In our case a relatively large energy barrier for MD generation is indeed formed due to the climb process of the pre-existing TDs Here we followed the recent treatment based on the energy balance model [7] assuming simultaneously that MDs in the GaNAlN interface are introduced by the migration of pre-existing TDs The energy release induced by the in-plane TD migration in a layer of the thickness h is
Fig 3 Plan-view dark-field TEM image of 60nm GaN grown on an AlN buffer layer showing different MD distributions in different areas
36 J Bai et al
αεννα
ανπα tan
)1()1(]1sin)1ln[(
cos)1(4)( 2
2
mbhGbhhGbhE
minus+
minus+minusminus
=∆ (1)
where G is shear modulus ν is Poissonrsquos ratio and εm is misfit strain α is the inclination angle with the pre-existing TD For αrarrπ2 the equation presents the case for MD formation in strained layers By applying the requirement )( αhE∆ =0 we can determine the largest in-plane strain εa remained in the film for each film thickness h
bhbha ln
)1(4 νπε
+=sdot (2)
As can be seen the plot of our model based on the TD migration gives the best agreement with experiment The good agreement at small thicknesses proves the assumption that MDs are introduced first by TD migration at the early growth stage However there is still a discrepancy between the prediction and experiments at large thicknesses with larger experimental strain values than theoretical ones This supports our suggestion that the MDs are generated by direct nucleation at the later growth stage because the required energy of direct nucleation is larger than for TD migration 4 Conclusions
In conclusion in highly-mismatched GaNAlN layers MDs are introduced first by interfacial migration of TDs in AlN at the early stage of GaN growth which reduces the dislocation density in the overgrown layer and relieves part of the strain simultaneously Then probably by nucleating around the misfit segments of TDs more MDs are formed gradually to develop into a grid of equally-spaced MDs leading to nearly complete relaxation of misfit strain References 1 Chitnis A Zhang J P Adivarahan V Shatalov M Wu S Pachipulusu R Mandavilli V and
Khan M A 2003 Appl Phys Lett 82 2565 2 Wang T Lee K B Bai J Parbrook P J Airey R J Wang Q Hill G Ranalli F and Cullis A G
2006 Appl Phys Lett 89 081126 3 Kuwano N Tsuruda T Kida Y Miyake H Hiramatsu K and Shibata T 2003 Phys Stat Sol
(c) 0 2444 4 Romanov A E Pompe W Mathis S Beltz G E and Speck J S 1999 J Appl Phys 85 182 5 Bai J Wang T Parbrook P J Lee K B and Cullis A G 2005 J Cryst Growth 282 290 6 Romanov A E and Speck J S 2003 Appl Phys Lett 83 2569 7 Bethoux J-M and Venneacuteguegraves P 2005 J Appl Phys 97 123504 8 Wang J F Yao D Z Chen J Zhu J J Zhao D G Jiang D S Yang H and Liang J W 2006 Appl
Phys Lett 89 152105 9 People P and Bean J C 1985 Appl Phys Lett 47 322 10 Matthews J W 1975 J Vac Sci Technol 12 126 11 Matthews J W 1975 in Epitaxial Growth (Academic Press New York) Pt B Ch 6
01 1 10 100 1000
001
01
1
GaNAlN
People amp Beans direct nucleation
Matthews equilibrium TD migration Experiment (TEM) Experiment (Raman)
Film
Stra
in ε
()
Film Thickness h (nm)Fig 4 Plots of in-plane strain εa as a function of film thickness h Theoretical calculations and experimental data are given by open and filled symbols respectively
InN Nanorods and Epilayers Similarities and Differences Z Liliental-Weber O Kryliouk 1 H J Park1 J Mangum1 T Anderson1 and W Schaff2
Lawrence Berkeley National Laboratory Berkeley ms 62203 CA 94720 USA 1 Dept of Chemical Engineering University of Florida Gainesville FL 32611 USA 2 Dept of Electrical and Computer Engineering Cornell University Ithaca NY USA Summary Transmission electron microscopy was applied to study InN nanorods grown on the a- c- and r-plane of Al2O3 and (111) Si substrates by non-catalytic template-free hydride metal-organic vapor phase epitaxy (H-MOVPE) Single crystal nanorod growth was obtained on all substrates However the shape of the nanorods varied depending on the substrate used For example nanorods grown on r-plane sapphire and (111) Si have sharp tips In contrast growth on a- and c- planes of Al2O3 results in flat tips with clear facets on their sides The structural quality of these nanorods and their growth polarity are compared to crystalline quality surface roughness defects and growth polarity of InN layers grown by MBE on the same planes of Al2O3 1 Introduction InN has some promising transport and electronic properties It has the smallest effective electron mass of all the group-III nitrides which leads to a potentially high mobility saturation velocity and a large drift velocity at room temperature As a result of these properties there has been rapidly increasing interest in InN for use in optoelectronic devices such as laser diodes and high efficiency solar cells as well as high frequencyhigh power electronic devices The growth of high quality epitaxial layers of InN has been established by molecular beam epitaxy and metal-organic chemical vapor deposition However the fabrication of potential one-dimensional structures such as nanowires and nanorods has proven even more difficult since in order to synthesize high quality InN a very low decomposition temperature and a large pressure of N is required
InN nanorods grown on a- c- and r-planes of Al2O3 and (111) Si substrates by non-catalytic template-free hydride metal-organic vapor phase epitaxy (H-MOVPE) and InN layers grown on c- and r-plane of Al2O3 substrates by molecular-beam epitaxy were characterized using transmission electron microscopy (TEM) methods Structural quality and growth polarity of the nanorods and epilayers were compared 2 Experimental The InN films were grown by molecular beam epitaxy on c-and r-planes of substrates with a GaN buffer layer The details of this growth procedure are described elsewhere [1] The InN nanorods were grown using a horizontal hot wall H-MOVPE reactor Trimethyl indium (TMIn) and NH3 were used as the In and N sources respectively [2] The growth was performed at atmospheric pressure in an N2 ambient The growth temperature varied from 400 to 700 degC HClTMIn inlet mole ratio was varied from 0 to 6 VIII ratio ranged from 100 to 10000 N2 carrier gas flow rate = 16 slm Growth temperature substrate selection and HClTMI and VIII ratios controlled the diameter density and orientation of the nanorods A JEOL 3010 with an accelerating voltage of 300 keV and a sub-Angstrom CM 300 were used in these studies Convergent beam electron diffraction (CBED) along the [1-100] zone axis together with computer simulation were used to determine the growth polarity of the layers and some nanorods Electron energy loss spectroscopy (EELS) in addition to X-ray diffraction (XRD) patterns was used to determine the purity of the nanorods
38 Z Liliental-Weber et al
3 Results and Discussion 31 Faceting of Nanorods and Roughness of the Layers InN nanorods grown on the different planes (a- c- and r-) of Al2O3 and also (111) Si are of a high structural quality but their shapes and faceting differ from each other The majority of them are elongated along the wurtzite c-axis but not all crystals are distributed vertically to the substrate and thus form flower-like or random arrangements XRD studies showed that the nanorods have a wurtzite structure and the majority of them grow along the [0001] axis This was also confirmed by selective area diffraction patterns EELS studies show that only some nanorods might contain a minute concentration of oxygen
The nanorods grown on the a- and c-planes of Al2O3 have a hexagonal cross-section and their diameter is in the range of 70-220 nm They have similar lengths in the range of 700-2000 nm Usually nanorods grown on the c-plane have slightly smaller diameters (70-220 nm) compared to those grown on the a-plane (150-220 nm) Since these nanorods were removed from the substrate for TEM observation they appear rectangular at one end and have small facets at the other end It is therefore understood that these rectangular shaped ends whose surface is not atomically flat were earlier attached to the substrate and the ends with facets indicate the growth direction (Fig 1a)
The nanorods grown on r-plane Al2O3 are round in cross-section tapering to pencil-shape at the growth front with a small plateau on the c-plane at the tip Their diameter and length are in the range of 300-600 nm and 1000-2500 nm respectively A similar shape of tip was also observed for the nanorods grown on (111) Si (Fig 1b)
Fig 1 a) InN nanorod grown on the a-plane and b) on the r-plane of Al2O3 Note difference in faceting of their tips and different length of facets on two sides of each crystal The arrows indicate a growth direction
Fig 2 a) InN epilayers grown along [11-20] direction on the r-plane (1-102) Al2O3 Note columnar growth and strong faceting of all columns b) InN layer grown along polar [0002] direction on the c-plane (0002) Al2O3 Much smaller surface roughness and large columnar grains are observed in these layers
For the differences in shape of faceting of the nanorod tips for growth on the differing substrates one can find similarities in the surface roughness of InN layers grown on the same surfaces of sapphire Independently from the substrate surface on which epilayers were grown columnar growth was
(a) (b)
200 nmQuickTimetrade and a
TIFF (Uncompressed) decompressorare needed to see this picture
50 nm
(b) (a) (b)
InN Nanorods and Epilayers Similarities and Differences 39
observed These columns are more pronounced in the layers grown in non-polar orientation (grown on r-plane of Al2O3) where occasionally separation between the columns is visible (Fig 2a) Much stronger faceting (on the same planes as for the nanorods) is observed at the sample surface grown on the r-plane of Al2O3 In addition the surface roughness can be as large as 130 nm while for the layers grown on the c-plane of Al2O3 the roughness does not exceed 10-20 nm (Fig 2b)
32 Growth Polarity of the InN Epilayers and Nanorods
Convergent Beam Electron Diffraction (CBED) was applied to study the growth polarity of InN layers grown on the c-plane of sapphire and InN nanorods grown also on the c-plane of the same substrate using a JEOL 3010 TEM These patterns were taken for different sample thicknesses CBED patterns for the same zone axis and sample thickness were simulated for the accelerating voltage (300keV) as used in the experiment A good agreement between experimental and calculated patterns was obtained Based on these experiments and taking into account the rotation angle between the image and a diffraction pattern in our microscope it was determined that the layers were grown with In polarity but the studied nanorods grown on c-plane of Al2O3 were grown with N-polarity Since the statistics for polarity determination of nanorods are rather poor it is very difficult to make a more general statement as to whether the nanocrystals grown on different surfaces of sapphire have a similar polarity
Fig 3 a)-b) and c)-d) Pairs of experimental and calculated CBED patterns for InN with a thickness of 65 nm and 100 nm with an indication of the In and N atom arrangement along the c-axis confirming In growth polarity of the layer e) InN epilayer with growth direction indicated by arrow f) InN nanorod with growth direction indicated g-h) a pair of experimental and calculated CBED patterns showing N growth polarity of the nanorod
33 Defects in the InN Epilayers and Nanorods The main defects in the InN layers grown on the c-plane of Al2O3 are threading dislocations which propagate along the growth direction Their density is in the range of 8x109 cm-2 - 2x1010cm-2 This is only slightly larger than the density of dislocations in the underlying GaN buffer layer Basal stacking faults (BSFs) formed on c-planes are also observed For the samples grown in the non-polar direction prismatic stacking faults (PSFs) are observed in addition to dislocations and BSFs
InN nanorods show high crystalline perfection with very clean and abrupt side walls (Fig 4a) However occasionally the presence of twins can be observed leading to corrugated side walls (Fig 4b) As mentioned at the beginning of this paper faceting of the nanorod tips is observed These facets have different lengths on the opposite sides of the nanorods Usually the longer facet is abrupt (Fig 4c) but along a shorter facet twins are also observed (Fig 4d-e) Twins were not observed in the epilayers
In some nanocrystals grown on the a-plane of Al2O3 the diameter slightly changes along the length and a v-shape grove appears along one elongated side of the crystal CBED patterns show two interconnected identical patterns where the c-axis of one part is rotated by about 60deg toward the other
In N
N In
(a)
(b)
(c)
(d)
(g)
(h)
(e)
(f)
40 Z Liliental-Weber et al
Fig 4 a) Side wall of a nanorod showing high perfection b) a similar side wall of a nanocrystal grown on a-plane showing corrugated walls with twins c-d) high resolution images of a long and short facet of a tip of a nanorod grown on Si substrates e) a magnified area of the tip facet showing formation of a twin
This coincides with the [0-111] direction which has the same displacement vector as a prismatic stacking fault (PSF) This suggests that PSFs might be present in these nanorods (similarly to the InN MBE grown layers) PSFs may give rise to the growth of the crystals at different angles and thus the ldquoflower-likerdquo features 4 Conclusions These studies show that InN epilayers grown on c- and r-plane of Al2O3 have different surface roughness Much more narrow columns with strong faceting are observed for the growth in non-polar direction This coincides with the different shape of the tips of the nanorods grown on different planes of sapphire
The majority of the nanocrystals have high crystalline perfection but in some of them twinning was observed along the sidewalls and tips of the nanorods However this type of defect was not observed in the epilayers The majority of defects present in the latest material are dislocations and stacking faults Particularly basal and prismatic stacking faults are observed in the layers grown on non-polar surfaces Based on CBED studies of nanorods grown on the a-plane Al2O3 prismatic stacking faults might be present in the nanorods grown on the same substrate since the two patterns are rotated by about 60deg to each other This coincides with the angle between the c-axis and [0-111] direction which has the same displacement vector as a prismatic stacking fault Acknowledgements This work is supported by the US Department of Energy under Contract No DE-AC02-05CH11231 The work at UF is partially supported by NSF (CTS-031178) and NASA Kennedy Space Center Grant NAG 10-316 ONR (N00014-98-1-04) and NSF DMR 0400416 References 1 Lu H Schaff W J Hwang J Wu H et al 2000 Appl Phys Lett 77 2548 2 Kryliouk O Reed M Dann T Anderson T and Chai B 1999 Mater Sci Eng B 59 6
(c) (d) (e)
(a) 3 nm (b)
Residual Strain Variations in MBE-Grown InN Thin Films A Delimitis Ph Komninou J Arvanitidis M Katsikini S-L Sahonta E Dimakis1 S Ves E C Paloura F Pinakidou G Nouet2 A Georgakilas1 and Th Karakostas Department of Physics Aristotle University of Thessaloniki GR-54124 Thessaloniki Greece 1 Microelectronics Research Group Department of Physics University of Crete PO Box 2208
71003 Heraklion-Crete and IESL FORTH PO Box 1527 71110 Heraklion-Crete Greece 2 ENSICAENSIFCOM UMR CNRS 6176 6 Bld du Marechal Juin 14050 Caen Cedex France Summary The different nature of the residual strain in InN thin films grown on GaNAl2O3 templates is investigated Wurtzite InN grows epitaxially on GaN with a well-defined orientation relationship having threading dislocations as the dominant structural defect Electron microscopy Raman and X-ray absorption fine structure experiments reveal that the epilayers are subject to biaxial residual strain either compressive or tensile The origin of compressive strain is due to the InNGaN structural mismatch and thermal strains imposed from sapphire whereas tensile strain is predominately attributed to InN island coalescence during the initial growth stages 1 Introduction The recently established band gap value of ~06 eV[1] makes InN a quite attractive material since its alloys with AlN and GaN could broaden the nitride-based device wavelength range from infrared to ultraviolet[2] However since only its epitaxial growth using molecular beam epitaxy (MBE) is feasible up to now[3] InN films are subject to a great amount of residual strains which can have a significant effect on their structural electrical and optical properties
In this study the different nature of the residual strain in InN thin films epitaxially grown on GaNAl2O3 templates by plasma-assisted MBE is investigated The films were characterized by conventional and high-resolution transmission electron microscopy (TEM-HRTEM) whereas complementary results are provided by X-ray absorption fine structure (EXAFS) and Raman spectroscopy Wurtzite InN grows epitaxially on GaN with an orientation relationship of [11 2 0]InN[11 2 0]GaN (0001)InN(0001)GaN The main structural defects present in all epilayers are threading dislocations (TDs) of screw- mixed- and edge-type character whose density decreases towards the InN free surface The variations in the lattice constants as deduced both by electron diffraction and HRTEM experiments as well as by the EXAFS and Raman results reveal that the epilayers are subject to biaxial residual strain which is either compressive or tensile The InNGaN structural mismatch and the thermal strains imposed from sapphire are the origin of compressive strain whereas tensile strain is always encountered in two-step growth mode epilayers due to the coalescence of InN islands during the initial growth stages 2 Experimental Details The InN epilayers were grown in a single (InN4-InN7) or two step growth mode (InN1-InN3) on 25 microm thick Ga-face GaNAl2O3 (0001) templates by plasma assisted radio-frequency (rf) MBE according to the regime previously described[3] XTEM specimens were prepared by mechanical thinning followed by ion milling in a liquid nitrogen-cooled stage TEM observations were performed on a JEOL 2011 electron microscope with a point resolution of 019 nm and Cs=05 mm The EXAFS spectra were recorded at the In K edge at the A1 beamline of the Synchrotron Radiation Facility HASYLAB in Hamburg The Raman spectra were recorded in the
42 A Delimitis et al
backscattering geometry using a DILOR XY micro-Raman system equipped with a cryogenic charge coupled device (CCD) detector For excitation the 5145 nm line of an Ar+ laser was used 3 Results and Discussion Compact InN films can be successfully grown both in the single or two-step growth modes at relatively low growth temperatures [3] In the two-step mode compact growth is accomplished by the introduction of a thin InN nucleation layer in low temperature A typical TEM image of the compact ~1 microm thick InN1 film on top of GaN is shown in Fig 1 The predominant structural defects in all compact samples are TDs of edge screw and mixed type character Their density values are up to 1010 cm-2 close to the InNGaN interface [4] however they show a significant decrease towards the InN free surface especially for the edge and mixed type TDs The epitaxial relationship of InN and GaN is illustrated in the selected area diffraction (SAD) pattern of Fig 1b which corresponds to the common [11 2 0] zone axis and was determined to be [11 2 0]InN[11 2 0]GaN (0001)InN(0001)GaN
Fig 1 a) TEM image from the InN1 epilayer grown in compact mode viewed along [11 2 0] and b) SAD pattern from the corresponding area revealing the InNGaN epitaxial relationship
Such common diffraction patterns of InN and GaN enable the deduction of the InN lattice constants and their variations among the films with high accuracy Subsequently after precise measurements the lattice constants a and c range from 0352-0354 nm and 0569-0571 nm respectively [4 5] In each epilayer of this study the a and c constants have an inversely proportional relationship which is indicative of the existence of biaxial residual strain in InN Similar results are found from HRTEM images of the interfacial InNGaN region such as the ones shown in Fig 2a for InN4 and Fig 2b for InN1 both viewed along [11 2 0] Improved quality atomically flat interfaces between InN and GaN are generally resolved The insets are Fourier filtered images of a part of the interface using only the in-plane 1 100 spatial frequencies in order to reveal locally the position of misfit dislocations (MDs) that accommodate the InNGaN structural mismatch [4] The GaN 1 100 half planes clearly reveal the position of the projected edge component of the MDs in the interface The dislocations appear in an average of 105 GaN planes in Fig 2a and 10 planes in Fig 2b ie the fringes are shown to terminate in an average plane sequence of 11-10-11-10 in (a) and 11-10-9-10 GaN planes in (b) This is translated [6] into a=03525 nm for (a) and a=03543 nm for (b) in agreement with the SAD results for the corresponding InN epilayers Consequently it is deduced that the InN lattice parameters remain practically constant throughout the total thickness [6] Based on the strain free InN values recently deduced [5] the InN epilayers are subject to residual biaxial strains either compressive or tensile in nature
Residual Strain Variations in MBE-Grown InN Thin Films 43
Fig 2 HRTEM images from the InNGaN interface in the epilayers a) InN4 and b) InN1 The different spacing of the MDs reveal residual compressive strain in (a) and tensile in (b)
The Fourier Transforms (FT) of the χ(k) EXAFS spectra for most of the InN epilayers is
summarized in Fig 3a The FT corresponds to the radial distribution function of the absorbing atom and thus the first two peaks correspond to the 1st and 2nd nearest neighbouring shells of In ie the InndashN and InndashIn distances respectively The results reveal that within the experimental error the InndashN distance is constant (215-216 Aring) among the samples studied due to the high ionic character of the In-N bond [7] On the other hand the In-In distance is proportional to the a lattice constant and reflects the different kind of residual strain present in the films Fig 3b in good agreement with the TEM analysis However since the InndashIn distance depends on both the a and c constants the graph of InndashIn vs a departs from the RInndashIn = a curve in Fig 3b towards higher distances for samples under compressive stress and towards lower InndashIn distances under tensile stress In other words the InndashIn distance resists stress-induced distortions indicating that stress relaxation is mainly done by angle deformation rather than bond elongation or contraction
Typical Raman spectra of the samples around the high frequency E2 mode (E22) are depicted in
Fig 3c The frequency of the E22 mode is strongly affected by biaxial stresses induced in the InN
epilayer due to the different thermal expansion coefficients of the epilayer and the template as well as their lattice mismatch The samples can be grouped in two categories those with a red-shifted E2
2 frequency and those with a blue-shifted one Taking into account the positive pressure coefficient of this mode [8] it is clear that the InN epilayers are subject to either compressive (blue-shifted) or tensile (red-shifted) residual strain These results confirm the TEM and EXAFS measurements and illustrate that the origin of the different strain sign stems from the growth process [3] in samples with a two-step growth regime a discrete island growth formation takes place prior to coalescence that accounts for the tensile strain [9] whereas epilayers grown in a single compact mode develop compressive residual strain The latter originates both from any residual structural mismatch not accommodated by the MDs network as well as from the thermal strains imposed from Al2O3 due to its higher thermal expansion coefficient than that of InN and GaN[5] 4 Conclusions The nature of the residual strain imposed on InN thin films grown by rf plasma-assisted MBE on GaNAl2O3 templates has been investigated by combining the TEM EXAFS and Raman techniques The characterization results revealed that InN is under either compressive or tensile biaxial strain The origin of compressive strain is both from the InNGaN structural mismatch and the thermal strains imposed from the sapphire substrate during cooling down to room temperature whereas tensile strain is merely a consequence of the discrete island coalescence in films grown with a two-step mode The strain distribution is uniform throughout the whole InN thickness for films having typical thickness values up to 1 microm
44 A Delimitis et al
Fig 3 a) FT amplitudes of the k3 weighted χ(k) EXAFS spectra The experimental and fitting curves are shown in thin and thick lines respectively b) Dependence of the InndashIn distance on the a parameter The vertical line at 03535 nm denotes the relaxed value of a[5] c) Raman spectra of the compressive (left panel) and tensile (right panel) InN samples in the E2
2 mode region The dashed vertical line denotes the E22 frequency in stress-free InN
while the solid vertical lines denote the maximum blue or red shift of E22
Acknowledgements Financial support from the European Union through the Marie Curie RTN contract No MRTN-CT-2004-005583 (PARSEM) and the GSRT through the ldquoGreece-Czech Republic Joint Research amp Technology Programs 2005-2007rdquo is greatly acknowledged References 1 Wu J Walukiewicz W Yu KM Ager III J W Haller E E Lu H Schaff W J Saito Y and
Nanishi Y 2002 Appl Phys Lett 80 3967 2 Bhuiyan A G Hashimoto A and Yamamoto A 2003 J Appl Phys 94 2779 3 Dimakis E Tsagaraki K Iliopoulos E Komninou Ph Kehagias Th Delimitis A and
Georgakilas A 2005 J Cryst Growth 278 367 4 Delimitis A Komninou Ph Kehagias Th Karakostas Th Dimakis E Georgakilas A and Nouet G
2005 Proc MSMXIV Conf eds Cullis AG and Hutchison JL (Springer Berlin) p 71 5 Dimakis E Iliopoulos E Tsagaraki K Adikimenakis A and Georgakilas A 2006 Appl Phys
Lett 88 191918 6 Delimitis A Komninou Ph Dimitrakopulos G P Kehagias Th Kioseoglou J and Karakostas Th
2007 Appl Phys Lett 90 061920 7 Wright A F 1997 J Appl Phys 82 2833 8 Pinquier C Demangeot F Frandon J Pomeroy J W Kuball M Hubel H Van Uden N W A
Dunstan D J Briot O Maleyre B Ruffenach S and Gil B 2004 Phys Rev B 70 113202 9 Boumlttcher T Einfeldt S Figge S Chierchia R Heinke H Hommel D and Speck J S 2001 Appl
Phys Lett 78 1976
0 1 2 3 4 5 6 7 80
10
20
30
40
InN7
InN6
InN5
InN4
In
InN1
|FT
k3 χ(k
) |
R(Aring)
N
3520 3525 3530 3535 3540 3545 35503520
3525
3530
3535
3540
3545
3550
InN6
InN7InN5
InN4
InN1
RIn
-In (Aring
)
a(Aring)
rela
xed
(Dim
akis
et a
l)
R In-In=a
tensilecompressive
480 490 500
InN2
Raman Shift (cm-1)
InN1
InN3
tensile films
480 490 500
InN7
InN5
InN6
InN4
compressive films
E22
Ram
an In
tens
ity (a
rb u
nits
)
a b
c
Growth of c-Plane GaN Films on (100) γ-LiAlO2 by Hydride Vapour Phase Epitaxy A Mogilatenko W Neumann E Richter1 M Weyers1 B Velickov2 and R Uecker2
Institut fuumlr Physik Humboldt-Universitaumlt zu Berlin Newtonstr 15 12489 Berlin Germany 1 Ferdinand-Braun-Institut fuumlr Houmlchstfrequenztechnik Gustav-Kirchhoff-Str 4 12489 Berlin
Germany 2 Institut fuumlr Kristallzuumlchtung Max-Born-Str 2 12489 Berlin Germany Summary Structural analysis of c-plane GaN nucleation layers on (100) γ-LiAlO2 and freestanding 2 inch c-plane GaN wafers is presented The nucleation layers contain a number of hexagonal pits The pit formation in nucleation layers might be an origin for the formation of macroscopic pyramids which are coupled on V-pits in the freestanding 2 inch GaN layers The pyramids start growing at the interface to LiAlO2 They consist of wurtzite GaN which is brown in colour The brown GaN regions show an extremely high defect density The freestanding HVPE-grown GaN layers have Ga polarity 1 Introduction (100) γ-LiAlO2 is a promising substrate which allows the growth of both polar c- and non-polar m-plane oriented GaN layers [1 - 4] In contrast to the GaN growth on the commonly used commercial substrates (sapphire SiC Si) the GaNLiAlO2 system shows significantly smaller lattice mismatch Furthermore LiAlO2 decomposition at elevated substrate temperatures [5] as well as a large difference in thermal expansion coefficients between LiAlO2 and GaN lead to spontaneous separation of the substrate during post-growth cooling down [1 4] This allows the preparation of thick freestanding GaN layers which can be used as substrates for subsequent GaN homoepitaxy
In the last years the growth of freestanding m-plane oriented GaN layers on γ-LiAlO2 has been successfully demonstrated [1] whereas less attention has been given to the growth of c-plane layers Recently freestanding c-plane GaN layers have been grown on LiAlO2 by hydride vapour phase epitaxy (HVPE) for the first time [4] The present study includes structural analysis of c-plane oriented GaN nucleation layers as well as freestanding GaN wafers grown by this process In particular the influence of nucleation temperature on the structure and morphology of the nucleation layers is considered 2 Experimental γ-LiAlO2 single crystals of 2 inch diameter and up to 120 mm length were grown using the Czochralski technique The LiAlO2 ingots were sliced and polished resulting in (100) γ-LiAlO2 wafers of 400 microm thickness HVPE growth was performed in a horizontal Aixtron-HVPE reactor GaN nucleation layers were grown at a substrate temperature of 590degC followed by recrystallization at 990degC Two samples were deposited with a recrystallization time of 2 min and 6 min In addition a 200 microm thick GaN film was grown on the top of the nucleation layers [4] Due to the spontaneous substrate separation freestanding GaN wafers were obtained
Transmission electron microscopy (TEM) studies comprising scanning transmission electron microscopy (STEM) energy dispersive X-ray spectroscopy (EDXS) electron energy loss spectroscopy (EELS) as well as convergent beam electron diffraction (CBED) were carried out on a JEOL JEM2200FS microscope operating at 200 kV Plan-view as well as cross-sectional
46 A Mogilatenko et al
specimens were prepared from central and edge regions of the wafers to assess the structural homogeneity of the layers 3 Results 31 Structure of Thin GaN Nucleation Layers on (100)LiAlO2 Electron diffraction analysis shows the formation of hexagonal GaN growing with the c-plane orientation ie GaN(0001)[110] || LiAlO2(100)[001] Cross-sectional TEM analysis shows that the GaN nucleation layers have a columnar structure Deposition of a thin GaN nucleation layer at a substrate temperature of 590degC followed by the subsequent recrystallization for 2 min at 990degC leads to formation of a film with a rough surface and a smooth interface (Fig 1a) The thickness of the layer is not uniform over the wafer It changes from up to 80 nm at the central region of the wafer down to 40 nm at its edge Furthermore the crystallite size decreases from the wafer centre to the wafer edge
Fig 1 Cross-sectional TEM micrographs of the GaN nucleation layers obtained at a recrystallization time of a) 2 min and b) of 6 min The images were obtained at the centre (left) and the edge (right) of the wafers Arrows in (b) indicate small LiAl5O8 grains at the GaNLiAlO2 interface which appear due to substrate decomposition
Longer recrystallization time improves the structure and morphology of the GaN nucleation
layers An increase of the recrystallization time from 2 min up to 6 min leads to an almost homogeneous layer thickness over the wafer (Fig 1b) The surface roughness decreases compared to the previous sample indicating that transport processes occur on the surface minimizing the free surface energy In addition the longer recrystallization leads to the formation of a GaN layer with a larger grain size indicating progression of coalescence with time Furthermore the longer recrystallization time supports the decomposition of LiAlO2 underneath the GaN layer which results in the formation of epitaxial LiAl5O8 grains at the GaNLiAlO2-interface (see the arrows in Fig 1b) [5]
Plan-view analysis shows that the GaN layers contain a number of hexagonal grains which appear dark in STEM annular dark-field images (Fig 2a) The grain density increases from the centre to the edge of the wafer High resolution TEM analysis (Fig 2b) reveals that these grains as well as the rest of the layer contain c-plane oriented GaN Thus the dark colour in the STEM dark-field image must be attributed to the smaller specimen thickness of the grains The EELS spectrum from a hexagonal grain shows a strong carbon K-edge (Fig 2c) However this result must be carefully interpreted since carbon is often introduced by the specimen preparation In this case preferred agglomeration of the carbon atoms is possible for the particular layer geometry where some hexagonal grains are thinner than the surrounding layer and consequently appear as pits
The origin of the pit formation in the GaN nucleation layers is not clear yet Obviously the GaN grains show a different growth rate which can be explained either by the presence of inversion domains or by a different chemical composition (for example by Li or O impurities
Growth of c-Plane GaN Films on (100) γ-LiAlO2 by Hydride Vapour Phase Epitaxy 47
which stem from the substrate decomposition) We tried to identify the inversion domains in cross-section by the CBED technique Unfortunately this analysis was not successful Because of the columnar layer structure and a high defect density in the nucleation layers the symmetric contrast in CBED discs vanishes which does not allow us to carry out polarity measurements
Fig 2 a) Plan-view STEM dark-field micrograph of a GaN layer on LiAlO2 b) HRTEM image of a hexagonal grain visible in (a) The enlarged inset demonstrates that the hexagonal grains contain c-plane oriented GaN c) EELS spectra from the points 1 and 2 (marked in (a)) Strong carbon K-edge is visible in the spectrum obtained from the hexagonal grain
32 Structure of 2 inch Freestanding GaN Layers Figure 3 shows a cross-section light microscopy image of a freestanding GaN layer obtained by HVPE The layer contains 12-sided V-pits which are partly 100 microm deep They are surrounded by hexagonal pyramids The pyramids originate at the interface to the LiAlO2 substrate They intersect the whole GaN layer thickness Their density increases rapidly from the centre to the edge of the wafer which is similar to the observed pit distribution in thin GaN nucleation layers The GaN layer regions which are free of pyramids are transparent and colourless whereas the pyramid material is brown in colour (see the black coloured pyramids in Fig 3) The dark colour may indicate a nitrogen-deficiency in the grown layers
Fig 3 Freestanding GaN layer in cross-section The V-pits are surrounded by hexagonal pyramids The dark pyramid colour may indicate a nitrogen-deficiency in the grown sample
EDXS analysis did not show any compositional difference between both regions Electron
diffraction reveals the presence of only wurtzite GaN phase Plan-view investigations were carried out to analyse the defect structure of the layers In this case the specimen preparation was complicated by the very high ion milling rates of the brown regions compared to the colourless GaN matrix Figure 4a shows the bright-field micrographs obtained from a colourless GaN matrix and a brown pyramid The brown regions show an extremely high defect density with evidence of crack formation This explains the higher ion milling rates of the brown GaN regions during the specimen preparation EELS spectra from the pyramid material contain a C-K edge (not shown here) However a preferential agglomeration of C at dislocation bunches as well as in cracks is possible That is why the presence of C in the samples still has to be verified by other chemically sensitive methods
48 A Mogilatenko et al
Polarity of the freestanding GaN layers was studied using convergent beam electron diffraction (CBED) The CBED patterns were obtained in the [1-100] GaN zone axis A 180deg-rotation between the image and diffraction plane has been measured for our microscope Simulations were carried out using the software package JEMS for specimen thicknesses ranging from 80 nm to 300 nm in 10 nm steps The CBED analysis shows that the freestanding GaN layers grown by HVPE have the Ga polarity ie the [0001] GaN axis is oriented upwards (Fig 4b)
Fig 4 a) Bright-field micrographs from the white and brown regions of the GaN wafer b) Experimental CBED patterns (resp left) acquired from the freestanding GaN layer and calculated CBED patterns (resp right) The layers have the Ga-polarity
4 Summary C-plane oriented GaN nucleation layers have been grown on (100) γ-LiAlO2 substrates by HVPE process Longer recrystallization time considerably improves the structure and morphology of the GaN layers Nevertheless the layers contain hexagonal pits The pit formation can be explained by a different growth rate of the particular GaN grains The pit density increases from the centre to the edge of the wafers
Colourless freestanding 2 inch (0001) GaN wafers contain 12-sided V-pits which are surrounded by brownish GaN pyramids The brown regions show an extremely high defect density compared to the colourless GaN The freestanding GaN layers grown by HVPE have the Ga-polarity Whether the presence of hexagonal pits in the thin GaN nucleation layers is a reason for the formation of macroscopic dark pyramids in the thicker layers still needs to be clarified Acknowledgements Authors would like to thank K Peters and U Rinas from CrysTec GmbH Berlin for the processing of γ-LiAlO2 wafers This work is financially supported by the Investitionsbank Berlin and the European Regional Development Fund under the ProFIT scheme References 1 Maruska H P Hill D W Chou M C Gallagher J J and Chai B H 2003 Opto-electron Rev 11 7 2 Waltereit P Brandt O Ramsteiner M Trampert A Grahn H T Menniger J Reiche M Uecker
R Reiche P and Ploog K H 2000 Phys Stat Sol (a) 180 133 3 Reed M D Kryliouk O M Mastro M A and Anderson T J 2005 J Cryst Growth 274 14 4 Richter E Hennig Ch Zeimer U Weyers M Traumlnkle G Reiche P Ganschow S Uecker R and
Peters K 2006 Phys Stat Sol (c) 3 1439 5 Mogilatenko A Neumann W Richter E Weyers M Velickov B and Uecker R submitted to J
Appl Phys
Interaction of Stacking Faults in Wurtzite a-Plane GaN on r-Plane Sapphire R Kroumlger T Paskova and A Rosenauer
Institute of Solid State Physics University of Bremen Otto-Hahn-Allee 1 28359 Bremen Germany Summary The defect structure in a-plane GaN films grown on r-plane sapphire distinguishes itself significantly from the one found in c-plane GaN Transmission electron microscopy studies on a-plane GaN films grown by hydride vapour phase epitaxy reveal basal plane stacking faults with a density in the range of 105 cm-1 as a major planar defect in this type of growth In addition prismatic and pyramidal stacking faults were found lying in the 1-100 and 1-102 planes The non-basal plane stacking faults are found in conjunction with nanopipe-like voids emerging at the filmsubstrate interface It is suggested that the formation of the prismatic and pyramidal stacking faults is caused by interfacial strain during the early stage of growth 1 Introduction The realization of nitride-based semiconductors with non-polar or semi-polar growth surfaces is of great technological interest since it will enable the production of optoelectronic device structures with no or a reduced spontaneous polarization fields which will enhance the efficiency of the devices However compared to state-of-art high-quality films grown in the c-orientation non-polar or semi-polar nitride films suffer from a high density of structural defects [1] The defect structure in these materials differs significantly in some aspects from those commonly found in polar c-plane nitride films In order to improve the material quality a better understanding of the defect formation mechanisms and a detailed knowledge of their structure are required A promising growth technique for the deposition of high-quality nitride films with large growth rates for the realization of nitride based bulk substrates is hydride vapour phase epitaxy (HVPE) [2] For this aim the present paper focuses on the defect structure of a-plane wurtzite GaN films grown on r-plane sapphire by HVPE 2 Experimental Details Thick GaN film with a thickness of about 20 microm was deposited by means of HVPE on an r-plane sapphire substrate in a conventional horizontal reactor at atmospheric pressure The microstructural analysis was performed by transmission electron microscopy (TEM) using a Philips CM20UT TEM specimen preparation was carried out by mechanical grinding and subsequent thinning to electron transparency applying a focused ion beam system (FEI NOVA NanoLab) This preparation enabled to obtain large planar areas to be investigated by TEM in plan view as well as in cross section geometry 3 Results and Discussion Growth related planar defects such as basal plane stacking faults (BSFs) are commonly observed in a-plane or m-plane GaN heteroepitaxially grown on different substrates having densities in the range of 10-5 cm-1 [3-5] The dominant type of stacking fault is the I1-type with a stacking sequence ABABCBCB being terminated by Frank-Shockley partial dislocations with Burgers vector b=16lt2-203gt [5] In the investigated sample only stacking faults of the I1-type could be identified which is the lowest-energy stacking fault in the wurtzite GaN [6] indicating near
50 R Kroumlger T Paskova and A Rosenauer equilibrium growth conditions Figure 1a shows a bright-field TEM (BFTEM) image of such BSFs The white arrow indicates the presence of a prismatic stacking fault (PSF) lying in 1-210 planes inclined at an angle of 60deg relative to the (11-20) growth plane [5] The lateral dimension of these PSFs is only a few nanometers and they are terminated by I1 type BSFs bounded by16[10-10] and 16[-1010] stair-rod dislocations The high density of BSFs is the reason for streak formation in the selected area diffraction (SAD) pattern as can be observed in Fig 1b
Fig 1 a) A BFTEM plan-view image of an area containing BSFs The white arrow indicates the presence of a 60deg PSF being bounded by two BSFs The insert on the right is a magnification of the area indicated in (a) by a white rectangle b) An SAD pattern taken along the [11-20] zone axis The white arrow indicates a streak
To determine whether the BSFs result from a dislocation split reaction it is useful to calculate the stacking fault energy from the observed partial dislocation distances The stacking fault energy γ of a stacking fault bounded by two partial dislocations having the (0001) as slip plane and with a separation distance d after a dissociation reaction can be calculated for elastically anisotropic crystals according to [6]
γ =b2
24πd3sin2 φ minus cos2 φ( )K1 + 3cos2 φ minus sin2 φ( )K3[ ]
Here b is the magnitude of the Burgers vector (BV) of the partial dislocations φ is the angle between BV and dislocation line direction whereas the values of K1 and K3 depend on the elastic constants and can be calculated from the equations given by Steeds [6] For the basal glide plane the resulting values of K1 and K3 are 1465 GPa and 1201 GPa respectively Using these values and the stacking fault formation energy for I1 type stacking faults given by Stampfl et al [7] a separation distance d of 275 nm is estimated which is much lower than the experimentally determined distances of several hundred nanometers From this fact it can be concluded that the main driving force for the BSF formation is not a dislocation split reaction but is rather connected with the nucleation step of the film formation and the strong anisotropy of the lattice mismatch between the a-plane GaN film and the r-plane sapphire Beside the BSFs and the 60deg PSF also non-basal plane stacking faults were observed lying on the 1-100 and 1-102 planes respectively (Figs 2a and 2b) These prismatic and pyramidal stacking fault segments frequently occurred in the arrangement of closed domains created by a stacking fault folding process In the 0002 dark-field image (see Fig 2c) the PSF related fringe contrast appeared when the sample was tilted towards the 1-100 pole This indicates that the displacement vectors R of the different stacking fault segments do not lie in the reflecting planes ie the 1-100 planes since the visibility of the PSF under these conditions leads to g0002middotR ne 0
Interaction of Stacking Faults in Wurtzite a-Plane GaN on r-Plane Sapphire 51
with g0002 being the respective diffraction vector The voids shown in Figs 2a and 2b are in fact nanopipes emerging from the filmsubstrate interface as can be seen from Figs 3a and 3b The lateral dimensions of these nanopipes are in the range of 30 ndash 100 nm and their length was found to amount to several micrometers
Fig 2 a) A Plan-view bright field TEM images of HVPE a-plane GaN tilted by 4deg towards the 1-100 pole and b) tilted by 45deg towards the 0002 pole c) A 0002 dark-field image of the same sample position tilted by 6deg towards the 1-100 pole and showing the stacking fault contrast of the PSFs
The process of stacking fault folding involves stair-rod dislocations to mediate between the different prismatic and pyramidal crystal planes since the displacement vectors of the stacking faults in the 1-100 and 1-102 planes are not the same An HRTEM plan-view of the tip of a prismaticpyramidal stacking fault domain found in an HVPE film is shown in Fig 4a The arrows indicate the position of stair-rod dislocations It is noteworthy that the tip involves the interaction with an I1 BSF being typical for all such domains found in the film The tip position coincides with a terminated BSF and consists of two r-plane PSF each of them subsequently folding onto the m-plane A magnified part of the PSF on the m-plane is shown in Fig 4b with a BSF crossing the PSF boundary The arrows mark the position of the BSF inside (left) and outside (right) of the stacking fault domain which shows a significant relative shift in c-direction This shift indicates that the PSF domain was formed after the BSF and that the PSFs were therefore formed after the basal plane stacking faults
Fig 3 a) BFTEM cross-section views along the [0001] direction showing a nanopipe formed in HVPE GaN grown directly on sapphire The image taken in a thicker part of the specimen and showing that the pipes originate at the GaNsapphire interface b) BFTEM image taken in a thinner part of the sample showing that the 2-1-10 terminate the nanopipe in growth direction
52 R Kroumlger T Paskova and A Rosenauer
Fig 4 a) Plan-view HRTEM image showing the tip of a folded stacking fault White arrows assign the positions of stair-rod dislocations b) HRTEM image of an m-plane PSF The arrows assign a BSF crossing the PSF and being shifted in c-direction
In Fig 5 a schematic overview is given summarizing the different planar defects found in a-plane GaN grown on r-plane sapphire Beside the I1 basal plane stacking faults (I) bounded by FS partial dislocations folded stacking fault domains containing m- and r-plane facets (II) as well as 60deg prismatic stacking faults bounded by two I1 stacking faults (III) were identified in the investigated film The prismatic and pyramidal stacking fault formation indicates the presence of strong external stresses during growth which is likely to be connected with wafer bending due to the lattice mismatch and different thermal expansion coefficients for the GaN and the sapphire
Fig 5 Sketches of stacking faults found in a-plane GaN grown on r-plane sapphire Grey arrows indicate stair-rod dislocations mediating stacking faults on different planes and grey slabs assign terminating partials
Acknowledgements Support by the Deutsche Forschungsgemeinschaft (Contract No FOR506-KR2195) is gratefully acknowledged We thank PT Fini JS Speck and S Nakamura for sample supply References 1 Craven M D Lim S H Wu F Speck J S and DenBaars S P 2002 Appl Phys Lett 81 469 2 Martin D Napierala J Ilegems M Butteacute R and Grandjean N 2006 Appl Phys Lett 88
241914 3 Haskell B A Wu F Craven M D Matsuda S Fini P T Fujii T Fujito K DenBaars S P
Speck J S and Nakamura S 2003 Appl Phys Lett 83 644 4 Liu T Y Trampert A Sun Y J Brandt O and Ploog K H 2004 Phil Mag Letters 84 435 5 Zakharov D N Liliental-Weber Z Wagner B Reitmeier Z J Preble E A and Davis R F 2005
Phys Rev B 71 235334 6 Steeds J W 1973 Introduction to Anisotropic Elasticity Theory of Dislocations Clarendon
Press Oxford 7 Stampfl C and van de Walle C 1998 Phys Rev B 57 R15 052
Composite Substrates for GaN Growth B Peacutecz L Toacuteth L Dobos P Bove1 H Lahregraveche1 and R Langer1 Research Institute for Technical Physics and Materials Science Hungarian Academy of Sciences POBox 49 H-1525 Budapest Hungary 1 Picogiga International SAS Place Marcel Rebuffat Courtaboeuf 7 91971 Villejust France Summary This work reports on the development of composite substrates realised using the Smart Cuttrade technology A thin film of monocrystalline SiC or Si is transferred onto polycrystalline SiC Those composite substrates can be prepared for 4rdquo size and over as well GaN layers are grown onto the above substrates in order to demonstrate that they can be used for the fabrication of GaN high electron mobility transistor (HEMT) devices The epitaxial nitride layers have been grown successfully and exhibit state of the art GaN HEMTs grown on silicon in terms of crystal and electrical properties 1 Introduction GaN has been demonstrated as an appropriate choice for high electron mobility transistor (HEMT) devices Large area substrates are needed for them in order to keep the unit cost low However GaN can be grown on SiC but SiC is still very expensive Although growth of GaN is realised on Si and on sapphire as well the thermal properties of those substrates suffer from low thermal conductivity The substrates which are presented in this paper have been developed in the HYPHEN EU project utilising the Smart CutTM process of SOITEC The base material is a polycrystalline SiC wafer which is available in large diameter 2 Experimental Four inch large wafers of polycrystalline SiC are used as starting material Another wafer of single crystalline Si (also hexagonal SiC) was used as a seed crystal Both wafers were oxidised and the seed crystal wafer was implanted with hydrogen ions to the appropriate depth which can be regulated by the ion energy A typical dose applied is 5x1016 ions cm-2 The two whole wafers are cleaned after ion implantation in order to eliminate any contamination and to make both surfaces hydrophilic The two wafers are aligned and contacted to each other making wafer bonding The wafer bonded structure is annealed and the defects caused by hydrogen implantation are accumulated to voids and the top stripe of the wafer is split during annealing The process is described in the following review [1] The remaining part of the seed wafer can be used further while a single crystalline strip of the Si (or SiC) is transferred to the polycrystalline SiC substrate separated by an oxide layer Our composite substrates are prepared in the above way and called SopSiC (meaning Si on polycrystalline SiC) and SiCopSiC (meaning SiC on polycrystalline SiC) In the case of SopSiC wafers (111) Si wafers are used which provide the appropriate orientation for the growth of hexagonal GaN GaN layers are grown onto SopSiC substrates in order to demonstrate that they are useful for that purpose
Both the starting material and the composite substrates are characterised by transmission electron microscopy (TEM) atomic force microscopy (AFM) Conventional TEM images were taken on a Philips CM20 microscope while high resolution images and elemental maps were taken on a JEOL 3010 operating at 300 kV Thin sections of the samples were prepared by our low angle ion beam milling technique [2 3]
54 B Peacutecz et al
3 Results 31 Polycrystalline SiC Starting Material
The image shown in Fig 1 is taken at low magnification and shows the polycrystalline wafer in plan view Both the selected area diffraction pattern and the X-ray diffractometry spectrum shows that this is a cubic SiC wafer with a strong (111) preferred orientation The X-ray spectrum is governed by the (111) and (222) peaks the (200) and (220) peaks are completely missing while a very small (311) peak is observed
Fig 1 Plan view image of polycrystalline SiC
Figure 2 shows the same sample at higher magnification in dark field mode One can see that the average grain size is about 1 microm from the top view In cross section (Fig 3) a columnar structure is revealed with long columns of cubic SiC Further details are learned from high magnification images like the typical stacking faults lying horizontally inside the columns The top surface is missing in most of the image in Fig 3 due to the ion milling however in the left side we can see the glue line above the top surface Although the polycrystalline SiC wafers are polished to mirror-like some surface steps are found which are however expected to be covered homogeneously by SiO2 in the next step The wafer is also characterised by Makyoh (magic mirror) topography and the curvature is determined with a radius of 333 m The bow of the wafer is a few times 10 microns
Fig 2 Dark field image of the poly-SiC Fig 3 Cross section of the poly-SiC wafer
Composite Substrates for GaN Growth 55
32 Composite substrates A typical example for a SopSiC substrate prepared by Smart Cuttrade is shown in Fig 4 Crystalline defects are not visible in the Si layer Both the bright field (Fig 4) and dark field images had shown
Fig 4 Cross section of SopSiC substrate Fig 5 AFM image of the surface of the SopSiC sample
that the surface of this SopSiC sample is a little wavy For that reason the surface roughness was measured by AFM and is shown in Fig 5 on a 2 microm x 2 microm area The rms value of 57 nm was evaluated which seems high but is perfectly suitable for GaN growth by MBE or MOCVD
An investigated development related to the surface preparation of the raw materials showed a drastic improvement in the surface roughness with an rms value of 06 nm which is one order of magnitude better than the former one Hexagonal SiC layers were also successfully transferred onto polycrystalline SiC by the Smart Cuttrade process
Fig 6 The nitride buffer layer of a HEMT structure grown by molecular beam epitaxy (MBE) onto SopSiC substrate One can see that the structure is homogeneous which is also confirmed by the following elemental maps
56 B Peacutecz et al
Fig 7 Nitrogen map Silicon map Oxygen map
Following the structure shown in Fig 6 the silicon map in the second image of Fig 7 shows a laterally homogeneous Si strip The markers on the elemental maps of Fig 7 are 20 nm long A complete HEMT structure was grown onto that single crystalline (111) oriented silicon with an ~1500 nm thick Ga(Al)N layer and with a GaNAlGaN of a few times 10 nm with a final cap layer of 1 nm GaN The dislocation density at the surface was determined on plan view images as 7-75 x 109 cm-2 which is in the expected range when GaN is grown onto Si 4 Conclusion Polycrystalline SiC wafers can be used for wafer bonding without any adhesion problem The transferred Si stripes (together with an amorphous SiO2 layer) are homogeneous It is also proved already that the composite substrates can be used for the growth of high quality nitride layers Because the SiSiO2 interface does not contain defects at all that does not limit the quality of the overgrown nitride layers therefore the SopSiC composite substrate is very promising for HEMT fabrication Acknowledgements The authors would like to thank ZsE Horvath for the X-ray data and Z Osvath for the AFM measurements Financial support of the HYPHEN (Hybrid Substrates for Mass Production of High Frequency Electronics) EU project (No FP6 IST 027455) and OTKA T047141 (Hungary) are acknowledged References 1 Celler G K and Cristoloveanu S 2003 J Appl Phys 93 4955 2 Barna A Radnoacuteczi G and Peacutecz B 1997 Handbook of Microscopy (eds S Amelinckx D van
Dyck J van Landuyt G van Tendelo) VCH Verlag Vol 3 Chapter II3 3 Barna A Peacutecz B and Menyhard M 1998 Ultramicroscopy 70 161
GaN Layers Grown by MOCVD on Composite SiC Substrate L Toacuteth L Dobos B Peacutecz M A di Forte Poisson1 and R Langer2 Research Institute for Technical Physics and Materials Science of the Hungarian Academy of Sciences H-1525 Budapest P O Box 49 Hungary 1 ALCATEL-THALES III-V Laboratories Route de Nozay 91460 Marcoussis France 2 PICOGIGA International SAS Place Marcel Rebuffat Courtaboeuf 7 91971 Villejust France Summary GaN based high electron mobility transistor structures were grown onto special composite substrates by metal-organic chemical vapor deposition These substrates consist of a thin single crystalline SiC layer transferred onto polycrystalline SiC wafer by a technique involving ion implantation and wafer bonding Transmission electron microscopy of these structures has proven that their epitaxial quality and defect structure is the same as of the reference samples deposited onto single crystalline bulk SiC substrate 1 Introduction The widespread use of various GaN based semiconductor devices (optoelectronic as well as high frequency and high power devices eg high electron mobility transistors (HEMTs)) is still limited due to the high cost of the large diameter single crystal substrates which are needed to manufacture them 6H and 4H SiC single crystal wafers are regarded as the most suitable substrate materials Al2O3 (sapphire) and Si with less optimal properties are used as well though their heat conductivity and lattice matching are inferior to SiC therefore the dissipated power and lifetime of the devices are much less
The European research project HYPHEN aims to solve this problem by developing special composite substrates and using them as a base for high electron mobility transistor (HEMT) devices In this process very thin layers of high quality monocrystalline SiC are transferred onto polycrystalline SiC wafers by the Smart Cuttrade technology involving ion implantation and wafer bonding [1] In this way a compromise between the highly conductive low cost poly-SiC and the defect-free lattice matched single crystalline SiC can be realized Details of this development are described elsewhere in this volume [2] In this paper the results of transmission electron microscopy (TEM) characterisation of GaN based HEMT structures grown on these special composite substrates by metal-organic chemical vapour deposition (MOCVD) will be presented 2 Experimental Special composite SiCopSiC (silicon-carbide-on-polycrystalline-silicon-carbide) substrates were prepared according to the technology described in another paper in the same volume [2] by transferring thin monocrystalline SiC-6H layers onto a 2rdquo polycrystalline SiC wafer (3C polytype) separated by a thin SiO2 layer These substrates were placed in a MOCVD reactor to deposit various (GaAl)N layers forming a HEMT structure First a 140 nm thick GaAlN nucleation layer was deposited followed by 15 microm GaN and a 22 nm Ga1-xAlxN (x=024) layer
Standard plan view and cross sectional TEM specimens were prepared by Ar+ ion milling at 10 keV which was lowered to 3 keV for the final minutes of the preparation process to decrease surface damage of the specimens Conventional TEM study was carried out on a Philips CM20 transmission electron microscope at 200 kV High resolution and filtered electron microscopy was applied as well in a 300 kV JEOL3010 microscope attached with a GATAN imaging filter
58 L Toacuteth et al
3 Results and Discussion The overall view of cross sectional specimens of GaN on SiCopSiC substrate were found to be similar to the reference (on bulk SiC) as well as to that expected (Fig 1) namely good quality epitaxial films with a strongly defected GaAlN nucleation layer at the interface and more defect-free GaN near the top surface The orientation relationship GaNSiC determined from electron diffraction patterns (Fig 2) was also the same well known from earlier studies
(0001)GaN || (0001)SiC [1100]GaN || [1100]SiC
Fig 1 Cross sectional TEM micrographs of the HEMT structures grown over a) SiCopSiC composite substrate and b) bulk SiC wafer
Fig 2 Selected area electron diffraction patterns of the GaN layer and the substrates for a) SiCopSiC composite substrate and b) bulk SiC wafer showing the epitaxial orientation relationship
GaN Layers Grown by MOCVD on Composite SiC Substrate 59
The interface GaAlNSiC however was not as planar on SiCopSiC substrates as on bulk SiC although the phase transition was very sharp according to high resolution TEM micrographs (Fig 3b) This comes from the wavy nature of the composite substrate surface (mean rms roughness value of about 4 nm determined by AFM two orders of magnitude higher than on bulk SiC) and was also confirmed by elemental mapping using filtered electrons (Fig 4)
Fig 3 a) Bright field and b) high resolution electron micrographs of the GaAlNSiC interface of the sample deposited onto SiCopSiC composite substrate
Fig 4 Zero loss image (ZLI) and elemental maps of Si C and N of the same area at the interface GaAlNSiCopSiC The interface is sharp although the substrate surface is wavy
60 L Toacuteth et al
In spite of the slightly uneven GaNSiC interface the top surface of the HEMT structure was found to be atomistically flat and planar except of the vicinity of emergence points of threading dislocations (Fig 5) Their density near the top surface measured on plan view specimens under two-beam dynamic imaging conditions was found to be 13 ndash 16 x 109 cm-2 a value corresponding to that measured on bulk SiC specimens as well as reported in the literature [3] Their Burgers vector was determined as well b = 13lt1123gt or 13lt1120gt In the nucleation layer as usual the density of dislocations was orders of magnitude higher The rms surface roughness of the top surface was found by AFM to be below 04 nm for both SiCopSiC and bulk SiC substrate
Fig 5 Bright field electron micrographs of the Ga1-xAlxN layer at the top surface of a) the sample on SiCopSiC substrate and b) the reference sample on bulk SiC wafer showing threading dislocation
4 Conclusions It has been shown that the epitaxial quality and microstructure of the formed HEMT layer structure is not changed significantly by using composite SiCopSiC substrate instead of bulk SiC substrate In spite of the slightly wavy surface of the composite substrate the top surface of the HEMT structure is completely planar The most characteristic defects at the active layer are mixed type threading dislocations with density of about 15 x 109 cm-2 the same value as observed in similar layers deposited onto bulk SiC substrate Acknowledgement Financial support of the HYPHEN (Hybrid Substrates for Mass Production of High Frequency Electronics) EU project (No FP6 IST 027455) and OTKA T047141 (Hungary) are acknowledged References 1 Celler G K and Cristoloveanu S 2003 J of Appl Phys 93 4955 2 Peacutecz B Toacuteth L Dobos L Bove P and Langer R 2007 this Proceedings volume 3 Jahnen B Albrecht M Dorsch W Christiansen S Strunk H P Hanser D and Davis R F 1998
MRS Internet J Nitride Semicond Res 3 39
An Initial Exploration of GaN Grown on a Ge-(111) Substrate Y Zhang C McAleese H Xiu C J Humphreys R R Lieten1 S Degroote1 and G Borghs1
Department of Materials Science and Metallurgy University of Cambridge Cambridge CB2 3QZ UK 1 Interuniversity Microelectronics Center Kapeldreef 75 B-3001 Leuven Belgium Summary Using electron microscopy techniques two types of defect have been identified in a GaN epilayer grown directly on a Ge-(111) substrate using plasma assisted molecular beam epitaxy (PAMBE) without any intermediate buffer layers One defect initially observed with optical microscopy has a triangular shape in plan-view imaging and is essentially a faceted void in the Ge extending from the interface into the substrate Another type of defect is the formation of domains due to the misorientation of the GaN relative to the Ge substrate with a twist of plusmn4˚ about the GaN-[0002] axis 1 Introduction GaN and other wide band-gap III-nitride semiconductors have attracted enormous attention in the last decade due to their electronic and optoelectronic properties The widely used substrates sapphire SiC and Si all suffer from large lattice mismatches with respect to GaN of 15 35 and -17 respectively [1] Until recently Ge substrates have never been used to grow GaN and other III-nitrides due to the theoretically huge lattice mismatch The in-plane lattice mismatch between Ge-(111) and GaN-(0001) for example is over 20 However recent research has investigated the possibility of using Ge as a substrate for direct GaN growth and found the quality of GaN grown on Ge using PAMBE to be surprisingly good [2] The GaN epilayer coalesced easily to give a flat surface Good crystal quality was revealed by XRD measurements with 371 arc sec FWHM for the rocking curve of a ~38 nm GaN epilayer Rectifying behaviour for n-GaN on p-Ge was also observed in current-voltage measurements These results suggest that direct growth of good quality GaN on Ge is possible which could lead to the application of Ge substrates in vertically conductive devices To investigate this possibility as well as to further improve the crystal quality of GaN structural characterisation has been initiated using a combination of techniques including optical microscope (OM) atomic force microscopy (AFM) X-ray diffraction (XRD) transmission electron microscopy (TEM) and scanning transmission electron microscopy (STEM) Particular interest was focused on the defects that exist in the GaN epilayer in an attempt to understand the growth mechanism and to assist in the optimisation of growth conditions Initial work has found two types of defect that were not observed in GaN on other substrates [3-4] The identification of these features is the main object of this paper 2 Experimental Details A GaN epilayer with a nominal thickness of 280 nm was grown in a MBE apparatus (Riber) equipped with a nitrogen plasma source (HD25 Oxford Applied Research) Details of the growth can be found in [2] The structure of the wafer was characterised using different techniques Optical microscopy gave a quick plan-view of the wafer on a large scale Tapping mode AFM was used to examine the surface morphology of GaN A Philips MRD high-resolution X-ray diffractometer was used to determine the orientation of GaN using Phi scans of skew-symmetric reflections For TEM work a Philips CM 30 microscope was used to perform diffraction pattern
62 Y Zhang et al
(DP) analysis bright field (BF) and dark field (DF) imaging and an FEI Tecnai F20 microscope was used to perform STEM-EDX analysis to obtain compositional information from the sample Both plan-view and cross-section TEM samples were prepared by a standard method ie mechanical grinding and polishing down to 40 microm and then ion-milling down to electron transparency using a Gatan precision ion polishing system (PIPS) 3 Results and Discussion 31 Triangular Void Formation One kind of defect observed with OM has a triangular shape in plan-view imaging as shown in Fig 1 The triangular defects are roughly in the same orientation and their sizes range from several hundred nm up to ten microm The density is about 1 triangle per 10 micromtimes10 microm AFM was used to examine the possibility of these triangular defects being located on the surface Considering the density of the triangular defects several AFM images with scanning area up to 20 micromtimes20 microm were taken A representative image is shown in Fig 2 No obvious triangular feature is observed However some dark areas whose size and density are similar to the triangular defects are observed indicating some depressions which might be related to the defects
40microm
Depressions
50 microm
Fig 3 TEM and STEM on cross-section and plan-view samples a)ampb) cross-section BF images of two different regions c) STEM-EDX analysis on a triangular defect in the plan-view sample
Ge
GaN
200 nm Faceted void
Ge
GaN
500 nm
Vacuum
Faceted void
500 nm
12
Energy (keV)
Cou
nts
11010090
4000
3000
2000
1000
0
Ga
GaCu
Ge
Ge
EDX HAADF Detector Point 1
Energy (keV)
Cou
nts
11010090
4000
3000
2000
1000
0
Ge
GeCu Cu
Ga
Ga
EDX HAADF Detector Point 2
(a)
(b) (c)
13295
000
Fig 1 Optical plan-view image showing the triangular defects
Fig 2 AFM image showing depressions but no triangular defects on the surface
An Initial Exploration of GaN Grown on a Ge-(111) Substrate 63
TEM and STEM give more conclusive results about the nature of the triangular defects as shown in Fig 3 Figures 3a and 3b are cross-section BF images of two different regions in the sample Faceted voids are clearly seen at the GaN-Ge interface The density and size of the voids are consistent with those of the triangular defects observed with optical microscopy Fig 3c shows STEM-EDX analysis on a plan-view sample The top image is a plan-view of a triangular defect The bottom left spectrum gives Ga and Ge concentration at point 1 which is inside the triangular area and the bottom right spectrum gives the concentration outside the area It can be inferred from the Ga and Ge peaks that the Ga concentration is the same for the two points while there is much less Ge inside the triangular area supporting the existence of Ge voids beneath the surface
All the evidence suggests the triangular defects are faceted voids in Ge extending from the interface into the substrate Their formation might arise from desorption of Ge prior to or during the early stages of GaN growth because of the low melting temperature of the Ge substrate 32 Misorientation of GaN Figure 4a shows a representative plan-view BF image of the sample Strong contrast can be observed in the image which can be either due to residual Ge left in some regions after TEM sample preparation or due to the fact that GaN is growing on Ge in more than one orientation STEM-EDX analysis on the plan-view sample (not shown here) suggests that the Ge concentration is uniformly low across the area where the strong contrast exists The selected area diffraction pattern obtained on an area containing both black and white contrast has shown two sets of GaN-[0002] zone axis patterns twisted relative to each other with an angle of about 8deg as shown in Fig 4b indicating the presence of two orientations of GaN grains twisted about the [0002] axis
The two orientations of the GaN grains can also be observed in cross-section images Additionally using different tilting angles the orientation of GaN relative to the Ge substrate can also be determined as shown in Fig 5 Figure 5a was taken on the Ge [110] zone axis and no contrast with the scale
200 nm
GaN
Ge
200 nm
GaN
Ge 200 nm
GaN
Ge
(a)
(b) (c) Fig 5 A series of TEM cross-section BF images taken at different titling angles a) Beam direction was along Ge [110] b) Sample was tilted 4deg about GaN [0002] zone axis away from (a) c) Sample was tilted -4deg about GaN [0002] zone axis away from (a)
1 microm
8deg
(a) (b)
1 microm
8deg
Fig 4 a) TEM BF plan-view image shows strong contrast b) Diffraction pattern analysis of (a) shows two sets of GaN-[0002] zone axis patterns
64 Y Zhang et al
observed in the plan-view image can be found If the sample is tilted about the GaN [0002] direction by 4deg (Fig 5b) or -4deg (Fig 5c) strong contrast appears on a similar scale as observed in the plan-view image and the contrast is reversed for the same region in the two images The diffraction pattern from the dark areas in both images is along the GaN-[1120] zone axis and the diffraction pattern from the bright areas shows a systematic row which is about 8deg away from the GaN [1120] zone axis
XRD results confirmed the misorientation of GaN observed in TEM as shown in Fig 6 The fact that each GaN (1011) peak has split into two sub-peaks and the Ge-(220) peak sits in-between suggests there are two orientations of the GaN grains and both of them are misorientated from the Ge The inset shows that the angles between each GaN sub-peak and the Ge peak is about 4ordm
According to the TEM and XRD results there is a misorientation of GaN grains when growing on a Ge substrate Two orientations exist in the GaN epilayer and they are twisted plusmn4ordm about the GaN-[0002] zone axis relative to the substrate The reason for this misorientation might be minimization of the large lattice mismatch between GaN and Ge Further investigation on this phenomenon will be carried out by examining the GaN-Ge interface using techniques such as high resolution TEM which will help to understand the mechanism of GaN formation on the Ge substrate 4 Summary and Conclusions The structure of a GaN epilayer directly grown on a Ge (111) substrate has been characterised using a combination of microscopic techniques Two interesting types of defect have been identified and investigated Triangular defects observed by optical microscopy are faceted voids extending from the GaN-Ge interface into the substrate Their formation is probably due to desorption of Ge prior to or during the early stages of GaN growth Another feature is the misorientation of the GaN relative to the Ge substrate with a 4ordm twist about the GaN-[0002] zone axis Further investigation into both features as well as further structural characterisation will help to understand the growth mechanism of GaN on Ge Acknowledgement The author would like to thank Cambridge Overseas Trust for financial support References 1 Liu L and Edgar J H 2002 Mater Sci Eng R 37 61 2 Lieten R R Degroote S Cheng K Leys M and Borghs G M 2006 Appl Phys Lett 89 252118 3 Weyher J L Brown P D Zauner A R A Muller S Boothroyd C B Foord D T Hageman P R
Humphreys C J Larsen P K Grzegory I and Porowski S 1999 J Crystal Growth 204 419 4 Kuwano N Hijikuro M Hata S Takeuchi M and Aoyahi Y 2007 J Crystal Growth 298 284
Fig 6 High-resolution XRD phi scan of skew-symmetric reflections
0
10000
20000
30000
40000
50000
60000
70000
80000
90000
100000
-250 -200 -150 -100 -50 0 50 100 150
GaN (1011)Ge (220)
0100002000030000400005000060000700008000090000
100000
40 42 44 46 48 50 52 54 56 58 60
0
10000
20000
30000
40000
50000
60000
70000
80000
90000
100000
-250 -200 -150 -100 -50 0 50 100 150
GaN (1011)Ge (220)
0100002000030000400005000060000700008000090000
100000
40 42 44 46 48 50 52 54 56 58 60
0
10000
20000
30000
40000
50000
60000
70000
80000
90000
100000
-250 -200 -150 -100 -50 0 50 100 150
GaN (1011)Ge (220)
0100002000030000400005000060000700008000090000
100000
40 42 44 46 48 50 52 54 56 58 60
Electron Microscopy Characterization of a Graded AlNGaN Multilayer Grown by Plasma-Assisted MBE G P Dimitrakopulos Ph Komninou Th Kehagias A Delimitis J Kioseoglou S-L Sahonta E Iliopoulos1 A Georgakilas1 and Th Karakostas Solid State Section Dept of Physics Aristotle University of Thessaloniki GR 54124 Thessaloniki Greece 1 Microelectronics Research Group Dept of Physics University of Crete PO Box 2208 71003
Heraklion-Crete Greece and IESL FORTH PO Box 1527 GR 71110 Heraklion-Crete Greece Summary Misfit relaxation and related defects in AlNGaN epitaxial heterostructures were studied using an MBE-grown AlNGaN multilayer with graded AlN thicknesses starting from 3 up to 100 nm Transmission electron microscopy techniques were employed Principally elastic behaviour was observed below 6 nm AlN thickness with some roughness and undulations due to local destabilization of the growth front Above 6 nm there is introduction of misfit and a-type threading dislocations The threading dislocations were frequently found to adopt inclined zig-zag line directions across the heterostructure thus contributing to the relief of alternating compressive-tensile elastic strain 1 Introduction AlN or AlGaN layers are often employed in GaN epitaxy eg in multiple quantum wells Bragg reflectors and HEMTs as well as in the form of interlayers in order to reduce threading dislocation (TD) density [1-3] Therefore it is important to elucidate their structural properties ie misfit relaxation critical thickness and defect formation Various critical thicknesses have been reported [4-6] Fracture is one important relaxation mechanism owing to the tensile strain of AlGaN on GaN and the lack of available low energy slip systems for operation of the Matthews-Blakeslee (MB) mechanism [7] Lee et al claimed that for Al content greater than ~65 fracture dominates over MB and starts from 3 nm thickness Fracture may be followed by activation of the 13lt 0121 gt0001 slip system for misfit dislocation (MD) emanation from crack tips [4] Bourret et al [6] obtained a 3-6 nm critical thickness interval (depending on the AlN flux ratio) for the onset of relaxation through dynamical platelet coalescence in molecular beam epitaxy (MBE) Although initially part of this relaxation may be reversible elastic and not due to MD introduction progressive introduction of MDs was then observed A characteristic thickness of 9-15 nm was given for irreversible relaxation under high IIIN ratio In the present work we report on the structural properties of a graded AlNGaN multilayer that was grown in order to elucidate the critical thickness and the defects contributing to misfit relaxation Transmission electron microscopy (TEM) techniques were employed The study of the mechanisms of MD introduction is not within our present scope and will be treated elsewhere 2 Experimental A multilayer heterostructure comprising seven AlN layers with nominal thicknesses 3 5 7 10 20 50 and 100 nm separated by 150 nm GaN spacers was grown by radio-frequency plasma-assisted MBE The sample was grown at 715oC on MOCVD GaN(0001)Al2O3 template under excess Ga flux employed in order to support a Ga adlayer This suppressed the Stranski-Krastanow growth mode as evidenced by in-situ reflection high-energy electron diffraction (RHEED) The growth of
66 G P Dimitrakopulos et al
AlN was performed using an AlN flux ratio = ~1 while retaining the incident Ga flux Cross sectional TEM specimen preparation was performed by tripod polishing followed by low-angle ion milling TEM observations both conventional (CTEM) and high resolution (HRTEM) were performed on a 200 kV JEOL 2011 (019 nm point resolution Cs = 05 mm) microscope Quantitative strain analysis on HRTEM images was performed using the geometric phase method (GPM) [8] 3 Results The CTEM image of of the whole heterostructure (Fig 1) shows extensive emanation of TDs after the 7 nm AlN layer GPM allowed quantitative determination of the reduced relative displacement ε = (cs
AlNcGaN)-1 of AlN with respect to GaN along the growth direction using (0002) HRTEM fringe patterns (Fig 2) The c lattice parameter of AlN is influenced by the biaxial strain in agreement with equation ε3 = -2 (C13C33) ε1 where ε1 = (asaAlN) -1 is the biaxial strain and ε3 = (cs
AlNcAlN)-1 is the strain along the growth direction due to the tetragonal distortion In the above equations cAlN and aAlN are the lattice constants of relaxed AlN cs
AlN is the c constant of AlN under strain as is the lsquointerfacialrsquo in-plane lattice constant and C13 C33 are elastic constants
The obtained values of ε for the 3 nm and 5 nm layers are -52plusmn02 and -45plusmn03 respectively with the expected value for pseudomorphic growth being -53 Hence partial relaxation is observed already at the 5 nm layer The obtained biaxial elastic strain of the 5 nm layer is ε1 = ~10plusmn05 and the corresponding relaxation is ∆ε1 = ε1max - ε1 = ~15plusmn05 If we assume that ∆ε is accommodated entirely by MDs we calculate the expected MD spacing to be δ = ~30 nm However such MD introduction was not observed in the 5 nm layer but only after the 7 nm layer (Fig 3) A possible explanation is that part of the observed relaxation in the 5 nm layer is reversible elastic as suggested by Bourret et al [6] and is accommodated by roughness and small
Fig 1 Bright-field CTEM image along [ 0011 ] of the heterostructure showing extensive emanation of threading defects starting from the 7 nm AlN layer The vertical arrow indicates the [0001] growth direction
Fig 2 a)-b) HRTEM (0002) fringe patterns along [ 0011 ] of the 3 and 5 nm AlN layers respectively c)-d) Corresponding GPM strain maps along [0001]
AlN
EM Characterization of a Graded AlNGaN Multilayer Grown by Plasma-Assisted MBE 67
scale undulations (Fig 4) Such features are introduced due to the destabilization of the growth front caused by the dynamical platelet formation and are precursors to cracking
We have observed surface cracking starting from the 7 nm layer (Fig 5) Also optical and scanning microscopy on the top surface of the specimen revealed an irregular crack network with no well-defined crystallographic orientations Crack overgrowth was observed by AFM indicating that cracking occurred during growth
The majority of TDs were a-type emanated from the 7 nm AlN layer and were connected to the MD network by threading arms TDs were found to be affected by the strain field of the heterostructure and in many instances they would re-enter an epitaxial interface as MD segments (Fig 6(a)) or form semi-loops (Fig 6(b)) Very often TDs appear inclined from the [0001] growth direction towards lt 0110 gt a phenomenon similar to that reported by other authors [9] By adopting such orientations the TDs contribute to the strain relaxation through their projected to the (0001) plane line segments However there are two differences concerning these TDs compared to the previously observed ones The first is that the inclined TDs are generated from the epitaxial interfaces starting as threading arms In the cases previously reported the TDs mainly propagated from the template and adopted their inclination at the interface The second interesting observation is that the TDs appear to follow zig-zag lines They change their line direction upon crossing the AlNGaN interfaces so that they reverse the sense of their projected line directions while keeping the Burgers vector invariant This is equivalent to reversing their Burgers vector while keeping the line direction invariant It signifies the role of inclined TDs in providing relief to alternating elastic strain that changes from tensile in AlN to compressive in GaN Since the GaN spacers participate in the misfit relaxation by hosting inclined TDs they are compressively strained In other words strain partitioning has taken place between GaN and AlN We observe an increase of the average projected angle starting from below 20o in the first GaN spacers up to around 30o at the top GaN spacer Assuming that the TD density is approximately constant this corresponds to an approximately two-fold increase of the plastic relaxation in the top GaN spacer compared to the one between the 7 nm and 10 nm AlN layers
Fig 3 Bright-field CTEM image of the 5 7 and 10 nm layers taken under two-beam conditions with g = 2011 The specimen has been tilted away from the [ 0011 ] zone axis by ~6o about [ 2011 ] in order to broaden the projection of the interfaces Arrays of MDs are observed in the interfaces of the 7 and 10 nm layers
Fig 4 HRTEM (0002) fringe pattern along [ 0011 ] showing undulations at the upper interface of the 3 nm AlN layer
Fig 5 HRTEM image along [ 2011 ] showing surface cracks at the upper interface of the 7 nm AlN layer (black arrows) An emerging TD is shown by a white arrow
68 G P Dimitrakopulos et al
Fig 6 a) Dark-field CTEM image of the top six AlN layers taken with g= 2011 The specimen has been slightly tilted out of the [ 0011 ] zone axis about [ 2011 ] so as to broaden the projection of the interfaces TDs are observed to emerge as threading arms from MDs as well as to re-enter the interfaces as MDs Inclined TDs are seen to adopt zig-zag lines b) Weak-beam dark-field g2g image of the top four AlN layers taken with g= 2011 Many zig-zag TDs are illustrated as well as some semi-loops
4 Conclusions Accommodation of strain relaxation has been studied in an MBE-grown AlNGaN multilayer with AlN layers of increasing thickness In AlN layers thinner than 6 nm the strain was principally elastic Introduction of MD arrays as well as of TDs was initiated after 6 nm thickness TDs were found to incline from [0001] towards lt 0110 gt line directions and to adopt zig-zag lines thus relieving alternating compressive-tensile elastic strain across the heterostructure This signifies partitioning of elastic strain between AlN layers and GaN spacers The inclined TDs originated from threading arms of misfit dislocations Acknowledgement This work was supported by the EU under the Marie Curie RTN contract MRTN-CT-2004-005583 (PARSEM) References 1 Takano T Narita Y Horiuchi A and Kawanishi H 2004 Appl Phys Lett 84 3567 2 Waki I Kumtornkittikul C Shimogaki Y and Nakano Y 2003 Appl Phys Lett 82 4465 3 Komninou Ph Kehagias Th Kioseoglou J Dimitrakopulos G P Sampath A Moustakas T D
Nouet G and Karakostas Th 2002 J Phys Condensed Matter 14 13277 4 Lee S R Koleske D D Cross K C Floro J A Waldrip K E Wise A T and Mahajan S 2004
Appl Phys Lett 85 6164 5 Bykhovski A D Gelmont B L and Shur M S 1995 J Appl Phys 78 3691 6 Bourret A Adelmann C Daudin B Rouviegravere J-L Feuillet G and Mula G 2001 Phys Rev B
63 245307 7 Matthews J W and Blakeslee A E 1974 J Cryst Growth 27 118 8 Hyumltch M J Snoek E and Kilaas R 1998 Ultramicroscopy 74 131 9 Cantu P Wu F Waltereit P Keller S Romanov A E DenBaars S P and Speck J S 2005 J Appl
Phys 97 103534
The Effect of Silane Treatment of AlxGa1-xN Surfaces N Ketteniss M J Kappers C McAleese and R A Oliver Department of Materials Science and Metallurgy University of Cambridge Pembroke Street Cambridge CB2 3QZ UK Summary AlxGa1-xN epilayers grown by metal-organic vapour-phase epitaxy have been treated in situ post-growth with silane and ammonia with the aim of increasing the size of the surface pits relating to threading dislocations in order to allow easy quantification of the dislocation density The treatment was successful for relaxed samples but resulted in the formation of nanoscale hillocks for epilayers under tensile strain 1 Introduction GaN light emitting diodes (LEDs) and laser diodes with wavelengths in the visible spectrum are now widely used in the optoelectronics industry However the attention of researchers is turning to devices emitting in the ultra-violet Access to this wavelength range requires the growth of high quality AlxGa1-xN epitaxial layers crystal defects such as threading dislocations (TDs) are known to limit device performance [1] Hence methods for TD density reduction in AlxGa1-xN are being developed and fast turnaround routes for the characterisation of TD densities are required
We have previously described a method to highlight TDs in MOVPE-grown GaN using an in situ treatment with SiH4 and NH3 [2] The surface treatment was observed to increase the size of surface pits associated with both screwmixed-type and edge-type TDs for n-type p-type and undoped GaN epilayers allowing fast and accurate characterization of TD densities and TD-type populations by atomic force microscopy (AFM) Here we investigate the applicability of this surface treatment to AlxGa1-xN layers 2 Experimental Ca 150 nm thick AlxGa1-xN epilayers were grown on GaN pseudo-substrates by metal-organic vapour-phase epitaxy (MOVPE) in a 6 times 2rdquo Thomas Swan close-coupled showerhead reactor The pseudo-substrates consisted of ca 6 microm of GaN grown on c-plane (0001) sapphire at 1020 degC following deposition of a 30 nm GaN buffer at 560 degC AlxGa1-xN layers were grown using the precursors trimethylaluminium (TMA) trimethylgallium (TMG) and ammonia (NH3) with H2 as a carrier gas Prior to growth of the AlxGa1-xN epilayer a 500 nm GaN connecting layer was grown to bury the regrowth interface providing a clean flat surface characterized by evenly-spaced monolayer steps For each Al-content studied a pair of samples was grown under identical conditions apart from the surface treatment Of each pair one sample received no treatment but was immediately cooled to room temperature after the epilayer growth The other sample was treated at 860 degC with a SiH4 flux of 200 nmolminute for 240 s with a simultaneous 20 slm NH3H2 flow (NH3H2 = 11)
The treated and untreated AlxGa1-xN epilayers were examined using both Nomarski light microscopy (also known as differential interference contrast microscopy) and intermittent contact mode AFM to determine both the broad microstructure and the nanoscale details of their surface morphology The a and c lattice parameters of the AlxGa1-xN were determined by high-resolution X-ray diffraction (XRD) reciprocal space mapping of the symmetric (0004) and asymmetric (10macr15) reflections A biaxial strain model [3] which assumes the
70 N Ketteniss et al
applicability of Vegardrsquos law to the lattice parameters and the elastic constants was used to determine the composition and strain state of the layers using GaN and AlN elastic constants from Wright [4] 3 Results 31 Epilayer Compositions and Strains Table 1 shows the results of the XRD measurements of the composition and strain state of the AlxGa1-xN epilayers along with thickness measurements based on in situ optical reflectivity data collected during layer growth assuming refractive indices of 2117 for AlN and 238 for GaN For x asymp 01 and x asymp 02 the layers are observed to be fully strained to the underlying pseudo-substrates For x asymp 03 some relaxation is observed but there is some variation in relaxation across the sample whereas for x asymp 04 the majority of the layer is completely relaxed
Target Al-content (x)
Al-content (x) (From XRD)
Epilayer thickness nm
Tensile strain in a
Relaxation
01 0095 176 011 0 02 0196 143 036 0 03 03 144 026 to 048 20 ndash 556 04 041 149 004 96
Table 1 Compositions thicknesses and strains of the AlxGa1-xN epilayers 32 Microscopy Data The broad microstructure of the epilayers is illustrated in Fig 1 which shows Nomarski light microscopy images of each treated sample At this scale the morphologies of the untreated samples are indistinguishable from those displayed here For x asymp 01 and x asymp 02 the observed morphology is fairly similar to that of the underlying pseudo-substrate (Figs 1a and 1b) Very careful examination of the sample with x asymp 02 revealed a few long cracks ndash two or three over the entire surface of the 2rdquo wafer Many more cracks are seen on the samples with higher Al-contents but the spacing between cracks varies For x asymp 03 (Fig 1c) some areas of the epilayer are observed to have crack spacings in the range 5 ndash 50 microm whereas other adjacent regions are uncracked over distances of up to about 500 microm Overall about one third of the surface exhibits the finely-spaced cracks The layers with x asymp 04 (Fig 1d) show similar characteristics to those with x asymp 03 but in this case approximately 90 of the sample area exhibits finely-spaced small cracks
More detailed surface characterisation was performed using AFM and the results are shown in Fig 2 for both treated and untreated samples For the samples with x asymp 03 and x asymp 04 the treated samples exhibited notably different morphologies in the areas with finely and widely spaced cracks Hence both types of area are shown in the Figure All untreated
(a) (b) (c) (d)
50 microm 50 microm 50 microm 50 microm
Fig 1 Nomarski light microscopy images of epilayers with a) x asymp 01 b) x asymp 02 c) x asymp 03 and d) x asymp 04 Note the variation in crack density in (c) and (d)
The Effect of Silane Treatment of AlxGa1-xN Surfaces 71
samples (Fig 2ai 2bi 2ci and 2di) exhibited fairly evenly spaced monolayer steps For x asymp 01 the surface treatment resulted in increases in the TD pit size but the previously terraced surface now exhibits islands ca 25 nm wide (Fig 2aii) For x asymp 02 these islands dominate the morphology of the treated surface and no pits may be distinguished (Fig 2bii) For x asymp 03 and x asymp 04 considering first those areas of the sample with a low macroscopic crack density (Figs 2cii and dii) we again observe the formation of a high density of small hillocks obscuring the TD pits In contrast in areas with higher crack densities (Figs 2ciii and 2diii) a largely terraced surface with clear TD pits is observed
For all the treated samples except for that with x asymp 02 (for which the hillocks entirely obscure the TD pits) the density depth and width of the pits has been measured by AFM and compared with similar data from the untreated samples (The pit depth in particular may be significantly affected by the finite probe size but as similar probes were used for all measurements it should be possible to make comparisons) In all cases the observed pit density increased as did the average pit depth For both x asymp 01 and x asymp 04 the average pit width also increased but this effect was less clear for x asymp 03
600 nm
Fig 2 AFM images of epilayers with a) x asymp 01 (i) untreated and (ii) treated b) x asymp 02 (i) untreated and (ii) treated c) x asymp 03 (i) untreated (ii) treated ndash area with low crack density (iii) treated ndash area with high crack density and d) x asymp 04 (i) untreated (ii) treated ndash area with low crack density (iii) treated ndash area with high crack density
(ai) (aii) (bi) (bii)
200 nm 200 nm 200 nm 200 nm
(ci) (cii) (ciii)
600 nm 600 nm 600 nm
(di) (dii) (diii)
600 nm 600 nm
72 N Ketteniss et al
33 Discussion Unlike in our studies of the effect of this treatment on GaN layers some of the samples exhibit very significant surface roughening following treatment This effect is most marked for the sample with x asymp 02 which we note is largely uncracked and hence under significant strain Additionally those areas of the samples with x asymp 03 and x asymp 04 which one would expect to be most relaxed (ie those with the highest crack density) have a terraced morphology whereas the areas with less cracks (and hence presumably higher local strain) exhibit hillocks Hence we suggest that the morphology after treatment depends on the strain state of the material with higher strain correlating with hillock formation Further evidence is provided by Fig 3 which shows the detailed morphology around one of the few cracks in the sample with x asymp 02 With increasing distance from the crack (which relaxes the strain locally) the surface becomes rougher and hillocks appear
These results suggest that whilst the silane treatment does increase the TD pit size when applied to AlxGa1-xN layers with x le 04 its usefulness is currently limited to assessing dislocation densities in relaxed material The treatment should thus be relevant to studies of thick AlxGa1-xN layers grown directly on sapphire which may be nearly strain free [5] Thus far we have only considered the effects of tensile strain on the surface morphology We have not yet assessed the effects of compressive strain ndash for instance if AlxGa1-xN is grown on an AlN buffer layer or pseudo-substrate The applicability of the silane treatment to this situation will be a topic of further investigation 4 Summary Treatment of AlxGa1-xN surfaces with x le 04 with SiH4 and NH3 at 860 degC is a useful means of enlarging dislocation pits if the AlxGa1-xN is relaxed For material in tensile strain the treatment results in a rough morphology consisting of nanoscale hillocks Acknowledgements This work has been funded in part by the EPSRC RAO would like to acknowledge funding from the Royal Society References 1 Wang T Lee K B Bai B Parbrook P J Airey R J Wang Q Hill G Ranalli F and Cullis A G
2006 Appl Phys Lett 89 081126 2 Oliver R A Kappers M J Sumner J Datta R and Humphreys C J 2006 J Crystal Growth 289 506 3 Schuster M Gervais P O Jobst B Hoesler W Averbeck R Riechert H Iberl A and Stommer R
1999 J Phys D Appl Phys 32 A56 4 Wright A F 1997 J Appl Phys 82 2833 5 Krost A Blasing J Schulze F Schon O Alam A and Heuken M 2000 J Cryst Growth 221 251
800 nm
Fig 3 AFM image of a treated epilayer with x asymp 02 showing the variation in the sample morphology with distance from a macroscopic crack (black stripe at left)
Quantitative Analysis of Deformation Around a Nanoindentation in GaN by STEM Diffraction K K McLaughlin and W J Clegg Department of Materials Science and Metallurgy University of Cambridge Pembroke Street Cambridge CB2 3QZ UK Summary The lattice rotations underneath a nanoindentation in GaN are measured from the energy-filtered convergent beam electron diffraction patterns generated by scanning transmission electron microscopy (STEM) These rotations reveal a kink band not visible in STEM high angle annular dark field images Furthermore the diffraction data allows the lowest-energy configuration of dislocations associated with the kink band rotations to be estimated 1 Introduction GaN is a semiconductor with the wurtzite structure which is of interest for its luminescent properties [1] The reliability of GaN devices can be diminished by the presence of dislocations hence the nature of the plastic region induced by contact damage is of importance Here nanoindentation is used to introduce damage
Qualitative characterization of nanoindentations by transmission electron microscopy from cross-sections made in the focussed ion beam (FIB) workstation has been done for some time now including in GaN [2] This technique has provided some information about the size and shape of the zone of plastic deformation in a wide variety of materials Quantitative characterization however has been impeded by the lack of automated measurement techniques and smearing of patterns due to very high dislocation densities
By collecting the energy-filtered convergent beam electron diffraction (EF-CBED) patterns produced from scanning transmission electron microscopy (STEM) the lattice rotations due to plastic and elastic deformation can be measured The data collection is automated through the STEM and the small probe size means that any smearing is significantly reduced 2 Experimental Methods [0001] GaN was grown by organometallic vapour phase epitaxy on a sapphire substrate 25 mN Berkovich nanoindentations (Micromaterials Nanotest 600) in the [0001] surface were completed so that the corners of the nanoindentation were along the lt 1021 gt directions A cross-section was prepared using the lift-out technique in a FIB workstation (FEI 200) as described in [3] The cross-section plane is ( 0110 ) although this did not pass through the tip of the nanoindentation Fig 1a shows the approximate location of the cross-section
The plastic zone underneath the nanoindentation was analysed in STEM mode at 200 keV (FEI Tecnai F20) EF-CBED patterns were collected every 200 nm over a 32 microm times 18 microm grid and every 100 nm closer to the nanoindentation The STEM probe size was 1 nm with a convergence angle of 009deg so the total volume of material measured for a sample thickness of 100 nm was about 100 nm3 The orientation was measured in the manner described by Wang and Starink [4] such that only 2 sets of Kikuchi lines and the undiffracted disk needed to be identified in each pattern In regions of low local dislocation density the error was approximately plusmn 01ordm consistent with Wang and Starink in regions of higher dislocation density (on the order of 1015 m-2) the error was increased to plusmn 05ordm
74 K K McLaughlin and W J Clegg
Fig 1 a) Geometry of the cross-section of the nanoindentation in GaN b) STEM HAADF image of the cross-section of the nanoindentation in GaN taken 25deg from [ 0110 ] The box indicates the outermost diffraction measurements
3 Results and Discussion The STEM high angle annular dark field (HAADF) image of the nanoindentation can be seen in Fig 1b Much of the deformation was by basal plane dislocations although several slip lines can be identified along a pyramidal orientation
Fig 2 shows the misorientations measured relative to a reference axis at x = 0 y = 400 nm oriented 25deg from [ 0110 ] Several points in the misorientation data are marked with a ldquo rdquo symbol These are from regions where the Kikuchi lines were too blurred for measurements to be made
The misorientation data shows a region of large misorientations along x = 1050 nm and x = 1150 nm This misorientation is consistent with the presence of a kink band a deformation mechanism often found in highly anisotropic materials [5] The misorientations between horizontal neighbours along with the axis of rotation can be calculated from the orientation data This data shows that the axes of rotation in this region are perpendicular to [0001]
Fig 2 Misorientations between a zero near [ 0110 ] and locations underneath the nanoindentation
Quantitative Analysis of Deformation Around a Nanoindentation in GaN 75
As the dislocations causing the rotation are confined to a narrow band Frankrsquos formulation [6] for calculating the number of dislocations in a boundary can be used The sum of the Burgers vectors in the boundary ( bΣ ) can be calculated as
( )
times=Σ
2sin2 θlrb
where l is the axis of rotation θ is the misorientation and r is a vector which is perpendicular to both l and the normal of the dislocation wall r is taken to be 100 nm equal to the distance between measurements
As the axes of rotation are perpendicular to [0001] it can be assumed that the dislocations causing the rotation are all a-type The minimum number of dislocations (and hence the minimum dislocation line energy) will be the solution of the equation
[ ] [ ] [ ]11023
02113
10213
rqp nnnb ++=Σ
where np indicates the number of [ ]102131 dislocations nq for [ ]02113
1 and nr for [ ]110231 and
the sum of np nq and nr is minimized The minimum number of dislocations required to cause the rotation between x = 1050 and
x = 1150 nm can be found in Fig 3b The number of dislocations can be as high as 43 within a volume of 100 nm times 100 nm times 100 nm which gives a dislocation density of 5 times 1015 m-2 This value is similar to the densities of rotational dislocations calculated by theories such as strain gradient plasticity which predicts an average dislocation density of 15 times 1016 m-2 [7] The value of np is consistently larger than nq or nr so that the majority of the dislocations were in the [ ]1021 direction perpendicular to the side of the indenter
Analysis of the kink band was conducted between several of the rows of measurements as seen in Fig 4a The numbers of [ ]10213
1 dislocations np along each of these lines can be found in Fig 4b In all cases there were significantly more [ ]10213
1 dislocations than the other orientations The lines along x = 1100 to x = 1300 nm are discontinuous as some of the axes of rotation in this region were not perpendicular to [0001] in most cases this indicates that one of the pyramidal slip lines crossed the 100 nm times 100 nm region It can be seen from the graph in Fig 4b that the values of np along x = 900 and x = 1000 nm were large and positive while the values along x = 1100 to x = 1300 were mostly smaller and negative indicating that the dislocations had Burgers vectors of
[ ]012131
Fig 3 a) a-type dislocation directions in the hexagonal cell b) Graph of minimum numbers of basal dislocations (np nq and nr) responsible misorientation between x = 1050 and x = 1150 nm on Fig 2 The error bars refer to the plusmn 05deg error in the misorientation
76 K K McLaughlin and W J Clegg
Fig 4 a) Lines along which basal dislocations could be quantified b) Numbers of [ ]10213
1 dislocations across the lines indicated in a
4 Conclusions The combination of FIB sample preparation and STEM analysis gives an effective means to measure the lattice rotations underneath nanoindentations Features can be identified with this technique that cannot be seen with HAADF imaging such as kink bands It is shown that the kink band is made of dislocations with Burgers vectors of [ ]10213
1 and with dislocation densities of up to 5 times 1015 m-2 Acknowledgements The authors would like to thank Finn Giuliani for FIB sample preparation the Cambridge Centre for Gallium Nitride for providing the sample and the Natural Sciences and Engineering Research Council of Canada the Cambridge Commonwealth Fund and the Overseas Research Student Award Scheme for funding References 1 Reshchikov M A and Morkoc H 2005 J Appl Phys 97 1 2 Bradby J E Kucheyev S O Williams J S Wong-Leung J Swain M V Munroe P Li G and
Phillips M R 2002 Appl Phys Lett 80 383 3 Langford R M and Petford-Long A K 2001 J Vac Sci Technol A 19 2186 4 Wang S C and Starink M J 2003 J Microsc 211 130 5 Hess J B and Barrett C S 1949 Met Trans 185 599 6 Frank F C 1950 A Symposium on the Plastic Deformation of Crystalline Solids NAVEXOS-P-
834 150 7 Nix W D and Gao H J 1998 J Mech Phys Solids 46 411
Microstructure of (GaFe)N Films Grown by Metal-Organic Chemical Vapour Deposition T Li C Simbrunner A Navarro-Quezada M Wegscheider M Quast and A Bonanni Institute of Semiconductor and Solid State Physics Johannes Kepler University Altenbergerstr 69 A-4040 Linz Austria Summary We report on the structural and chemical analysis of (GaFe)N films grown by metal-organic chemical vapour deposition using transmission electron microscopy and energy dispersive X-ray spectroscopy In homogeneously Fe-doped GaN we have found Fe-rich nanoclusters to be assigned to α-Fe and ε-Fe3N In GaN δ-doped with Fe and co-doped with δMg we have observed a significant reduction of the threading dislocations to be associated with the growth interruption while the Fe ions tend to float to the surface 1 Introduction GaN doped by transition metal (TM) is a model material system for testing the mechanisms of room temperature (RT) ferromagnetism in diluted magnetic semiconductors in the perspective of future spintronics applications Since the prediction of RT carrier-mediated ferromagnetism in wide band gap semiconductor doped by TM [1] there have been considerable research efforts made on material systems such as Mn-doped GaN and Mn-doped ZnO [2] Currently there are growing interests in Fe-doped GaN Ferromagnetic-like properties have been reported in (GaFe)N grown by Fe-ion implantation molecular beam epitaxy (MBE) metal-organic chemical vapour deposition (MOCVD) and hydride vapor-phase epitaxy (HVPE) [3 - 6] Despite the above-mentioned promising reports the origin of the ferromagnetic response in these systems is still not clear A difficulty in verifying the underlying mechanism lies in the fact that depending on the growth conditions one may produce a mixture of random alloy magnetic-ion-rich clusters and other secondary phases Therefore it is necessary to study case by case the microstructures of TM-doped materials Here we present the results on structural and chemical analysis of (GaFe)N films grown by MOCVD 2 Experimental The samples have been grown in an AIXTRON 200RF horizontal-tube MOCVD reactor All the structures have been deposited on c-plane sapphire substrates according to a well-established growth procedure involving TMGa NH3 and Cp2Fe respectively as precursors for Ga N and Fe with hydrogen as a carrier gas [7] Upon the nitridation of the substrate the deposition of a low-temperature (540 degC) GaN nucleation layer its annealing under NH3 and growth of a 1microm thick device-quality GaN layer at 1050 degC about 05 microm thick (GaFe)N layers have been grown at a substrate temperature of 850 degC and increasing Cp2Fe flow rates from 50 standard cubic centimeters per minute (sccm) to 350 sccm at a step of 25 sccm In a further step Fe and Mg have been introduced one after the other as δ-dopants repeatedly in GaN layers grown on top of a GaN buffer layer The buffer and doped layer in the δ-doped samples are slightly thicker than those in the bulk-doped ones
High-resolution transmission electron microscopy (HRTEM) studies were performed on cross-sectional samples using a JEOL 2011 Fast TEM microscope operated at 200 kV with a Gatan CCD camera The chemical analysis has been carried out by means of an Oxford Inca energy dispersive X-ray spectroscopy (EDS) system
78 T Li et al
3 Results and Discussion From superconducting quantum interference device (SQUID) and electron-paramagnetic resonance (EPR) measurements we found that for doping levels higher than the solubility limit of the Fe ions into the GaN matrix under our growth conditions both GaN homogeneously doped with Fe [(GaFe)N] and δFe-doped GaN co-doped with δMg [(GaδFe)N δMg] show ferromagnetic signatures persisting up to above RT [8] 31 (GaFe)N For Cp2Fe rates lt 175 sccm ie for concentrations of the Fe ions below the solubility limit at the growth conditions [8] the Fe-doping does not change the morphology of GaN in terms of strain and dislocation density while the EDS spectra show that there is a little mount of Fe in the lattice In these samples the Fe ions are expected to substitute the Ga ions since Fe and Ga have the similar atomic radius (1720 pm1810 pm) and almost the same electro-negativity (183181) The interstitial or anti-sited Fe ions are more likely to introduce structural point defects or cause local strain thus to change the morphology of the layers
Fig 1 a) Micrograph showing nanocrystals close to the surface b) EDS spectrum taken around the precipitates showing the enhanced Fe signal c) EDS spectrum taken in precipitate-free area showing the barely detectable Fe signal
Fig 2 Micrographs of two types of Fe-rich nanocrystals and the corresponding SADPs a) α-Fe and b) ε-Fe3N
Microstructure of (GaFe)N Films Grown by Metal-Organic CVD 79
For Cp2Fe ge 175 sccm a number of nanocrystals have been found either embedded in the GaN matrix in defect free region or associated to the dislocations (Fig 1a) EDS spectra indicate that the nanocrystals are Fe-rich (Fig 1b) as compared with the surrounding GaN matrix (Fig 1c) The density of the Fe-rich nanocrystals increases qualitatively with the Cp2Fe flow rate We believe that phase separations have happened in these samples Further EDS studies show that the Fe ions distribute inhomogeneously within the doped region no deeper than ~250 nm from the surface well above the nominal interface between the GaN buffer and the (Ga Fe)N layer This implies that the Fe ions tend to float toward the surface where the precipitation takes place
The micrograph in Fig 2a shows one of the nanocrystals in Moireacute contrast typically found in our samples and the selected area diffraction pattern (SADP) acquired along the [10-10] zone axis In the SADP there is an additional diffraction spot along with the (0002) diffraction of GaN indicating the presence of a secondary phase apart from GaN with a set of planes parallel to the GaN (0002) planes The interplanar spacing is calculated to be 0204 nm by using the lattice parameter of relaxed GaN film as a reference The additional phase is either iron or iron nitride Among the possible candidates listed on Table II in Ref 8 α-Fe (d110 = 0202 nm) is matching most closely our observation Figure 2b shows another typical nanocrystal and the corresponding SADP which reveals the structure of this additional phase to be hexagonal with lattice parameters c = 0436 nm and a = 0268 nm matching those of ε-Fe3N It is also worth noticing that the nanocrystal in Fig 2b locates at the end of a dislocation We have actually observed that a number of nanocrystals are associated to threading dislocations (TDs) [8] It has been reported that TDs cause charge accumulation in GaN [9] In GaN-Fe the charging centers introduced by TDs may trap some of Fe ions thus promote the formation of nanocrystals
32 (GaδFe)N δMg In the perspective of enhancing the p-conductivity of the samples we have also grown (GaδFe)N δMg layers Figures 3a and 3b show the dark-field images of a (GaδFe)N δMg layer with g = 11-20 and g = 0002 along the [10-10] zone axis respectively According to the visibility criterion the a type (edge) and a+c type (mixed) dislocations should be in contrast in Fig 3a while the c type (screw) and a+c type dislocations should be in contrast in Fig 3b Comparing the two images we find that most of the edge dislocations are terminated at around 14 microm above the epilayer-substrate interface where the nominal interface between the GaN buffer and the δFeδMg doped layer is located while screw and mixed dislocations are not affected In Fig 3a the edge dislocations appear to be redirected by the δ-doping layers and to combine to each other to form some half loops Because the edge dislocation density is almost two orders higher than that of the screw dislocations in GaN the reduction of edge dislocations actually reduces the overall TD density
Fig 3 Dark-field images (negative) taken with a) g = 11-20 and b) g = 0002 respectively along the [10-10] zone axis
80 T Li et al
Fig 4 a) Micrograph around the sample surface and b) high-resolution lattice image around a single nanocrystal
Figure 4a shows Fe-rich nanocrystals distributed within ~100 nm of the surface in a layer
(GaδFe)N δMg The HRTEM image in Fig 4b reveals that the nanocrystal is α-Fe Compared to those in the bulk-doped samples nanocrystals in GaN-δFeδMg are of smaller size most of them are not associated to dislocations and they distribute even closer to the surface This is correlated to the fact that δ-doping reduces the density of TDs hindering the trapping effects on the Fe ions and leading to more Fe ions floating toward the surface Furthermore the precipitation not related to dislocations may lead to smaller nanocrystal sizes 4 Conclusions As an effect of phase separation Fe-rich nanocrystals have been found in both (GaFe)N and (GaδFe)N δMg layers Ferromagnetic phases (α-Fe and ε-Fe3N) have been identified in these nanocrystals in (GaFe)N In (GaδFe)N δMg layers the significant reduction of TDs due to the δ-doping process influences both the size and the distribution of the Fe-rich nanocrystals We are confident that the Fe-rich nanocrystals are the origin of the high-temperature ferromagnetic response of the (GaFe)N layers References 1 Dietl T Ohno H Matsukura F Cibert J and Ferrand D 2000 Science 287 1019 2 Liu C Yun F and Morkoc H 2005 J Mater Sci ndash Mater Electron 16 555 3 Shon Y et al 2004 J Appl Phys 95 761 4 Ofuchi H et al 2001 Appl Phys Lett 78 2470 5 Bonanni A Simbrunner C Wegscheider M Przybylinska H Wolos A Sitter H and Jantsch W
2006 Phys Stat Sol (b) 243 1701 6 Malguth E Hoffmann A and Xu X 2006 Phys Rev B 74 165201 7 Bonanni A Stifter D Montaigne-Ramil A Schmidegg K Hingerl K and Sitter H 2003 J Cryst
Growth 248 211 8 Bonanni A et al 2007 Phys Rev B 75 125210 9 Leung K Wright A F and Stechel E B 1999 Appl Phys Lett 74 2495
Nanostructures on GaN by Microsphere Lithography W N Ng K N Hui X H Wang C H Leung P T Lai and H W Choi Department of Electrical and Electronic Engineering The University of Hong Kong Hong Kong Summary The fabrication of nanopores on GaN substrates using the simple and economical technique of microsphere lithography is demonstrated A self-assembled hexagonal microsphere array formed on GaN substrate acts as a hard-mask for the fabrication of nanostructures In subsequent dry etch processes arrays of holes in a nanostructure were formed on top of the LED The structural properties of the nanopores are characterized by scanning electron microscopy (SEM) while photoluminescence (PL) measurements showed a 25 enhancement of light emission intensity attributed to improved light extraction 1 Introduction Similar to Si technology dimensional down-scaling is an inevitable trend in GaN-based materials and devices With emphasis on enhancing device performance micro and nanoscale features in various geometries of disks rings and hexagons have been incorporated into GaN light emitting diodes (LEDs) [12] The resultant structures have been demonstrated to improve the efficiency and directionality of photon extraction [3] Nanoscale features such as photonic crystals offer superior optical properties to devices by exploiting the photonic bandgap [4] While micro-scale patterns can be readily achieved by conventional photolithography electron-beam lithography is the most widely used method for nanopatterning of optoelectronic devices Nevertheless the process of e-beam writing is expensive and inefficient making it unsuitable for mass-production On the other hand the resolution of deep ultraviolet (DUV) lithography is limited by diffraction effects despite its higher throughput This encourages development of alternative methods for patterning nanostructures Microsphere lithography is a potential candidate in offering an alternative avenue towards nanoscale patterning Either random or ordered monolayers of particles can be used as a photolithographic mask dependent on the application involved For example the formation of photonic crystals would require a high degree of ordering
In this work we demonstrate the fabrication of regularly spaced triangular nanopores using the simple controllable and economical technique of microsphere lithography This techniques was originally developed by Deckman et al to define a large area lithographic mask using a self-assembled spherical colloidal mask [5] It was further optimized by Hultenn et al for applications in surface-enhanced spectroscopy [67] We adopt a similar approach for the enhancement of GaN-based optoelectronic devices through the integration of regularly-spaced nanoscale features such as nanopores and nanoislands Being substrate independent microsphere lithography can be applied to most material systems 2 Experimental Details Schematic diagrams illustrating the fabrication process flow are shown in Figs 1a to 1e A GaN LED sample with InGaN GaN multi-quantum wells was initially coated with a 100-nm-thick SiO2 PECVD deposit (Fig 1a) The SiO2 microspheres purchased from Duke Scientific have a mean diameter of 500 nm and a uniformity of better than 1 The microspheres as received from the manufacturer are suspended in deionized water and further diluted in a solution of the
82 W N Ng et al
surfactant SDS at a volume ratio of 51 A pre-determined amount of the solution is precisely dispensed onto the oxide-coated GaN samples using a micro-pipette Using a combination of tilting and spin-coating the microspheres are dispersed and self-assemble into ordered hexagonal arrays (Fig 1b) The sample was then dry-etched by reactive ion etching (RIE) using Ar and CHF3 gases in the ratio of 46 for 200 seconds to remove the SiO2 in the void region The formation of the ordered air-hole structure after RIE dry etch process is shown in Fig 1c The sample was then dipped in DI water with sonication for 2 minutes for detachment of the microspheres as shown in Fig 1dThe pattern was subsequently transferred to GaN by ICP etching using a Cl2 and BCl3 chemistry to remove the unmasked GaN material at the void regions forming the nanopores on the GaN surface as shown in Fig 1e
Figs 1a)-e) Schematic diagrams illustrating the process flow of microsphere lithography
The surface morphology of the etched air-holes was characterized by field emission scanning electron microscopy (FE-SEM) Photoluminescence spectra were collected to evaluate the optical properties of the fabricated structure using a Spectra-Physics DPSS UV laser at 349nm as an excitation source The beam was focused to a spot of about 100microm and the PL signal collected and focused by two converging lenses and coupled to a spectrometer via an optical fiber 3 Results and Discussion
Fig 2 a) Silica microspheres dispensed onto GaN samples self-assembling into ordered hexagonal monolayer arrays b) Various defects in microsphere coating
Figure 2a is an FE-SEM image showing a monolayer array of silica microspheres self-assembled into an hexagonal structure The formation of the ordered array relies on the intrinsic property of the microspheres to freely diffuse across the substrate and settle down in their lowest energy configuration The deposition of microspheres onto a substrate is a non-
Nanostructures on GaN by Microsphere Lithography 83
equilibrium process the system tries to restore equilibrium by forming aggregates The process is similar to that of atomic deposition during crystal growth [8] The microspheres migrate across the surface which subsequently form clusters or islands by attaching to adjacent microspheres This is dependent on the diffusion rate and concentration of microspheres In order to form well-ordered monolayers microspheres must adsorb on the edge of aggregates and continue to migrate around the perimeter Thus the diffusion rate must be precisely controlled which is achieved by a combination of manual tilting and spin-coating techniques
Fig 3 FE-SEM image of the triangular air-hole oxide mask
Using the hybrid method developed in our team uniformly dispersed microsphere coatings
span across an area of approximately 300 microm by 100 microm While this is sufficient as a proof-of-concept demonstrator further enlargement of coating area must be achieved Within the monolayer region imperfections in patterning of the air-holes can occur when transferring to the SiO2 layer thus leading to the emergence of localized disordering
The ordered nanopore array was formed on the PECVD oxide layer by etching through the microsphere template The void regions between microspheres allow penetration of reactive ions in the plasma and thus the removal of oxide materials forming air holes An anisotropic recipe was employed to ensure that the dimensions were maintained Intuitively a certain degree of dimensional tuning can be achieved by adjusting the reactivity and directionality of the ions A FE-SEM image of such a nanopore oxide mask is shown in Fig 3 where ordering can be observed Analogous to defect formation in crystal growth this includes point defects and line defects as illustrated in Fig 2b The dimensions of each pore are approximately 100 nm In addition it is worthy of note that nanoislands can also be formed by depositing material through the microsphere gaps
Fig 4a) and b) FE-SEM image of nano-scale air-holes array at different magnifications
84 W N Ng et al
The pattern was finally transferred to the GaN LED wafer by ICP etching Being more isotropic the pores are enlarged to around 200 nm as illustrated in the FE-SEM image in Fig 4(a) and (b) The dimensional scaling can of course be controlled by varying the dry etch recipe To evaluate the effects of nanopore incorporation PL spectra were collected from the processed and unprocessed regions of the same sample which are plotted in the graph in Fig 5 The spectral peak centred at 470 nm corresponds to light emission from the InGaN GaN MQWs in the LED structure As evident from the plot an increase of 25 in the emission intensity was observed attributed to enhanced light extraction via the nanoscale air-holes In smooth and flat as-grown wafers a large proportion of light is trapped in the wafer due to total internal reflections [9] Roughening of the surface either on the microscale or nanoscale significantly improves light extraction by allowing more photons to pass through the interface [10]
Fig 5 PL spectra of as-grown and processed sample with nanopores
4 Summary In summary we have demonstrated the fabrication of nanopore arrays on a GaN surface using the technique of microsphere lithography A self-assembled SiO2 microsphere array was deposited and acted as a hard mask to form triangular nanopores with dimensions of 100 to 200nm by subsequent dry etching PL measurements revealed that the nanopores enhanced the light emission intensity by about 25 due to an increase in light extraction efficiency References 1 Choi H W Jeon C W and Dawson M D 2003 IEEE Photonics Technol Lett 15 510 2 Choi H W Edwards P R Jeon C W Liu C Martin R W Watson I M Dawson M D Tripathy S
and Chua S J 2005 Appl Phys Lett 86 021101 3 Choi H W Dawson M D Edwards P R and Martin R W 2003 Appl Phys Lett 83 4483 4 Yablonovitch E 2001 Scientific American 285 47 5 Deckman H W and Dunsmuir J H 1982 Appl Phys Lett 41 377 6 Hulteen J C and Van Duyne R P 1995 J Vac Sci Technol A 13 1553 7 Haynes C L and Van Duyne R P 2003 J Phys Chem B 107 7426 8 Roumlder H Hahn E Brune H Bucher J P and Kern K 1993 Nature 336 141 9 Choi H W Jeon C W Dawson M D Edwards P R Martin R W and Tripathy S 2003 J Appl
Phys 93 5978 10 Kim D W Lee H Y Yoo M C and Yeom G Y 2005 Appl Phys Lett 86 052108
Nanopores As-grown
On the Nature of Eu in Eu-Doped GaN J S Barnard and Y S Beyer1 Department of Material Science University of Cambridge Pembroke Street Cambridge CB2 3QZ 1 New Hall Huntingdon Road Cambridge CB3 0DF Summary Using scanning transmission electron microscope high-angle annular dark-field imaging we have investigated the Eu-rich region formed by high-energy implantation of Eu+ ions into GaN and annealing at 1300oC In conjunction with multi-slice calculations we have used the higher-than-average intensities of atomic columns to identify candidate Eu-containing strings and calculate the visibility of these strings These candidate strings show a bimodal distribution the higher mode agreeing well with the visibilities suggested by the multi-slice calculations From this we find that the Eu atoms are predominantly isolated and do not form Eu-clusters after annealing 1 Introduction Gallium nitride is the latest semiconductor in which rare-earth luminescence has been explored Amongst others europium is known for its efficient luminescence in the red part of the spectrum [1] However if Eu is implanted the luminescent efficiency is poor unless a high-temperature treatment is used to re-activate it [2] Such temperatures suggest that crystal damage has to be annealed out before the Eu atom can luminesce effectively suggesting local environmental changes
The characterization of this environment is being pursued along several different lines Bang and co-workers used the extended fine structure (EXAFS) of X-ray fluorescence spectra of Eu and Tb in GaN [3] They calculated the X-ray spectra of displaced rare-earth atoms along several different directions and fitted these to the spectrum to retrieve the displacement In SiC Kaiser et al used scanning transmission electron microscope high-angle annular dark-field (STEM-HAADF) imaging to investigate the clustering of erbium at extended defects directly [4]
In this paper we used a similar approach but attempted to identify the Eu atoms by the higher-than average intensity expected from the Eu atoms We posed three questions Is it possible to image the Eu atoms directly Do Eu atoms exist as single isolated atoms or as extensive defect complexes Is it possible to see and measure slight displacements of Eu atoms 2 The Visibility of EuGa in STEM-HAADF Images Theory The viability of using STEM-HAADF imaging to detect Eu atoms was tested using multi-slice simulations using the code of Kirkland [5] Our measure of visibility was the apparent contrast of the Eu-containing string against the intensity maxima of the surrounding lattice (because of the significant difference in scattering power this maxima reside close to the gallium sub-lattice) The simulated lsquospecimenrsquo consisted of bulk GaN with three different thicknesses 29 Aring 54 Aring and 104 Aring (corresponding to 9 17 and 33 unit cells) sliced along the [11-20] direction Each slice was comprised of 10times5 unit cells of GaN In the unit cell of one of the slices a single Eu atom replaced a Ga atom (EuGa) This was placed at three different depths (top middle and bottom) and in this way we explored the range of visibilities one might expect from the random location of Eu atoms in GaN The location of the Eu atom within its unit was varied too an exact lsquoon-sitersquo EuGa defect and one slightly displaced 02Aring in the [0001] direction as suggested by Bang et al [3]
86 J S Barnard and Y S Beyer
Fig 1 a) A simulated image of a single EuGa defect with amorphous surface layers note the resulting variations in HAADF intensity b) A tetrahedral 4EuGa-VN defect cluster
Our simulations did not take into account thermal displacements because of the computational
demand of simulating an entire image and sampling a large number of phonon configurations We reasoned that with the same Debye-Waller factor as the other Ga atoms the visibility of Eu would not differ much
Our simulations showed that the highest visibility for the EuGa defect occurs at mid to lower depths depending on the crystal thickness The contrast of the EuGa defect varied between 13 and 47 even with surface roughness (2Aring RMS) and amorphous layers (3Aring each side Fig 1) For multiple Eu-containing strings the contrast increased only slightly Two Eu atoms gave 55 contrast and three Eu atoms gave ~60 contrast Defect complexes such as the EuGa-VN pair were indistinguishable from a lone EuGa defect and a 4 EuGa-VN defect cluster as might be expected in a tetrahedral semiconductor could easily be seen (Fig 1) 3 STEM-HAADF Imaging of Eu-doped GaN Experimental 31 Sample Details The sample consists of a 2microm thick GaN film grown by metal-organic chemical vapour deposition on c-plane sapphire The film was capped with 10nm of AlN which was found to prevent amorphisation of the topmost GaN layers and resultant mass-loss Europium ions were implanted with energy of 150keV to a dose of 1015 cm-2 Films were subsequently annealed at high temperature (1300degC) to activate the dopant atoms [2] According to SRIM calculations [6] for this ion and material the Eu has a maximum range of 70 nm and a peak concentration of 3times1017 cm-3 at a depth of 40 nm
TEM samples were prepared by gluing two pieces of the ion-implanted film together and mechanically polishing to 50microm using a Tripod polisher to create mirror-smooth surfaces After dimpling the samples were ion-milled to near-transparency at 5keV before reducing the beam energy to 3keV for final polishing Samples were chemically etched in a hot saturated solution of KOH (60degC) for 30 seconds After rinsing with filtered and deionized water the samples were immediately placed into the microscope- an FEI Tecnai F20 operated at 200keV 32 Eu-Finding STEM-HAADF imaging was performed with every effort to optimise the spatial resolution of the STEM image The microscope was calibrated to determine the conditions notably illumination angle for which the highest resolution could be attained We used the setting suggested by Mory et al for obtaining the smallest probe [7] The Tecnai F20 microscope has a spherical aberration coefficient of 12 mm putting 70 of the probe current into a probe of diameter 24Aring The HAADF collection range was 50-200 mrad and images were taken along the [11-20] zone axis
On the Nature of Eu in Eu-Doped GaN 87
Visibility Distribution of Sample Areas of Different Thicknesses
0
005
01
015
02
025
03
035
04
0 5 10 15 20 25 30 35 40 45 50 55 60
Visibility (percent)
Rel
ativ
e fr
eque
ncy
lt5nm5-10nmgt10nm
Fig 2 Frequency distribution of visibilities for those clusters determined from ten different areas
Thickness of the sample was determined from CBED patterns taken from line profiles scanned
across the region of interest after STEM imaging The diffraction pattern is highly asymmetric owing to the breaking of Friedelrsquos law by the non-centro-symmetric unit cell Bloch-wave calculations show a linear variation in the (000-2)(0002) intensity ratio for small thicknesses (lt200Aring) allowing thickness determination with an estimated accuracy of plusmn5Aring
To analyze the STEM-HAADF images quantitatively estimates of the dark-signal level were obtained from blanked images which were then subtracted off the raw images These images were next processed two-fold first the image was heavily smoothed removing the lattice-resolution detail Given the near-proportional variation of HAADF signal with specimen thickness and using the CBED patterns as a calibration we were able to get local thickness maps of the areas Second from the raw image the smoothed version was removed so that local variations of brightness stood out We then smoothed the images by convoluting with a 1Aring radius Gaussian kernel These images were then analysed for their standard deviations We examined all those pixels with intensities greater than 4σ These were grouped into pixel lsquoclustersrsquo - small regions no more than 2Aring wide These were analysed for their centre-of-mass coordinates that yielded a list of candidate sites Each site was analysed for the HAADF intensity within a 1Aring radius of the centre of the site The intensity within a 1Aring radius of the average lattice maxima was calculated from the strength of the Fourier amplitudes of the background lattice in the near vicinity (~5nm square) The visibility of each site was then calculated from the contrast value The visibility of the sites were sorted according to size and binned into 5 intervals (Fig 2) Note the distinct bimodal nature of the distribution for the thinnest sample thicknesses of lt5nm and 5-10nm
Candidate sites were selected from those sites with visibilities in excess of 20 (see boxes in Fig 3) In almost all cases the brightest points in these areas correspond to a regular lattice site In some cases the bright cluster appears to be associated with a defect For example in box A the hellipABABhellipstacking sequence the GaN above the central lsquoblobrsquo is shifted by c2 spacing relative to the crystal below it In other cases there is no such shift and the crystal looks reasonably regular (eg box C) In all for two regions with thickness of 50Aring or less we found 14 isolated candidate sites for a 50Aring thick film and 16 sites for a 35Aring-thick film This compared well with the SRIM calculations of 11 and 5 sites respectively Large defect clusters were not seen 4 Discussion and Concluding Remarks We posed three questions at the start and it is worth considering what was and was not achieved First it seems that theoretically at least the Eu atoms can be found with a reasonable degree of confidence The bimodal distribution of cluster visibilities in Fig 2 and the range of visibilities
88 J S Barnard and Y S Beyer
Fig 3 a) An experimental STEM-HAADF image from one region with thickness 50Aring or less boxes show clusters of pixels exceeding 20 visibility and b) their magnified versions
that the upper mode spans give very good agreement with the multi-slice calculations especially for the thinnest samples On this basis we are encouraged but without a unique chemical signature specific to europium this remains speculation With an aberration-corrected STEM instrument performing atomic resolution electron energy loss spectroscopy (EELS) measurements this may well be rectified soon
If the candidate sites seen here are Eu-containing strings then our results suggest that they are isolated and well incorporated into the lattice structure ie few lsquobright blobsrsquo were seen in locations that would correspond to interstitials or clusters like those seen by Kaiser et al [4] (their material was annealed at much higher temperatures than ours) The moderate agreement with the number of sites expected from the SRIM calculations was also encouraging but with such low numbers the uncertainties are high
Third the current imaging performance of this electron microscope is insufficient to measure small displacements of atoms along certain directions (practically and theoretically) Much more promising would be the use of fine structure in the near-edge or extended fine structure in EEL spectra taken from the column of interest Only with the very best aberration corrected STEM would this be possible Acknowledgement We acknowledge Dr R Martin University of Strathclyde for supplying the sample References 1 Heikenfeld J Garter M Lee D S Birkhahn R and Steckl A S 1999 Appl Phys Lett 75 1189 2 Lorenz K Wahl U Alves E Dalmasso S Martin R W OrsquoDonnell K P Ruffenech S and
Briot O 2004 Appl Phys Lett 84 2712 3 Bang H Morishima S Li Z Akimoto K Nomura M and Yagi E 2002 J Crystal Growth 237-
239 1027 4 Kaiser U Muller D A Grazul J L Chuvilin A and Kawasaki M 2002 Nature Mater 1 102 5 EJ Kirkland 1998 Advanced Computing in Electron Microscopy (Plenum Press) 6 Ziegler J 2003 wwwsrimorg (accessed June 2006) 7 Mory C Colliex C and Cowley J M 1987 Ultramicroscopy 21 171
Recent Studies of Heteroepitaxial Systems David J Smith Department of Physics Arizona State University Tempe Arizona 85287-1504 USA Summary Electron microscopy methods have been used extensively in recent collaborative studies involving the growth and characterization of semiconductor heterostructures and nanostructures Examples that are described here include epilayers and nanowires as well as quantum wells and quantum dots while the heteroepitaxial systems represented include II-VI III-V and IV-IV compounds as well as mixed valence materials Ferromagnetic Cr-doped nitride semiconductors are also briefly discussed Control over the growth and processing conditions in concert with the microstructural information available from electron microscopy is confirmed as being essential for achieving materials of the highest possible quality 1 Introduction The ongoing evolution in the use of existing semiconductors and the development of alternative materials for novel electronic and optoelectronic devices bring new opportunities for both crystal growers and device engineers The fabrication of epitaxial heterostructures and especially nanostructures based on two (or more) dissimilar materials continues to present many challenges Lattice mismatch across the hetero-interfaces invariably leads to strain and likely defect formation while valence mismatch and differences in thermal expansion coefficients may also seriously impact the final material quality Microstructural characterization using electron microscopy methods has a crucial role to play in the process of understanding controlling and refining the materialsrsquo properties Heteroepitaxial systems described in the following sections include a) HgCdTeHgTe alloys for infrared detectors b) silicide nanowires for nanoscale electrical interconnects c) self-assembled Ge-Si quantum dots d) GeSi(100) Lomer edge dislocations e) III-nitrides for solid-state lighting and devices and f) ferromagnetic Cr-doped nitrides for possible spintronic applications 2 Techniques 21 Imaging The transmission electron microscope (TEM) is a powerful imaging and analytical instrument with many different operating modes that make it highly useful for characterizing the local microstructure and chemical composition of semiconductor materials and devices at the nanoscale Most of the images presented here were taken with a JEM-4000EX high-resolution electron microscope (Cs = 10mm) operated at 400 keV and equipped with a top-entry double-tilt specimen holder High-resolution electron micrographs were recorded at close to the optimum (Scherzer) defocus from thin specimen regions using a large objective aperture while conventional bright- and dark-field diffraction-contrast imaging with a small objective aperture was used for defect identification A Philips CM200 with a field-emission electron source and equipped for energy-dispersive Xray spectroscopy (EDXS) and electron-energy-loss spectroscopy (EELS) was used for nanoprobe analysis A JEM-2010F operated at 200 keV and equipped with a field-emission electron source was used for Z-contrast annular-dark-field (ADF) imaging Samples were usually imaged in the cross-sectional geometry with the substrate normal perpendicular to the electron beam direction
92 David J Smith
22 Sample Preparation Standard methods based on mechanical polishing with final ion-beam milling to perforation were used for TEM sample preparation in most cases [1] However in the specific case of In-based nitride materials because of concerns about possible ion-beam damage andor In segregation being caused by ion-milling low-angle wedge polishing followed by chemical etching for final cleaning of the exposed sample surfaces was normally used
Fig 1 a) Two epitaxial HgCdTe p-n heterojunctions (different [In] dopant levels in n-type layer) prepared together but with insufficient cooling Defects visible in n-type layers and defect pile-up at p-n junctions (arrowed) b) Same sample after re-thinning for 10 minutes but with sufficient time allowed for cooling (about 90 minutes) No defects visible c) Re-thinned for 10 minutes - sample at RT [3]
For the II-VI semiconductors susceptibility to structural damage during cross-sectioning as a result of ion-milling is a well-known problem that can seriously compromise image interpretation [2] Hg1-xCdxTe (MCT) is currently a key material for use in infrared detectors especially because small changes in the alloy composition x allow choice of operation over a wide spectral range However as evidenced by the p-n heterojunctions imaged in Fig 1 spurious defects may be caused by ion-milling unless special care is taken to ensure that the sample is indeed cooled down close to liquid nitrogen temperature during the milling process [3] This sample originally showed a high density of small defects with average diameters of about 100 nm in the n-type layers of both samples smaller defects with diameters of 10-30 nm in the p-type layers and a defect pile-up in the region of the p-n junction as visible in Fig 1a None of these defects was visible when the sample was re-thinned after allowing adequate time for cooling - see Fig 1b Investigation of multilayered samples with different Hg concentrations also revealed that the extent of ion-induced damage apparently depended sensitively on Hg composition as well as the epilayer growth conditions (ie Hg rich or Te rich) Modeling of the damage process suggested that these differences in behavior were attributable to the production and diffusion of Hg interstitials which could rapidly form interstitial dislocation loops in the absence of sufficient cooling [3]
p-n junction
p-n junction
glue line
p
n
p
n 500nm
p
p
n
500nm
(a) (b)
n100nm
(c)
Recent Studies of Heteroepitaxial Systems 93
3 Results 31 HgCdTe IR Detectors Hg1-xCdxTe (MCT) is an ideal material for infrared (IR) focal-plane arrays because of its superior electronic and optical properties including tunable bandgap from 0 to 16 eV Growth of epitaxial MCT alloys of the crystal quality needed for latest IR applications can be problematic because of various technical difficulties such as compositional inhomogeneities and high defect densities A recent overview of the various types of defects associated with epitaxial HgCdTe alloys as characterized by electron microscopy can be found elsewhere [4] Bulk CdZnTe (CZT) has traditionally been the substrate of choice used for MCT growth because of its close lattice match and chemical compatibility with MCT Figure 2a shows a good example of an MCTCZT(211)B heterointerface where occasional misfit dislocations (arrowed) are visible although the MCT layer itself is of high crystallinity MCT growth using the technique of molecular beam epitaxy (MBE) is highly sensitive to the growth conditions For example the substrate temperature must be restricted to within a very small window around 185degC and it is essential to maintain very careful control over the incoming flux of the constituent materials in order to obtain the desired target composition Surface craters sometimes called ldquoV-shaped defectsrdquo have been associated with Hg deficiency during the MBE growth of MCT and we have found as shown in Fig 2b that the polycrystalline material found in the vicinity of these defects often involves the nucleation and growth of Te together with defective MCT inclusions [5]
Fig 2 a) Misfit dislocations present at HgCdTeCdZnTe heterointerface [4] b) Region of surface crater defect caused by Hg deficiency during HgCdTe growth showing interface between grain of highly defective HgCdTe surrounded by polycrystalline Te [5]
32 Silicide Nanowires The deposition of rare-earth metals onto heated Si(100) substrates has been found to result in the formation of self-assembled nanowire (NW) structures which have very large (~251 or much more) length-to-width (LW) aspect ratios [6] This NW shape has been attributed to anisotropic lattice mismatch with the Si substrate which is typically small (~0) in the long NW direction and relatively large (~5-10) in the narrow NW direction The NW size and shape in combination with their inherently high crystallinity and Si compatibility make them attractive for a range of applications such as nanoscale contacts interconnects and sensors Transition metals such as Ti [7] and Co [8] have also displayed NW silicide growth and cross-sectional TEM observations of self-assembled CoSi2 NWs on Si(111) Si(100) and Si(110) has revealed that epitaxial growth into the substrate took place [8] This lsquoendotaxialrsquo growth mechanism does not necessarily rely on
94 David J Smith
anisotropic lattice mismatch thus possibly making it applicable to a range of metals and substrates and it also appears that the growth temperature controls the average LW aspect ratio As shown by the examples in Fig 3 the common feature of these endotaxial islands is that growth into the substrate has occurred along inclined Si(111) planes with coherent B-type interfaces thereby breaking the surface symmetry and leading to the NW shape [8] It seems likely that a similar NW endotaxial growth mechanism might also apply to systems other than siliconsilicide
Fig 3 Cross-sections of cobalt disilicide NWs formed by reactive epitaxy of Co a) Si(111) at 800degC b) Si(100) at 750degC Note the coherent B-type interfaces as indicated by broken lines [8]
33 GeSi(100) Self-Assembled Quantum Dots The growth of germanium on silicon is initially pseudomorphic owing to their identical simple cubic lattice structure However a layer-to-island (Stranski-Krastanov) transition occurs after deposition of about 3-4 Ge monolayers because of the GeSi lattice mismatch of ~42 leading to the formation of self-assembled nanostructures usually referred to quantum dots (QDs) Atomic-force microscopy (AFM) is commonly used to determine the size and shape of these islands as a function of growth conditions (coverage growth temperature) thereby leading to a useful classification of island morphology [9] However unlike TEM imaging the AFM technique is obviously unable to provide structural information about the GeSi heterointerface or any QD defects Plan-view imaging can reveal the presence of interfacial misfit dislocations as shown by the examples arrowed in Fig 4a while cross-section imaging allows the QD defect microstructure to be determined ndash see Fig 4b
Fig 4 a) Plan-view image from sample grown with 11 ML of Ge at 450degC revealing misfit dislocations at QDs arrowed b) Cross-section image of GeSi QD from sample grown with 14 ML of Ge at 400degC showing no visible defects [10]
100nm
(a)
5nm
(b)
Recent Studies of Heteroepitaxial Systems 95
In parallel with the microstructural studies an early EDXS study of island composition had found the totally unexpected result that considerable Si diffusion into the QD had taken place for a sample grown at 600degC [11] A later comprehensive EELS study of QD composition as a function of substrate temperature during deposition showed that the amount of Si diffusion ranged from ~32 at 550degC up to ~56 at 700degC [12] Cross-section TEM observations of QDs grown at high temperature (gt600degC) had also shown that many large islands were surrounded by trenches in the Si substrate [10] which confirmed earlier AFM images Further TEM observations also revealed that many larger islands grown at high temperature perhaps surprisingly did not show misfit dislocations [10] These latter TEM results were explicable given the amount of Si interdiffusion that must have taken place at the elevated growth temperatures 34 GeSi(100) Lomer Edge Dislocations With increasing epilayer thickness during pseudomorphic growth interfacial misfit dislocations will eventually be formed as a strain-relief mechanism Typically these defects will either be 60deg mixed dislocations or else perfect 90deg Lomer edge dislocations lying in the plane of the interface with the latter being regarded as more effective at relaxing the misfit strain Our observations of thick GeSi(100) heterostructures grown at 550degC indicated that the majority of the misfit dislocations were indeed Lomer edge type [13] Figure 5a is an atomic-resolution electron micrograph recorded with the JEOL ARM-1250 in Stuttgart [14] and shows an well-separated array of stress-relieving dislocations as arrowed In contrast Fig 5b shows a Z-contrast annular-dark-field of a single Lomer dislocation recorded with a JEM-2010F Analysis of the average (110)-type lattice spacings in the ARM micrograph and measurements of the intensity levels in the ADF image both confirmed that the GeSi interface was not structurally abrupt as was expected from the earlier GeSi QD studies which had shown Si interdiffusion [13] Moreover based on the appearance of the ARM micrographs it was possible to construct structural models of the dislocation cores as shown in Fig 6 and these revealed that the vast majority of these defects were asymmetrical rather than symmetrical [13] Both the asymmetrical nature of the dislocation core and the GeSi interdiffusion must be considered in future atomistic modeling of this system
Fig 5 a) Atomic-resolution electron micrograph showing array of Lomer edge dislocations (arrowed) at GeSi interface b) Z-contrast ADF image showing single Lomer edge dislocation
Fig 6 ab) Experimental ARM micrographs of Lomer edge dislocations at GeSi heterointerface cd) Asymmetrical and symmetrical structural models based directly on image appearance [13]
5 6
96 David J Smith
35 InN Relaxed Quantum Dots InN is attracting much recent attention because of possible optoelectronic applications including infrared detection Growth on GaN should involve a layer-to-island transition (Stranski-Krastanov mode) because of the ~11 lattice mismatch and InN quantum dots (QDs) should appear after deposition of a very thin wetting layer (lt 2 InN monolayers) The In-polar InN QDs reported here were grown under slightly group III-rich conditions using plasma-assisted MBE with a relatively low growth temperature of ~ 425degC and the total equivalent surface coverage was about nine InN monolayers (one ML ~ 0285nm) Cross-sectional observations as shown in Figs 7a and 7b revealed that most of the QDs were associated with threading dislocations in the underlying GaN layer which had edge components Periodic misfit dislocations were observed at the InN QDGaN interface Plan-view images as shown by Fig 7c indicated periodic primarily hexagonal arrays of dislocations that were separated by ~ 28nm This distance corresponds to the separation between misfit dislocations at a relaxed InN QDGaN interface based on the lattice mismatch Thus the islands can be considered as being completely relaxed Disruptions to the fringe periodicity are also visible attributable to the threading dislocations in the underlying GaN layer Finally there was no evidence for the presence of any InN wetting layer between islands which was consistent with the co-existence of streaks (GaN layer) and spots (InN QDs) that were present in reflection-high-energy electron diffraction patterns recorded in situ during MBE growth
Fig 7 ab) Cross-sectional electron micrographs showing InN QDs on GaN buffer c) Plan-view electron micrograph of relaxed InN QD Hexagonal array of moireacute fringes has spacings corresponding to the misfit between the lattice spacings of InN and GaN indicative of relaxed InN QDs Disruptions to fringe periodicity caused by GaN threading dislocations [15]
36 Lateral Phase Separation in AlInNGaN Heterostructure Materials based on InxAl1-xN have possible applications for LEDs LDs as well as HEMTs The In017Al083NGaN heterostructure should ideally be free of misfit strain because the two materials should have the same lattice parameter Moreover the presence of a two-dimensional gas at the interface is predicted by modeling because of the large conduction band offset and the differences in spontaneous polarization [16] Growth of high quality InAlN is however likely to be challenging The growth temperature should ideally be below about 460degC for reliable In incorporation into the growing film due to the weak In-N bond and spinodal decomposition is also possible owing to the large lattice mismatch between InN and AlN which is 125 and 135 for the c and a directions respectively Cross-section electron micrographs of InAlNGaN heterostructures showed vertical lines or stripes originating from near the interface and the top surface showed undulations on the order of several monolayers The defects were investigated by
(c)
25nm
50nm
10nm
(a)
(b)
Recent Studies of Heteroepitaxial Systems 97
weak-beam dark-field micrographs as shown in Fig 8 [17] The majority of the GaN defects some of which propagated into the InN layer were threading dislocations having edge components Vertical stripes are visible in Fig 8a throughout the entire InN layer but these are not present in Fig 8b recorded with Burgersrsquo vector g = (0002) which is consistent with an absence of strain along this direction The plan-view Z-contrast image of the In018Al082NGaN sample in Fig 9a shows the presence of a honeycomb or cell-like structure The corresponding EDXS spectrum shown in Fig 9b taken along the indicated line confirms that the cell walls of the honeycomb structure are In-rich This novel phenomenon is interpreted in terms of a lateral phase separation initiated at the InAlNGaN interface that is most likely caused by random compositional non-uniformities across the growth surface
Fig 8 Weak-beam dark-field electron micrographs taken under two-beam diffraction conditions from the same area a) g = lt1020gt and b) g = (0002) Note white and black stripes parallel to the growth direction in (a)
Fig 9 a) Z-contrast ADF image of In0175Al0825NGaN heterostructure taken in plan-view showing honeycomb structure caused by lateral phase separation Brighter areas interpreted as In-rich b) EDXS line profile confirms that In-peak intensity increased as Al-peak decreased [17]
37 Ferromagnetic Cr-Doped Nitrides The recent interest and activity in the field of lsquospintronicsrsquo aims to use the charge and spin of the electron to achieve more advanced device functionalities Dilute magnetic semiconductors have been hotly pursued with much attention being directed towards systems based on silicon and GaAs Cr is a potential dopant in III-nitride materials Sets of GaN and AlN thin films doped with a range of Cr concentrations were grown by MBE [18] and magnetic measurements showed that many films were ferromagnetic some having Curie transitions temperatures above 900K [19] As shown by channeling experiments [20] Cr atoms occupied substitutional rather than interstitial sites at least for GaN samples grown at or below 775degC [21] Extensive TEM structural and chemical studies confirmed that the Cr-AlN and Cr-GaN thin films did not show any evidence for the presence of any ferromagnetic secondary phases although trace amounts of antiferromagnetic CrN were detected in some films A high quality film of 3Cr-GaN grown at 775degC is shown in Fig 10a and EELS studies did not show any evidence for Cr clustering An energy-filtered electron micrograph and an EELS profile of another film grown at 825degC are shown in Fig 10b ndash Cr clustering is clearly evident in both cases [21]
g(b)
100nm
In017Al083N
GaN
g(a)
Position (nm)
Cou
nts
151050
250
200
150
100
50
0
InAl(b)
(a)
20 nm
8 9
98 David J Smith
Fig 10 a) Cross-section electron micrograph of 3Cr-GaN sample grown at 775degC EELS profile above indicates no significant Cr clustering b) Energy-filtered micrograph and EELS line profile above confirms Cr clustering in sample grown at 825degC [21]
Acknowledgements I am pleased to acknowledge many students friends and colleagues who have participated in the collaborative studies reported here Use of facilities in the John M Cowley Center for High Resolution Electron Microscopy at Arizona State University is also gratefully acknowledged References 1 Bravman J and Sinclair R 1984 J Electr Micro Tech 1 53 2 Cullis A G Chew N G and Hutchison J L 1985 Ultramicroscopy 17 203 3 Wang C Smith D J Tobin S Parodos T Zhao J Chang Y and Sivananthan S 2006 J Vac Sci
Technol A 24 995 4 Aoki T Chang Y Badano G Zhao J Grein C Sivananthan S and Smith D J 2004 J Cryst
Growth 265 224 5 Aoki T Smith D J Chang Y et al 2003 Appl Phys Lett 82 2275 6 Preinesberger C Becker S K Vandre S et al 2002 J Appl Phys 91 1695 7 He Z Stevens M Smith D J Bennett P A 2003 Appl Phys Lett 83 5292 8 He Z Smith D J and Bennett P A 2004 Phys Rev Lett 93 256102 9 Chaparro S A Zhang Y Drucker J et al 2000 J Appl Phys 87 2245 10 Smith D J Chaparro S Crozier P A et al 2004 J Cryst Growth 259 232 11 Chaparro S A Drucker J Zhang Y Chandrasekhar D McCartney M R and Smith D J 1999
Phys Rev Lett 93 1199 12 Floyd M A Zhang Y T Driver K P et al 2003 Appl Phys Lett 82 1473 13 Stirman J N Crozier P A Smith D J et al 2004 Appl Phys Lett 84 2530 14 Phillipp F Houmlschen R Osaki M et al 1995 Ultramicroscopy 56 1 15 Zhou L Xu T Smith D J and Moustakas T D 2006 Appl Phys Lett 88 231906 16 Katzer D S Storm D F Binari B V et al 2005 J Vac Sci Technol B 23 1204 17 Zhou L Smith D J McCartney M R et al 2007 Appl Phys Lett 90 081917 18 Wu S Y Liu H X Gu L Singh R K Budd L van Schilfgaarde M McCartney M R Smith D J
and Newman N 2003 Appl Phys Lett 82 3047 19 Liu H X Wu S K Singh R K et al Appl Phys Lett 85 4076 20 Singh R K Wu S Y Liu H X et al 2005 Appl Phys Lett 86 012504 21 Gu L Wu S Y Liu H X et al J Magn Magn Mater 290291 1395
SiC
(au)
0
600
12000 21 41 62 83
0
600
12000 22 44 66 88
50nm
Ga(Cr)N
2nm
Ga(Cr)N
(nm)
(a)
(nm) (au)
(b)
SiCSiC
Nitrogen-Enhanced Indium Segregation in (GaIn)(NAs)GaAs Multiple Quantum Wells E Luna A Trampert E-M Pavelescu1 and M Pessa1 Paul-Drude Institute for Solid State Electronics Hausvogteiplatz 5-7 10117 Berlin Germany 1 ORC Tampere University of Technology PO Box 692 FIN-33101 Tampere Finland Summary Transmission electron microscopy (TEM) is used to determine the composition of quaternary (GaIn)(NAs) quantum wells (QWs) Through a combined analysis of the chemically sensitive (002) dark-field images and lattice-resolving high-resolution TEM images the local distributions of nitrogen and indium in the growth direction are determined In particular we were able to directly detect the existence of indium segregation in (GaIn)(NAs) QWs A comparison with the indium distribution profile in the nitrogen-free (InGa)As QWs grown under similar conditions revealed that incorporating N into the alloy enhanced indium segregation 1 Introduction Dilute nitrides have attracted considerable attention because of their potential application in GaAs-based laser diodes operating in the 13 - 155 microm optical fibre window [1] However despite the interest in growing In and N -content (GaIn)(NAs) layers the mechanisms controlling the incorporation of In (35 - 40 ) and N (2 - 5 ) are still not well understood [2] In general indium segregation in the quaternary alloy and structural effects of the presence of N on the alloy are subjects not widely explored so far It has been put forward that the presence of N enhances In segregation [3] This suggestion however is based on data obtained from indirect experiments [3]
In this paper (GaIn)(NAs)GaAs quantum wells (QWs) have been grown by molecular beam epitaxy (MBE) and analysed by the transmission electron microscopy (TEM) The In and N compositions [In] and [N] studied across the QWs were locally determined by a combined evaluation of the tetragonal lattice distortion and the contrast analysis of chemically sensitive (002) dark field TEM images [4] The profiles obtained are compared to those taken from nitrogen-free (InGa)As GaAs QWs grown under similar conditions Indium segregation in the (GaIn)(NAs) QWs is directly inferred from the experimental profiles 2 Experimental Ten (GaIn)(NAs) QWs 7 nm in width and with 30-nm thick GaAs potential-energy barriers were grown on GaAs(001) substrates in a MBE system equipped with a rf nitrogen plasma source the growth conditions have been reported elsewhere [5] We examined two sets of samples One contained (GaIn)(NAs) QWs the other contained QWs without nitrogen Samples were grown at two different temperatures Tg = 420 degC and 460 degC The samples containing (GaIn)(NAs) QWs are labelled G420 (Tg = 420 degC) and G460 (Tg = 460 degC) The other samples (InGa)As are labelled I420 and I460 Prior to TEM analysis the samples were characterised by x-ray diffraction (XRD) and photoluminescence
Cross-sectional TEM foils were prepared in the [110] and [110] projections using mechanical thinning followed by Ar-ion milling The TEM investigation was carried out using a JEOL JEM 3010 microscope operating at 300 kV equipped with a GATAN CCD camera The In and N composition distributions were determined by evaluating the tetragonal lattice distortion (through the analysis of the TEM images with the LADIA program package [6 7]) and the contrast analysis of chemically sensitive (002) DF images [4] The (002) DF images were obtained by tilting the specimen about 10deg on the [110]
100 E Luna et al
zone axis along the growth direction For the (InGa)As samples [In] was directly determined from the analysis of the (002) DF images In this case [In] was inferred from a strain analysis with the LADIA software which yielded similar values (deviation ~ 1 ) to those obtained from the DF micrographs In the analysis of the (002) images we took into account the atomic scattering factors given by Doyle and Turner [8] while influences of electron redistribution due to the bonding of atoms local structural distortions and thin-foil surface relaxation [9-12] are not considered here
Our challenging aim was to determine the distribution profiles of [In] and [N] across the (GaIn)(NAs) QWs It turned out that [In] and [N] deduced from the experimental XRD data (after comparison with simulations which were based on the dynamical diffraction theory [13]) deviated only about 2 for [In] and 1 for [N] from those obtained from the TEM analyses 3 Results and Discussion 31 Element Distribution inside the (GaIn)(NAs) QWs Figure 1a shows a g002 DF cross-sectional TEM image for G420 As observed in spite of the build-up of bilateral compressive strain we have obtained morphologically perfect two-dimensional QWs The QWs exhibit smooth interfaces as indicated by the two dark lines on both sides of each QW and laterally homogeneous overall composition Figure 1b is a lattice-strain map obtained from the TEM analysis with the LADIA software It reveals the presence of a homogeneous strain distribution inside the QW The corresponding strain values inferred from average line-scans are given in Fig 1c
20 nmg002
(GaIn)(NAs)
GaAs
(a)
(b)5 nm
0 20 40 60
0
1
2
3
4
5 (c)G420
Stra
in (
)
Position (arb units) Fig 1 a) g002 DF micrograph from sample G420 b) strain map obtained from the analysis of the HRTEM images with LADIA c) strain distribution across a QW
As for the elemental distribution Fig 2 shows the corresponding [In] and [N] profiles taken for
G420 and G460 While the In distribution for G420 is rather homogeneous it has a pronounced asymmetry for G460 characteristic of segregation effects ie the local indium concentration gradually increases inside the QW as growth proceeds Notice that no significant ldquotailrdquo penetration into the upper barrier layer occurs which results in a rather symmetric distribution at the interfaces The [N] profile of G460 exhibits a pronounced asymmetry too The areas of lower [N] correspond to the areas of higher [In] which is a well-known phenomenon caused by the preferred formation of Ga-N and In-As bonds with an increase in Tg due to the phase separation tendency of the alloy [14] For G460 the fluctuations in [N] are strong amounting to a difference of 16 between the minimum and maximum values in the QW As deduced from the element profiles (Fig 2b) in rough approximation the QWs of G460 is composed of three layers each containing different [In] and [N] This approximation is corroborated by XRD Figure 3 shows the experimental XRD data of G460 together with a simulated XRD The simulated XRD can be fitted assuming three layers in the QW made of layer 1 22 nm thick [In] ~ 39 [N] ~ 44 layer 2 23 nm thick [In] ~ 42 [N] ~ 19 and layer 3 24 nm thick [In] ~ 41 and [N] ~ 42 These values are in agreement with the indium nitrogen contents and distributions obtained by TEM We are however not able to introduce the effects of indium segregation in our XRD simulation program For G420 with reduced Tg the fluctuations in [N] are only 08 In this case the simulated XRD fits the experimental one assuming a homogeneous QW composition
Nitrogen-Enhanced Indium Segregation in (GaIn)(NAs)GaAs Multiple QWs 101
0 5 10 15 20 25
0
10
20
30
40 (a) G420 InIn
con
tent
()
Position (nm)
0
1
2
3
4
5
growth direction
N
N c
onte
nt (
)
0 5 10 15 20 25
0
10
20
30
40 (b) G460 In
In c
onte
nt (
)
Position (nm)
0
1
2
3
4
5
6
growth direction N
N c
onte
nt (
)
Fig 2 Experimental [In] and [N] profiles for (GaIn)(NAs) QWs grown at a) 420 and b) 460 degC 32 Nitrogen Enhanced Indium Segregation The indium content in the (GaIn)(NAs) QWs grown at 420 degC is homogeneous with a symmetric distribution which is well simulated by the error functions that describe diffusion processes (Fig 4) but the raise of Tg to 460 degC promotes a gradual increase in [In] from 36 to 40 characteristic of In segregation Notice that due to the phase separation tendency of (GaIn)(NAs) the range of Tg explored here is kept rather limited It is commonly known that increasing Tg increases indium segregation in (InGa)As [15] We have found however that the impact of higher growth temperatures on In segregation in the (GaIn)(NAs) QWs is partly masked by an increase in surface roughening and the appearance of lateral composition fluctuations
-2 -1 0 1
[In] [N]GaAs 29 nm (GaIn)(NAs) 22 nm 39 44(GaIn)(NAs) 23 nm 42 19(GaIn)(NAs) 24 nm 41 42
simulation
experiment
GaAs(004)
G460
Inte
nsity
(arb
uni
ts)
ω (degree)
-30 -15 0 15 30
0
10
20
30
40
50
G420
In c
onte
nt (
)
Position (ML) Fig 3 Experimental and simulated XRD ω-2θ scan of sample G460
Fig 4 Experimental In distribution from G420 described by error functions
Segregation of atoms is often described and quantified by a segregation efficiency factor R which
defines the fraction of topmost-layer atoms that move to the next layer In G460 a fit to Murakirsquos model for segregation [15] (Fig 5) yields R = 081 ie indium segregation is remarkable For (InGa)As QWs grown at Tg = 535 degC similar R of 08 has been reported [16] Judging from our observations Murakiacutes model describes well the distribution of indium in the QW but there is a discrepancy at the upper interface Error functions provide a good description at the interfaces but the experimental [In] inside the QW clearly deviates from the symmetric fit as observed in the inset of Fig 5 In order to explore the influence of N on In segregation we compared the In distribution obtained for the (InGa)As QWs grown under similar conditions We found that when growing them at 420 le Tg le 460 degC indium segregation was undetectable This is to say that indium segregation in the nitrogen-free QWs occurs at higher Tgrsquos only Figure 6 (I460) shows that [In] indeed remains constant at 39 across the QW with a diffusion-like symmetric profile
102 E Luna et al
-20 0 20 40 60 80
0
10
20
30
40 G460R = 081
In c
onte
nt (
)
Position (ML)
-10 0 1010
20
30
40
In c
onte
nt (
)
Position (ML)
Fig 5 Fit to Murakiacutes model for segregation of the data in Fig 2b The inset shows the deviation of the experimental [In] inside the QW from the symmetric fit with error functions
0 5 10 15 20 25 30
0
10
20
30
40 G460 I460
In c
onte
nt (
)
Position (nm)
10 15
30
35
40
Position (nm)
Fig 6 Experimental In profiles for the samples withwithout N in the QW at 460 degC No In segregation is detected for the (InGa)As QW
4 Conclusions We found that the presence of nitrogen in the (GaIn)(NAs) quantum well enhances indium segregation when compared to the nitrogen-free (InGa)As QW grown under similar conditions in the Tg range from 420 to 460 degC As a consequence of the phase separation tendency this asymmetry in indium distribution produces strong fluctuations in the nitrogen content Acknowledgements The authors acknowledge Mrs A Pfeiffer for technical assistance This work was carried out in part within the framework of EU FP6 Fast Access Project References 1 Kondow M Uomi K Niwa A Kitatani T Watahiki S and Yazawa Y 1996 Jpn J Appl Phys
35 1273 2 Jaschke G Averbeck R Geelhaar L and Riechert H 2005 J Cryst Growth 278 224 3 Liu H F Xiang N and Chua S J 2006 Appl Phys Lett 89 071905 4 Grillo V Albrecht M Remmele T Strunk H P Egorov A Y and Riechert H 2001 J Appl Phys
90 3792 5 Pavelescu E -M Slotte J Dhaka V D S Saarinen K Antohe S Cimpoca Gh and Pessa M 2006
J Cryst Growth 297 33 6 Du K Rau Y Jin-Phillipp N Y and Phillipp F 2002 J Mater Sci Technol 18 135 7 Chauveau J -M Trampert A Pinault M -A Tournieacute E Du K and Ploog K H 2003 J Cryst
Growth 251 383 8 Doyle P A and Turner P S 1968 Acta Crystallogr A24 390 9 Glas F 2004 Philos Mag 84 2055 10 Rosenauer A Schowalter M Glas F and Lamoen D 2005 Phys Rev B 72 085326 11 Cagnon J Buffat P A Stadelmann P A and Leifer K 2003 Inst Phys Conf Ser 180 203 12 Patriarche G Largeau L Harmand J C and Gollub D 2004 Appl Phys Lett 84 203 13 Brandt O Waltereit P and Ploog K H 2002 J Phys D 35 577 14 Kong X Trampert A Tournieacute E and Ploog K H 2005 Appl Phys Lett 87 171901 15 Muraki K Fukatsu S Shiraki Y and Ito R 1992 Appl Phys Lett 61 557 16 Litvinov D Gerthsen D Rosenauer A Schowalter M Passow T Feinaumlugle P and Hetterich M
2006 Phys Rev B 74 165306
Nanoscale Characterisation of MBE-Grown GaMnN(001) GaAs M W Fay12 Y Han1 S V Novikov3 K W Edmonds3 B L Gallagher3 R P Campion3 C R Staddon3 T Foxon3 and P D Brown1 1 School of Mechanical Materials and Manufacturing Engineering University of Nottingham
University Park Nottingham NG7 2RD 2 Nottingham Nanoscience and Nanotechnology Centre 3 School of Physics and Astronomy University of Nottingham University Park Nottingham NG7 2RD Summary The growth of cubic (GaMn)N(001)GaAs heterostructures by plasma assisted molecular beam epitaxy has been appraised as a function of GaN ratio Mn concentration and growth temperature The combined analytical techniques of EFTEM EDX CBED and dark field imaging have been used to appraise the Mn distributions within (GaMn)N epilayers Improved incorporation efficiency of Mn is associated with growth under N-rich conditions but Mn incorporation may be enhanced under Ga-rich conditions at reduced growth temperatures The surfactant behaviour of Mn during the growth of this spintronic system determines the resultant alloy composition 1 Introduction Ferromagnetic semiconductors raise the possibility of spintronic devices that combine electronic and magnetic properties Practical spintronic applications require p-type material and a Curie temperature (Tc) above room temperature [12] Theoretically ferromagnetism at room temperatures is achievable within (GaMn)N for very high Mn concentrations [3] However the low solid solubility of Mn in GaN limits the development of these systems Tc values exceeding room temperature have been reported for (GaMn)N although the source of the ferromagnetic signal has not been definitively identified [45] The requirement for p-type (GaMn)N material is most practically achieved by growing the cubic phase using plasma assisted molecular beam epitaxy (PAMBE) on (001)GaAs [2] The growth conditions combined with a large lattice parameter mismatch between substrate and epilayer result in highly faulted structures Detailed knowledge of the structure and elemental composition on the nanoscale is required for continued refinement of these spintronic material systems both for feedback into growth programmes to improve the control of the materials growth and processing and in order to underpin fundamental understanding of the material functional properties
The effect of varying the growth parameters of III-V ratio Mn flux and temperature on the structure and Mn incorporation of (GaMn) N layers has been investigated using 12kV reflection high energy electron diffraction (RHEED) in-situ within the PAMBE growth chamber and 200kV RHEED ex-situ within a JEOL 2000fx transmission electron microscope Energy dispersive X-ray (EDX) and energy filtered transmission electron microscopy (EFTEM) elemental analysis selected area electron diffraction (SAED) and conventional imaging modes EDX analysis was carried out in a JEOL 2000fx transmission electron microscope operating at 200kV while EFTEM analysis was carried out using JEOL 2010F and 4000fx transmission electron microscopes 2 Results and Discussion (GaMn)N layers grown using PAMBE have been consistently found to be p-type by measuring the electrical properties of free-standing layers using Hall-effect measurements in a Van der Pauw geometry [2]
104 M W Fay et al
Varying the GaN ratio was found to produced a marked structural difference in the layers Under N-rich conditions RHEED analysis confirmed the presence of both zincblende and wurtzite material with a high density of stacking faults on one set of 111 planes (Fig 1a) RHEED analysis of Ga-rich layers after the chemical removal of Ga droplets revealed a smoother surface with single zincblende structure (Fig 1c) However EDX analysis confirmed that Mn was only incorporated in the layers under N-rich and nearly 11 growth conditions
The presence of α-MnAs inclusions into the GaAs buffer layer were confirmed using EDX and SAED It is considered that the inclusions formed due to the migration of Mn from the growth layer to the buffer layer The orientation relationship between MnAs and the GaAs substrate was found to be affected by the GaN ratio with N-rich conditions being associated with ([1120]MnAs[110]GaAs) orientational relationship whilst Ga-rich conditions produced ([1120]MnAs[110]GaAs)
Fig 1 Ex-situ RHEED patterns obtained from (GaMn)N layers grown using PAMBE under a) N-rich b) 11 and c) Ga-rich conditions at 680degC
Increasing Mn flux was associated with the build up of a Mn surfactant layer during the early
stages of PAMBE growth with a transition from zinc-blende single phase growth to zinc-blendewurtzite mixed phase growth as shown in Fig 2 High Mn flux produced samples with a ferromagnetic signal above 400K however the exact nature of the origin of the signal has not been definitively identified Secondary phases and Mn clusters are expected to be a significant contributor to this additional Mn content within the GaMnN alloy EFTEM analysis has revealed the presence of Mn-rich regions at grain interfaces
Fig 2 a) Cross section image of a (GaMn)N layer grown under Mn-rich conditions (nominally 66at) b-d) SAED patterns taken from the top middle and bottom of the layer respectively showing the transition from zincblende (bottom) to wurtzite structure (top) via zincblendewurtzite mixed phase growth (middle)
a b c
100nm
mixed
Wurtzite [1120]
Zincblende[110]
a b c
Nanoscale Characterisation of MBE-Grown GaMnN (001) GaAs 105
Fig 3 The effect of alternating the III-V ratio during growth of a (GaMn)N layer as investigated using in-situ RHEED and EDX line profiles
Fig 4 ex-situ RHEED patterns obtained from layers grown with Ga rich conditions at a) 680degC b) 340degC and c) 265degC d) dark field image showing the structure of a (GaMn)N layer grown at 265degC with inset diffraction patterns of the initial (GaMn)N nucleation layer (bottom left) and the low temperature (GaMn)N layer (top right)
LT GaMnN
GaAs
ba c
d
0
200
400
600
800
1000
1200
0 50 100
atomic
nm fr
om s
urfa
ce
Growth Conditions
In-situ RHEED
N
Ga
Ga
N
spo
ts stre
aks
Mn at x10
Ga at
As at
spo
ts GaAs substrate
(GaMn)N layer
106 M W Fay et al
The elemental composition through a (GaMn) N layer grown using alternating N and Ga-rich conditions to a thickness of ~1100nm was appraised by EDX line profiles (Fig 3) 12kV in-situ RHEED patters allowed comparison of the chemical profile with the near surface crystal structure of the layer during PAMBE growth at 680degC The initial growth phase of (GaMn)N under N-rich conditions produced a layer with a significant level of Mn incorporation However switching to Ga-rich growth conditions resulted in a drastic reduction in the level of Mn incorporation along with an improvement in the structural quality of the layer indicated by the streaks in the in-situ RHEED Returning to N-rich growth conditions at ~500nm layer thickness caused a transition back to a rough growth mode and the incorporation once again of significant levels of Mn A subsequent switch back to Ga-rich growth conditions continued to show a rough growth mode with significant but reduced Mn incorporation
The effect of growing (GaMn) layers at reduced temperature was also investigated Under N-rich growth conditions reducing the temperature from 680degC was simply associated with the transformation from single crystal cubic growth to polycrystalline cubic and hexagonal mixed phase growth [6] Under Ga-rich conditions lowering the growth temperature to 340degC led to a transition from single crystalline to polycrystalline growth (Figs 4a and 4b) However further reducing the growth temperature to 265degC resulted in a recovery from polycrystalline growth to a mixed phase growth with close packed planes for both cubic and hexagonal GaMnN roughly parallel to the growth surface as shown by 200kv ex-situ RHEED (Figs 4c and 4d) This was accompanied by an improved Mn incorporation as confirmed by EDX line profiles indicating the uniform incorporation of Mn under such non-equilibrium conditions [6] 3 Conclusions The microstructure and elemental concentrations of (GaMn)N layers grown by PAMBE under a range of conditions have been investigated N-rich and nearly 11 GaN growth conditions are associated with the incorporation of Mn into the GaN lattice Ga-rich growth conditions are associated with a slightly improved (GaMn)N microstructure but with little incorporation of Mn into the GaN lattice Under conditions of increasing Mn flux the build up of Mn on the growth front creates a surfactant layer resulting in enhanced levels of Mn incorporation but mixed phase growth Growth of a layer under alternating Ga or N rich conditions confirmed the surfactant behaviour of Mn during the growth of this spintronic system Low temperature growth under N-rich conditions leads to polycrystalline mixed phase growth (GaMn)N films adopt a tilted mixed phase growth mode with an improved Mn incorporation under Ga-rich conditions at low temperature References 1 Novikov S V Edmonds K W Giddings A D Wang K Y Staddon C R Campion R P Gallagher
B L and Foxon C T 2004 Semicond Sci Technol 19 L13 2 Edmonds K W Novikov S V Sawicki M Campion R P Staddon C R Giddings A D
Zhao L X Wang K Y Dietl T Foxon C T and Gallagher B L 2005 Appl Phys Lett 86 152114
3 Dietl T Ohno H Matsukura F Cibert F and Ferrand D 2000 Science 287 1019 4 Pearton S J Park Y D Abernathy C R Overberg M E Thaler G T Kim J Ren F Zavada J M
Wilson R G 2004 Thin Solid Films 447 493 5 Novikov S V Edmonds K W Zhao L X Giddings A D Wang K Y Campion R P Staddon C
R Fay M W Han Y Brown P D Savicki M Gallagher B L Foxon C T 2005 J Vac Sci Technol B 23 1294
6 Han Y Fay M W Brown P D Novikov S V Edmonds K W Gallagher B L Campion R P and Foxon C T submitted to J Crystal Growth
Antiphase Boundaries in GaAsGe and GaPSi I Neacutemeth B Kunert1 W Stolz and K Volz Material Sciences Centre Central Technology Lab Philipps University Marburg Hans-Meerwein Strasse D-35032 Marburg Germany 1 NAsP IIIV GmbH Marburg Summary We identified antiphase domains (APD) and antiphase boundaries (APB) in MOVPE grown low lattice mismatched GaAs on Ge and GaP on Si with the help of an improved dark field transmission electron microscopy technique which exploits image pairs of (002)(00-2) and (111)(11-1) reflections Both type 110 and 111 APBs were observed and their occurrence is shown to depend on growth conditions of the IIIV semiconductor as well as on the pre-treatment of the silicon or germanium substrate 1 Introduction Low lattice mismatch heteroepitaxy of IIIV semiconductors on group IV substrates has great application potential The Ge-based GaAs is for example used as the base material of high-efficiency multiple junction solar cells Furthermore IIIVs on silicon always have the potential application of realizing optoelectronic integrated circuits on Si Since the 1980s several attempts have been carried out to nucleate direct bandgap IIIVs like GaAs on Si These attempts suffer from high densities of threading dislocations due to the large lattice mismatch and result in no long-term stable lasing operation of devices To overcome this problem our approach to realize a laser on a silicon substrate is different We grow the small lattice mismatched (0037 at room temperature) GaP on Si Although GaP is not a direct material the dilute nitride mixed compound Ga(NAsP) can be grown epitaxially on this substrate This material system has a direct bandgap and has showed lasing operation even at near room temperature already [1] The deposition of this novel material system on Si could open the way to the monolithic integration of IIIV optoelectronics on Si-based CMOS microelectronics
Growing IIIV materials on group IV substrates one faces several challenges among them the charge neutrality of the interfaces the generation of antiphase domains in the IIIV semiconductors cross-doping and dislocation formation due to slight mismatch and different thermal expansion coefficients Antiphase domains (APD) and antiphase boundaries (APB) originate from monoatomic steps on the group IV substrate surface The diamond lattices of Si and Ge are based on single types of atom in contrast to the zinc-blende lattice of IIIV semiconductors which is built from two types of atom Consequently a monostep on the group IV surface leads to a a4 (a lattice constant) shift of the lattice in the [001] growth direction and hence to the formation of an APB at this step position A crystal model in Fig 1 shows in
Fig 1 Ball and stick models of annihilating 111 and 011 APB in GaP on Si
108 I Neacutemeth et al
[110] projection the possible APB configurations The APBs lie on the 110 or the 111 planes respectively For the latter ones self-annihilation is possible
This transmission electron microscopy (TEM) study covers the identification of the possible config-urations of APDs and APBs in zincblende GaAs and GaP and the application of improved dark field techniques to detect them We will suggest growth conditions to either circumvent APDs or to restrict them to a thin interfacial layer by having APBs lie on 111 lattice planes and annihilate themselves 2 Experimental 21 MOVPE Growth The metal organic vapour phase epitaxy (MOVPE) growth took place in an AIX 200 reactor under H2 carrier gas For the growth of GaAs on Ge we used substrates 6deg off-orientation from (001) and triethyl gallium (TEGa) and tertiary butyl arsine (TBAs) as precursors
In the case of GaP grown on Si exact (001) substrates were used for technological reasons After a pre-treatment of the substrates a 500 nm thick Si buffer layer has been grown using silane (SiH4) The GaP layers were grown using the MO precursors tertiarybutyl phosphine (TBP) as well as triethyl gallium (TEGa) which all ndash including the above mentioned - feature sufficient decomposition characteristics also at temperatures below 500degC The layer thicknesses (50 nm) in both material systems were chosen below the critical thickness of relaxation to keep the layers free of dislocations so that the crystal defect study can be restricted to antiphase domains 22 Transmission Electron Microscopy For the TEM investigations [1-10] and [110] cross sections and [001] plan view samples were prepared by mechanical grinding polishing followed by low angle Ar ion milling using 5 kV and 2 kV beam energies in a Gatan PIPS For TEM imaging we used a JEOL JEM 3010 UHR
For the detection of the APBs we use the dark field (DF) TEM method introduced for zinc-blende structures in [2] This method is based on taking conventional DF images with the g(002) and g(00-2) reflections The contrast on the two sides of an APB changes from bright to dark on one of the images when crossing an APB and from dark to bright on the image taken with the other reflection The crystal structures on both sides of an APB are related to each other by a 180deg rotation around the lt110gt direction so that the g(002) reflection is equivalent to that of the g(00-2) from the other side of APB If one looks at the calculated beam amplitudes (that determine the image intensities) as a function of TEM sample thickness (Fig 2) one can find TEM sample thicknesses where the beam intensities for the reflections (002) and (00-2) show a difference due to the lack of twofold axes along the lt110gt directions for most TEM sample thicknesses
0 20 40 60 80 10010-3
10-2
10-1
100
101
(00-2)
(11-1)=(1-1-1)
(111)=(1-11)
Center of Laue Circle (000)Zone axis [1-10]
(000)
(002)
Ampl
itude
s (I
I00
0)
Thickness (nm) Fig 2 Dependence of the amplitudes of different beams in [1-10] zone axis oriented GaP on the TEM sample thickness
Antiphase Boundaries in GaAsGe and GaPSi 109
Induced by this difference (eg at sample thickness between 20-35 nm) taking an image pair with these reflections the APDs should appear with a contrast change from brighter to darker on one image and exactly retrograde on the other one The simulation was carried out using the Bloch-wave method [3] We find similar dependencies of the beam amplitudes on the crystal polarity also for the (111)(11-1) pair In the crystal thickness region of 30 ndash 40 nm where the (002)(00-2) pair almost shows no intensity inversion this reflection pair should theoretically exhibit a more pronounced contrast difference This will be shown to be helpful as crystal thicknesses below 30 nm which would be required for the usage of the (002)(00-2) image pairs are not so well suited for DF microscopy
3 Results and Discussion In the following antiphase domains (APDs) in heteroepitaxially grown thin layers of GaAs grown on Ge and GaP on Si will be identified in TEM cross sections and their origin will be referenced to monoatomic steps on the original silicon surface by comparing atomic force microscopy (AFM) images of the silicon buffer layer to the AFM and TEM plan-view micrographs of the GaP layer grown on this buffer Generally after optimization of the nucleation conditions we do not find any defects such as twins stacking faults or any threading dislocation in the IIIV semiconductors grown on group IV substrates
Taking dark field images with the different reflections as explained above we are able to detect different types of APBs in both material combinations GaAsGe and GaPSi as shown in Fig 3 In the GaAsGe layers we investigated (Fig 3 aampb) we only very seldomly observe APDs and the ones we detect solely lie on 111 planes and annihilate after 20 ndash 30 nm of IIIV material grown This is presumably due to the large wafer miscut of 6deg of the Ge substrate which was used for this study In GaP layers grown on Si (100) substrates without any miscut we find depending on growth and nucleation conditions APBs laying on 111 as well as on 011 planes Fig 3 campd depicts the g(002)(00-2) DF image pair of a APD in GaPSi which is limited by annihilating 111 APBs APDs bordered by 011 planes can be seen in the DF micrographs Fig 3 eampf ((111)(11-1) pair) For the sample thickness used for these images it is clearly visible that the g(111)(11-1) DF image pair exhibits clear contrast and the expected contrast reversal across the APDs The sample thickness is such that the g(002)(00-2) beams have equal intensity and the APDs can in this case not be unambiguously identified using these reflections (DF images not shown) The domains A C E appear on the g(111) and g(1-11) with bright contrast while on the g(11-1) and g(1-1-1) with darker contrast Domains BDF appear with inverted contrast on the image pairs The image pairs of the (220) and (2-20) reflections (not shown here) do not show contrast change as expected from the simulation results The typical extension of such domains
Fig 3 Dark field images of ab) GaAsGe and c-f) GaPSi using different pairs of reflections as indicated
110 I Neacutemeth et al
also seen on HR images is between 10-20 nm if they are bound by 111 planes and much larger when they are terminated by 011 planes
At the moment one can only speculate what drives the APBs to lie on either 111 or 011 planes Growth temperature and PGa ratios will clearly influence their orientation as the ones on 111 planes have to consist always of P-P or Ga-Ga false bonds in contrast to the ones on 011 planes which consist of alternating P-P and Ga-Ga false bonds (See also Fig 1) From that one can conclude that the 111 APBs should have a larger energy as they represent a much higher charge than the 011 APBs This was also reported for APBs in GaAs [4] At present our results support the assumption that by increasing the growth temperature we can drive the APBs to kink from 011 planes to 111 planes
The origin of the APDs can be clearly correlated with monoatomic steps on the silicon surface An AFM image of a Si-surface which is the underlayer of a GaP layer is shown in Fig 4a Monolayer steps are clearly resolved with every other terrace being smooth and triangular The surface scan of a GaP layer grown on this Si surface (Fig 4b) clearly betrays the characteristics of the former Si surface One detects a dip in the GaP surface where originally monolayer steps in the Si-surface were Detailed comparison with DF TEM plan view micrographs with the sample also tilted out of the [001] pole confirms that the dips in the surface correspond to the locations of the APBs Exemplarily a g(020) DF image is shown in Fig 4c From the contrast change of the bend contours (indicated by arrows) when crossing the APBs one can already conclude their nature
Hence there are two possible ways to achieve finally APD-free GaP layers on Si substrates The first one is to form solely double steps on the Si surface and consequently to prevent APD formation The second one is to find growth conditions where only APBs lying on 111 planes are formed since they are self-annihilating Acknowledgements We acknowledge the support of the Deutsche Forschungsgemeinschaft in the framework of the Topical Research Group on Metastable Compound Semiconductors and Heterostructures as well as the European Graduate College on Electron-Electron Interactions in Solids and NAsP IIIV GmbH Marburg References 1 Kunert B Klehr A Reinhard S Volz K and Stolz W 2006 Electron Lett 42 10 2 Kuan T S and Chang C A 1983 J Appl Phys 54 4408 3 Stadelmann P A 1987 Ultramicroscopy 51 131 4 Vanderbilt D and Lee C 1992 Phys Rev B 45 19
Fig 4 a) AFM micrograph of a Si surface b) AFM micrograph of a GaP layer grown on the Si shown in (a) c) g(020) dark field plane view micrograph of the same sample Contrast changes of bend contours across the APBs are indicated by arrows
Investigation of the Local Ge Concentration in SiSiGe Multi-QW Structures by CBED Analysis and FEM Calculations E Ruh1 2 G Mussler3 E Muumlller4 and D Gruumltzmacher3 1Laboratory for Micro- and Nanotechnology Paul Scherrer Institut CH-5232 Villigen Switzerland 2Solid States Physics Laboratory ETH Zurich CH-8093 Zurich Switzerland 3Institute for Bio- and Nanosystems Forschungszentrum Juumllich D-52425 Juumllich Germany 4Electron Microscopy Centre ETH Zurich EMEZ CH-8093 Zurich Switzerland Summary The local Ge concentration in SiSiGe multiquantum well structures was investigated by CBED analysis Series of bright field CBED patterns were taken across the quantum wells using the [340]-zone axis in STEM mode The HOLZ lines in these patterns were fitted with the JEMS program [1] in order to deduce the local lattice parameters With finite-element calculations taking the plastic relaxation of the thin TEM foil into account the Ge concentrations corresponding to these sets of lattice parameters were determined 1 Introduction In heteroepitaxial nanostructured material the interface quality especially its abruptness is of great importance due to its crucial effects on the physical properties of the material A more profound knowledge particularly of the chemical composition near these interfaces is required The aim of the present work is to contribute to these endeavours by performing layer characterisation with high spatial resolution based on convergent beam electron diffraction (CBED) measurements and finite-element method (FEM) calculations using the example of SiSiGe multiquantum well structures 2 Experimental The SiSiGe multilayers were grown by molecular beam epitaxy (MBE) on Si(001) substrates at a growth temperature of T = 460ordmC with deposition rates of 10 Arings and 025 Arings for Si and Ge respectively They consisted nominally of 10 periods of 5 nm thick Si08Ge02 layers separated by 20 nm thick Si interlayers In order to include temperature effects as well some sample material was annealed at 900ordmC for 10 minutes after growth The samples were prepared by mechanical polishing followed by Ar+ ion milling (high tension = 4 keV etching angle = 3ordm)
The samples were analysed in scanning transmission electron microscope (STEM) mode by acquiring zero-loss filtered CBED patterns of the undiffracted beam in the [340]-zone axis orientation across the SiGe layers with a FEI Tecnai F30 FEG transmission electron microscope equipped with a GATAN imaging filter (GIF)
The CBED patterns were evaluated by fitting higher order Laue zone (HOLZ) lines with the JEMS program The FEM calculations were performed with ABAQUS 3 Results A comparison of the HRSTEM images of the as-grown and the annealed sample show the effect of diffusion of Ge into the Si interlayers due to annealing While the thickness of the layers
112 E Ruh et al
corresponds to about 5 nm and 22 nm for the SiGe and Si layers respectively in the as-grown sample about 75 nm and 195 nm were observed in the annealed sample (Fig1)
Fig 1 HRSTEM images of the a) as-grown sample and b) the sample annealed at 700degC The Si layers correspond to the darker areas whereas the brighter contrast is due to the SiGe layers
Due to strong and asymmetric bending of the lattice planes near the upper and the lower end of
the superlattice of the thin TEM sample a strong splitting of the HOLZ lines was observed in these areas [23] The distortions within the superlattice is nicely illustrated by FEM calculations where the strain relaxation of a thin TEM foil consisting of 5 SiGe layers was simulated (Fig 2) [45] Therefore the quantum wells in the centre of the superlattice ie SiGe layers 5 and 6 were used for the measurements Still in the 6th layer a splitting was observed for the as-grown sample due to the inhomogeneous plastic relaxation along the projection direction That is why it was partly not yet possible to determine the lattice parameters in the SiGe layers of the as-grown sample
For the evaluation of the lattice parameters line scans with 61 and 81 CBED patterns were taken across the 6th SiGe layers in the as-grown and the annealed sample respectively A selection of 3 patterns of each sample is presented in Fig 3 The labels in Fig 1 show the positions where the respective CBED patterns were acquired It can easily be recognized that the HOLZ lines shift with the Ge concentration (arrows in Figs 3d-f)
Fig 2 Plastic relaxation of a strained TEM foil of a sample consisting of 5 SiGe layers (dark) separated by Si interlayers (bright) as simulated by FEM calculations
Investigation of the Local Ge Concentration in SiSiGe Multi-QW Structures 113
Fig 3 CBED patterns across a-c) the 6th SiGe layer of the as-grown sample and d-e) the sample annealed at 900ordmC
The HOLZ lines of the CBED patterns (except for a few of the as-grown sample) were fitted
with the JEMS program in order to deduce the local lattice parameters With finite-element calculations the corresponding Ge concentration was assigned to these sets of local lattice parameters (Fig 4) The maximum concentration in the as-grown sample is clearly higher than in the annealed one In this sample the Ge concentration is reduced by at least 20 induced by a Si-Ge-interdiffusion across the SiSiGe interfaces
Fig 4 Ge concentration in the 6th SiGe layer of the as-grown (crosses) and annealed sample (dots) plotted as function of the position in growth direction compared with data of x-ray diffraction measurements of the as-grown (dashed line) and annealed sample (straight line)
114 E Ruh et al
4 Discussion As expected the strongly strained as-grown TEM sample shows much more plastic relaxation in the thinning direction than the annealed one (In fact for the annealed sample the effect was below the detection limit) In the Si interlayers of the as-grown sample the lattice parameters in the thinning direction were increased compared to the bulk material (a(110) = 38443 Aring instead of 38403 Aring) whereas the lattice parameters in the growth direction were decreased (a(001) = 5427 Aring instead of 5431 Aring)
HOLZ lines corresponding to planes almost parallel to the (001)-plane react most sensitively to changes of the Ge concentration because the lattice constants along the growth direction has to compensate for the in-plane lattice parameter compression in the strained superlattice Unfortunately the same planes also suffer most from inhomogeneous plastic strain relaxation in the thinning direction (splitting)
The concentration curve of the as-grown sample appears to be far too wide if compared to the HRSTEM image ie 12 nm instead of 5 - 6 nm Additionally the measured data points at the bottom almost perfectly fit a straight line We interpret this as an artifact due to finite width of the electron probe In the case of a sharp interface a widening by twice the full width at half maximum of the probe would be expected From the width at the bottom interface of the as-grown sample (which is known to be the most abrupt one) we conclude that our probe size is of the order of not more than 15 ndash 2 nm The less abrupt an interface is the smaller the effect of the finite size of the electron probe becomes Therefore the as-grown sample is much more affected than the annealed one To solve this problem a reduced probe size or a deconvolution could be applied This however will be the topic of further work 5 Conclusions We have shown that the local lattice parameters can be determined by CBED measurements provided that no (strong) splitting of the HOLZ lines caused by plastic relaxation is present With FEM calculations the corresponding Ge concentrations were deduced This data will have to be improved in future by solving the problem of the deterioration of the spatial resolution due to the finite electron probe size Acknowledgements The authors thank Karsten Tillman (Ernst Ruska Centre Juumllich Germany) very much for the introduction to FEM calculations with ABAQUS We also thank Hans Sigg a lot (LMN PSI Switzerland) for fruitful discussions The use of the infrastructure of the Electron Microscopy Centre of ETH Zurich (EMEZ) is gratefully acknowledged References 1 Electron Microscopy Software Java version (JEMS) P Stadelmann EFP Lausanne
Switzerland 2 Benedetti A et al 2006 J Microsc 223 249 3 Chuvilin A et al 2005 J Electron Microsc 54 515 4 Balboni R Frabboni S Armigliato A 1998 Philos Mag A 77 67 5 Tillmann K et al 2000 Philos Mag A 80 255
Crystal Lattice Defects in MBE Grown Si Layers Heavily Doped with Er N D Zakharov P Werner V I Vdovin1 D V Denisov2 N A Sobolev2 and U Goumlsele Max-Planck-Institut fuumlr Mikrostrukturphysik 06120 Halle (Saale) Germany 1Institute for Chemical Problems of Microelectronics 119017 Moscow Russia 2Ioffe Physico-Technical Institute RAS 194021 StPetersburg Russia Summary The main types of crystal structure defects in [Er]gt2x1019 doped layers are (i) spherical Er and (ii) ellipsoidal ErSi precipitates as well as (iii) ErSi2 platelets on 111 planes In the sample with [Er]=4x1019 small complexes consisting of tiny Er precipitates and four petals of ErSi2 platelets have been found additionally The layer with [Er]= 8x1018 cm-3 was defect free The formation of silicides from a supersaturated solid solution and Er precipitates is accompanied by the emission of vacancies V resulting in the formation of pores V-V and V-Er complexes 1 Introduction Crystalline Si layers doped by Er are of great importance for the formation of structures emitting light at a wavelength of 154 microm Most studies have been performed on Er3+ implanted layers with a high concentration of different radiation defects resulting in the degradation of their physical properties [12] This problem might be solved by the molecular beam epitaxy (MBE) growth technique However first results showed that the luminescence intensity of optically active centres containing Er ions varies nonlinearly with the Er concentration It seems possible that the observed effects are determined by the structural defects created in the layers The goal of this work is to investigate the nature and structure of defects generated in epitaxial SiEr layers during MBE growth with different Er concentrations 2 Experimental SiEr layers were grown in a SUPRA-32 (RIBER) MBE reactor on n-Cz-Si(001) substrates with a resistivity of 45 Ωmiddotcm Epitaxial growth was performed at 600degС An effusion cell filled with metallic Er was used for layer doping According to the data of Rutherford backscattering the Er concentrations were equal to 8x1018 2x1019 and 4x1019 cm-3 [3] The vacuum in the growth chamber was 8x10-9 Torr The thickness of the grown layers was in the interval of 07-12 microm The structure of the grown layers was investigated by transmission electron microscopy (TEM) using the microscopes Philips CM20 JEM 200CX and JEM 4010 3 Results and Discussion The most developed structural inhomogeneities were observed in the epitaxial layers with [Er] = 2x1019-4x1019 cm-3 grown at 600degC They are presented by three kinds of precipitates (Fig 1) (i) platelets lying on 111 planes (A B C) (ii) small (4-25 nm) ball-shaped and (iii) ellipsoidal (D) precipitates with a total density of (5plusmn2)middot109 cm-2 localized in a narrow band at the layer-substrate interface Platelets do not form in the layers with [Er] le 8х1018 cm-3
116 N D Zakharov et al
A high-resolution image of platelets in a Si matrix is shown in Fig 2 The image was taken at
Scherzer defocus of ∆=-40 nm The dark contrast corresponds to the atomic positions in the structure The analysis of the observed contrast and the measured lattice parameters indicates that the platelets are ErSi2 precipitates a=03799 nm b=03799 nm c=0408 nm α=β=90deg γ=120deg space group P6mmm [4] The orientation relationships are Si[111] ErSi2[0001] Si[110] ErSi2 [11-20] The simulated image (Fig 2b) is in good agreement with the experimental one ErSi2 (0001) atomic planes fit very well to Si (111) planes because of similar symmetry relations and approximately the same in plane interatomic distances It makes it possible to bring these two phases into contact without any misfit dislocations This fact explains the platelet shape of these precipitates The important thing is that ErSi2 platelets lying on different crystallographic planes 111 block each other (see A in Fig 3) Such an interaction results in the decrease of their number in the growth direction (see intervals 1 ndash 2 - 3 in Fig1) Taking into account that platelets serve as sinks for Er one can expect that the supersaturation of Er in Si should increase with a decrease of the platelet concentration (from level 1 to 2) When the Er supersaturation reaches a critical value the nucleation of new platelets occurs (see 2 3 4 in Fig 1) This results in a periodic oscillation of the platelet number and the Er concentration across the grown layer
A high-resolution image of a ball-shaped precipitate is shown in Fig 4 It was taken at Scherzer defocus when atomic positions look dark The analysis of interplanar distances and the angles between atomic planes indicates that they are metallic Er a=0355 nm b=0355 nm c=0558 nm α=β=90deg γ=120deg space group P63mmc [5] The structure of many round shaped precipitates is severely distorted due to silicide formation A detailed high resolution TEM (HRTEM) analysis of ellipsoidal precipitates localized in the near substrate-layer interface area (see Fig 6a) indicates that they are SiEr phase with lattice parameters a = 04195 nm b = 10353 nm c = 03779 nm α = β = γ = 90deg space group CMCM (63) [6] Orientation relations between zone axes and atomic planes are Si[110] ErSi [001] and ErSi (120) Si(111) ErSi (1-20) Si (11-1) respectively
In the sample with a nominal Er concentration of 4x1019 a high density of tiny precipitates in the middle of the grown layer was observed At a higher magnification the structure of these precipitates (Fig 6) looks more complicated than that of the precipitates described above Such a complex defect consists of very tiny spherical precipitate (marked by arrows) and petals of ErSi2 platelets staying in four 111 crystallographic planes (see model in Fig 6) It seems that the tiny
Fig 1 Dark field (g=[004]) cross-sectional (1-10) micrograph of the sample with [Er] = 2x1019 cm-3 Edge-on defects (A B) in planes (111) and (11-1) respectively are imaged as straight lines while the defects of the same type (C) are 90deg rotated (one defect is outlined) D - spherical precipitates
Fig 2 a) Cross-sectional experimental and b) simulated high-resolution images of an ErSi2 platelet precipitate in a Si matrix taken along [110] direction Unit cell is outlined c) Projection of ErSi2 atomic structure along [110]
Crystal Lattice Defects in MBE Grown Si Layers Heavily Doped with Er 117
spherical precipitates are formed first and then serve as nucleation centers for the growth of ErSi2 platelets The HRTEM analysis shows that they are small Er particles
The formation of silicides occurs from Er precipitates and due to the consumption of Er atoms from the supersaturated solid solution Early stages of this process can be seen in Fig 4 where thin lamellae of silicide start to grow in an Er ball-shaped precipitate Such a phase transformation should be accompanied by Si vacancy emission because the total atomic volume of metallic Er (VEr= 003 nm3) and Si (VSi=002 nm3) is larger than the volume of ErSi or ErSi2 molecules (VErSi = 004 nm3 VErSi2 = 0051 nm3) From the balance of atomic volumes we obtain
Erhex + Si = ErSi + 05 VSi or Er + 2Si=ErSi2 +VSi
Fig 3 Cross-sectional [110] HRTEM image of two ErSi2 platelets (A B) staying in (1-11) and (1-1-1) Further growth of A is blocked by B
Fig 4 Structure of spherical Er precipitate in Si matrix Er-silicide lamellae are indicated by arrows
Fig 5 Image of ErSi precip-itate with pore marked by arrows
Thus the concentration of generated Si vacancies in the layer should be in the range 5x1017 - 5x1018 cm-3 It is much higher than the equilibrium concentration being Cvlt1013 cm-3 at the growth temperature 600degC [7-8] Such a high supersaturation of vacancies in the grown layer may result in the formation of V-Si V-Er complexes and even tiny pores (Fig 5) 4 Conclusion The main types of crystal structure defects in grown layers are (i) spherical Er precipitates and (ii) ellipsoidal ErSi precipitates both nucleated in the near substrate-layer interface region and (iii) ErSi2 platelets spread throughout the grown layer Platelets do not nucleate in epitaxial layers grown with doping level of [Er] le 8x1018 cm-3 In the sample with [Er]=4x1019 grown at 600ordmC small complexes consisting of tiny Er precipitates with four of ErSi2 platelets were found additionally They are formed over the layer due to the condensation of Er atoms from supersaturated solid solution
The density of ErSi2 platelets oscillates in the growth direction with a periodicity of approximately 200-250 nm This results in the corresponding oscillation of [Er] in solid solution because platelets serve as sinks for dissolved Er atoms
The formation of silicides from a supersaturated solid solution and Er precipitates is accompanied by the emission of vacancies The concentration of emitted vacancies [V] is comparable with the [Er] concentration This statement can be supported by pore formation due to the condensation of vacancies and by the decrease of the lattice parameter of the grown film measured by X-ray diffraction Such a high concentration of vacancies should also result in the formation of V-V and V-Er complexes The presence of a large concentration of Si vacancies and
118 N D Zakharov et al
V-Er complexes might explain the appearance of deep acceptors with an activation energy of 360 meV observed by HEfeoglu et al [2] which could be responsible for the non-radiative path
Fig 6 a) Plan-view and b) cross-sectional images of a complex structural defect with c) its schematic representation The defect is formed by a tiny Er precipitate (marked by arrows) and four petals of ErSi2 platelets aligned in 111 planes
2 Acknowledgements The authors acknowledge the support of this work by the SANDiE Network of Excellence of the European Commission The work was also partly supported by the Russian Foundation for Basic Research (grants 07-02-01462 05-02-16336) References 1 Xie Y H Fitzgerald E A and Mii Y J 1991 J Appl Phys 70 3223 2 Efeoglu H Evans J H Jackman T E Hamilton B Houghton D C Langer J M Peaker A R
Perovic D Poole I Ravel N Hemment P and Chan C W 1993 Semicond Sci Technol 8 236 3 Sobolev N A Denisov D V Emelrsquoyanov A M Shek1 E I Ber B Ya Kovarskii A P Sakharov
V I Serenkov I T Ustinov V M Cirlin G E and Kotereva T V 2005 Phys Solid State 47 113 4 Gladyshevskii E I 1963 Dopovidi Akademii Nauk Ukrainsrsquokoi RSR Seria A 1963 886 5 Hanak J J and Daane A H 1961 J Less-Common Metals 3 110 6 Thuery P Andre G el Maziani F Clin M and Schobinger-Papamantellos P 1992 J Magn
Magn Mater 109 197 7 Tan T Y and Goumlsele U 1985 Appl Phys A37 1 8 Bracht H Stolwijk N A and Mehrer H 1995 Phys Rev B52 16542 9 Sinno T Brown R A von Ammon W and Dornberger E 1998 J Electrochem Soc 145 302
Epitaxial (001) Ge on Crystalline Oxide Grown on (001) Si Ch Dieker J W Seo A Guiller1 M Sousa1 J-P Locquet1 J Fompeyrine1 Y Panayiotatos2 A Sotiropoulos2 K Argyropoulos2 and A Dimoulas2 Institute of Physics of Complex Matter Ecole Polytechnique Feacutedeacuterale de Lausanne CH-1015 Lausanne Switzerland 1 IBM Research GmbH Zurich Research Laboratory CH-8803 Ruumlschlikon Switzerland 2 National Center for Scientific Research Demokritos 153 10 Athens Greece Summary Fully epitaxial germanium-on-insulator structures have been grown for the first time on (001) Si substrates by using a perovskite oxide template Detailed transmission electron microscopy analysis revealed that a two-temperature growth procedure is required in order to avoid three-dimensional island growth and to obtain continuous and flat Ge films The interface between the oxide and Ge is fully crystalline and atomically sharp A high density of stacking faults and twin structures has been found preferentially originating from the surface roughness of the oxide 1 Introduction The integration of high-mobility materials such as Ge into Si-CMOS technology represents an important step towards high-performance MOSFETs With the recent achievements of growth of complex oxides epitaxially on Si Ge can be integrated as a semiconducting channel if it can be grown epitaxially on the oxide template This is an interesting alternative to germanium-on-insulator (GOI) structures obtained by wafer bonding [1] thermal oxidation of a strained SiGe layer grown epitaxially on silicon-on-insulator (SOI) wafers [2] liquid-phase-epitaxial regrowth directly on Si substrates [3] or surfactant mediated growth of Ge on Si [45] Nevertheless Ge generally shows a low adhesion to the oxide and has a higher surface energy which typically favors a three-dimensional Volmer-Weber-type of growth Recently GOI structures on Si wafers have been obtained but in (111) orientation [67] In this contribution we demonstrate fully epitaxial GOI grown for the first time on (001) oriented Si by molecular beam epitaxy (MBE) using perovskite oxides such as SrHfxTix-1O3 (SHTO) and SrHfO3 (SHO) as insulating templates In particular we study the growth and the microstructure of Ge thin films by transmission electron microscopy (TEM) 2 Experimental Procedures For the growth of oxide layers on Si an oxide MBE system with a base pressure of 7middot10-10 Torr was used P-type (001) Si wafers were heated to 750 degC to obtain clean oxide-free 2x1-reconstructed (001) oriented Si surfaces by chemical oxide flashing The Ge overgrowth is performed in a temperature range between 20 and 690 degC Structural characterization was carried out during growth using reflection high-energy electron diffraction (RHEED) and ex situ x-ray diffraction (XRD) using a D8 Discover diffractometer from Bruker AXS equipped with a rotating Cu anode TEM studies were carried out using a Philips CM300-FEG instrument equipped with a scanning unit as well as an energy-dispersive X-ray (EDX) detector and a Gatan imaging filter (GIF 6000) for chemical analysis TEM samples were prepared for plan-view as well as for cross-sectional view by mechanical grinding to electron transparency using the TriPod technique and subsequent Ar+-ion bombardment (PIPS Gatan 2ndash3 kV)
120 Ch Dieker et al
3 Epitaxial Oxides on (001) Si The starting point of the growth of the epitaxial oxide template is the formation of a SrO or (BaSr)O interface layer and the subsequent growth of the SrTiO3 (STO) layer following the four-step MBE deposition process as described previously [89] STO has a small lattice mismatch to (001) Si (3905 Aring compared to d(110) = 3840 Aring for Si) and can epitaxially be grown on Si with an in-plane rotation of 45deg Using STO as a buffer layer thin films of alternative perovskite materials can be grown We deposited SHTO or (BaxSr1-x)TiO3 (BSTO) because both oxide systems provide the possibility to change the lattice parameter by varying the Hf and Ba content respectively Hence by selecting an appropriate composition of the oxide Ge can be grown lattice-matched We have grown two different oxide templates (1) layers with gradually changing composition in order to accommodate the large lattice mismatch of about 46 between Si and Ge and (2) SHO or BTO ndash which have a small lattice mismatch to Ge ndash directly grown on STO without any compositional variation Nevertheless both templates resulted in comparable results with respect to the structural quality of the Ge film
Since the quality of the oxideSi interface is not critical for the Ge overgrowth both atomic oxygen and high temperature are used during the oxide growth in order to improve the crystalline quality of the oxide layer This deposition condition leads to the formation of a thick SiO2 interfacial layer as can be seen in Fig 1 However this process is not detrimental to the structural properties of the grown oxide as well as that of the Ge layer As can be seen in Fig 1 both epitaxial oxide and Ge films with a high crystallinity can be obtained 4 Ge on Epitaxial Oxides on (001) Si 41 Growth 411 Effect of the Growth Temperature The growth of Ge on the epitaxial oxide is of three-dimensional Volmer-Weber-type Already during the growth spotty RHEED patterns have been observed indicating formation of crystalline islands This behaviour was confirmed by plan-view and cross-sectional TEM As can be seen in Fig 2 Ge islands are formed whereas no coverage exists between islands However the crystallinity of the islands strongly depends on the temperature Below 500 degC the Ge islands are polycrystalline Although individual islands are single-crystalline the orientation can be different from island to island Above 500 degC Ge islands preferentially reveal the (001) orientation whereas above 600 degC only the (001) orientation exists The epitaxial relationship between Ge the oxide template and Si was found by TEM and XRD as follows (001)Ge||(001)SHO||(001)Si and [110]Ge||[100]SHO||[110]Si
Fig 1 Cross-sectional TEM image of Ge grown on SHOSTO oxide template grown on (001) Si substrate
Epitaxial (001) Ge on Crystalline Oxide Grown on (001) Si 121
412 Effect of the Oxide Template The characteristics of the islands strongly depend on the oxide system on BTO elongated needles or 111 facetted islands are obtained whereas on SHTO hemispheric islands are formed which are most regular in shape and distribution on SHO However for all oxide template systems the temperature dependence as mentioned above was comparable The (001) orientation was obtained on all types of oxide templates for Ge grown above 600 degC
Fig 2 Plan-view bright-field TEM images of 200 Aring Ge deposited at 610 degC on BTO SHTO and SHO epitaxial oxides grown on (001) Si Islands with variable size and shapes are formed On SHO oxide buffers most regular circular islands grow with a diameter of about 40 nm
413 Two-Step Growth Procedure
Increasing the nominal thickness of the Ge thin film we observed vertical and lateral growth of the islands but no additional nucleation Finally islands coalesced but even for a nominal thickness of 500 nm the film was not continuous In order to grow a continuous film we applied two-temperature steps first crystalline (001) oriented Ge islands are seeded at 610 degC Subsequently the growth is continued at a lower temperature in the range of 300-400 degC The latter step promotes homogeneous coverage of Ge Moreover due to the nucleation seeds created during the first step the (001) orientation is transferred to the Ge film deposited at low temperature As can be seen in Fig 3 applying the two-step growth procedure the Ge films can be
Fig 3 [110]Si cross-sectional bright-field images of Ge grown on SHOSi by using the two-temperature growth procedure Depending on the precise growth condition the surface morphology can be rough
122 Ch Dieker et al
grown continuous However the surface morphology can be very different depending on the precise growth condition We found that the surface roughness is directly correlated with the coalescence of islands during the film growth Thus in order to obtain a flat Ge film the formation of three-dimensional islands has to be suppressed and lateral growth needs to be promoted The most flat film obtained was grown on a SHO template and revealed a roughness of about 16 nm 42 Structural Defects The predominant defects in the Ge film are micro twins and stacking faults with 111 mirror planes The density of the latter was estimated to about 109cm2 from plan-view TEM observations As can be seen in Fig 4 most of these defects nucleate at irregularities on the oxide surface This can be explained by the fact that Ge and the oxide templates with diamond and perovskite structure respectively are only structurally compatible in the ideal two-dimensional case At an oxide surface step the Ge lattice cannot be matched because of their structural incompatibility in the out-of-plane direction However as can be seen in Fig 3 stacking faults frequently merge or annihilate in the upper part of the film leading to a significantly lower defect density in the upper film region
Surprisingly no network of misfit dislocations has been detected We assume that twins and stacking faults partly relax the epitaxial strain and suppress the formation of misfit dislocation networks Although the effect of these defects on electrical properties of Ge films is unclear their density has to be further decreased Acknowledgements This work was supported by the EU project IST-ET4US-2048 ldquoEpitaxial Technologies for Ultimate Scalingrdquo We also thank the CIME at EPFL for access to electron microscopes References 1 Tracy C J Fejes P Theodore N D Maniar P Johnson E Lamm A J Paler A M Malik I J and
Ong P 2004 J Electr Mater 33 886 2 Nakaharai S Tezuka T Sugiyama Mariyama Y and Takagi S 2003 Appl Phys Lett 83 3516 3 Liu Y Deal M D and Plummer J D 2004 Appl Phys Lett 84 2563 4 Hoegen M Copel M Tsang J C Reuter M C and Tromp R M 1994 Phys Rev B 50 10811 5 Eaglesham D J Unterwald F C and Jacobson D C 1993 Phys Rev Lett 70 966 6 Bojarczuk N A Copel M Guha S Narayanan V Preisler E J Ross F M and Shang H 2005
Appl Phys Lett 83 5443 7 Preisler E J Guha S Perkins B R Kazazis D and Zaslavsky A 2005 Appl Phys Lett 86
223504 8 Norga G Marchiori C Guiller A Locquet J P Siegwart H Rossel H Caimi D Fompeyrine J
and Conard T 2005 Appl Phys Lett 87 262905 9 Marchiori Ch Sousa M Guiller A Siegwart H Locquet J -P Fompeyrine J Norga G and
Seo J W 2005 Appl Phys Lett 88 072913
Fig 4 Cross-sectional high resolution TEM image of the GeSHO interface Stacking faults and twin structures with (111) mirror plane originate from the surface roughness of the oxide template
Analysis of GeMn Magnetic Semiconductor Layers by XPS and Auger Electron SpectroscopyMicroscopy Yu A Danilov E S Demidov1 S Yu Zubkov1 V P Lesnikov G A Maximov1 D E Nikolitchev1 and V V Podolskii Physical and Technical Research Institute University of Nizhny Novgorod 23 Gagarin Avenue Nizhny Novgorod 603950 Russia 1 Research and Educational Center for Physics of Solid State Nanostructures University of Nizhny Novgorod 23 Gagarin Avenue Nizhny Novgorod 603950 Russia Summary Thin layers of diluted magnetic semiconductors based on germanium supersaturated with manganese grown on GaAs substrates were studied by XPS and AES XPS measurements reveal the change of line shapes for germanium manganese and oxygen in surface layers in comparison with deeper layers as a transition from the oxidized form for germanium and manganese on and near the surface to the unoxidized state in deeper layers XPS spectra of valence electrons indicate that the density of states in the valence band of the GeMn ferromagnetic structures does not correspond to a mechanical mixture of germanium and manganese 1 Introduction Thin layers of germanium (silicon) supersaturated with 3d-iron group impurities (Mn Fe) during pulsed laser deposition (PLD) show characteristics of ferromagnetic spin ordering [1] that creates opportunities for applications in spintronics Ferromagnetism in such layers at temperatures of 400-500 K is confirmed by ferromagnetic resonance and the magneto-optical Kerr effect In galvanomagnetic measurements typical of ferromagnets the anomalous Hall effect at 77 K is strongly pronounced for GeMn layers containing 13 at of manganese on GaAs substrates [2] The goal of this work is to study the composition of manganese doped germanium layers by X-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES) 2 Experimental Samples for investigation were grown by the method of pulsed laser deposition of Ge and Mn on substrates of semi-insulating GaAs in a vacuum chamber with a pressure of residual gases of 10-5 ndash 10-6 torr at a temperature of 450degC To sputter a rotating target with Ge and Mn sectors a NdYAG laser with wavelength of 106 microm pulse energy of 02 J duration of 12 ns and repetition frequency of 10 Hz was used [3] The track of the target materials was a circle so the ratio of arc lengths for the sputtered Ge and Mn defines the doping level in the grown layers The thickness of GeMn layers for different samples was 30-60 nm
Analysis of the structures was carried out using an ultrahigh vacuum (pressure 10-11 torr) system (Omicron Multiprobe S) including an apparatus for XPS and AES investigations To excite spectra an X-ray source with Mg and Al anodes was used for XPS and an electron source with energy up to 5 kV was used for AES During local composition analysis Auger electrons were excited with an electron probe of diameter of 20 nm formed in a scanning electron column Energy separation of photo- and Auger electrons was realized by a hemispherical analyzer The collection area on the sample surface was 3times3 mm2 The energy analyzer worked in the fixed analyzer transmission mode with an energy resolution of 03 eV The time constant during signal registration in each spectral point was 25 s The
124 Yu A Danilov et al
spatial resolution in the local analysis mode was about 100 nm because of intense surface charging During layer-by-layer analysis GeMn film was sputtered by Ar+ ions with 1 keV energy The ion beam was 15 mm in diameter on the sample surface The sputtering rate was determined using signal measurement from the basic components (Ge Ga As) in structures with different GeMn layer thicknesses and was 027 nmmin The intermixing depth was estimated for such parameters of ion sputtering and it was about 2 nm The surface morphology of the grown layers was determined before spectroscopic measurements by atomic-force microscopy (AFM) in air using an NT-MDT instrument (Solver Pro) 3 Results and Discussion According to the AFM data islands were observed on the surface of GeMn films These islands were formed after condensation of plasma particles during PLD of films The density of islands was ~ 2sdot106 cm-2 the diameter was 2-7 nm and average height was about 28 nm The roughness of films in the areas between islands was about 03 nm AFM measurement showed that some samples had surface craters with an average lateral size of about 100 nm and a density of 5times107 cm-2
As a result of XPS measurements the chemical states of components in the GeMn layers at different depths were studied To estimate the chemical form of germanium and manganese 2p-lines were used In Fig 1 2p-transitions in Ge and Mn spectra are shown Each line has two Gaussian curves (A and B) corresponding to oxidized (GeO2) and unoxidized (Ge0) states of germanium (Fig 1a) and oxidized (MnO2 and Mn2O3) and unoxidized (Mn0) states of manganese (Fig 1b) Spectral analysis was performed using an XPS database and software obtained from XPS international [4]
Fig 1 Spectra of the 2p-transition in a) germanium b) manganese and c) the 1s-line of oxygen The Ge spectrum corresponds to a depth of 08 nm and Mn and O spectra correspond to depths of 6 nm The depths were chosen to visualize the point when the intensities of the oxidized and unoxidized lines are almost equal
A general observation as could be expected was a transition from the oxidized forms of
the germanium and manganese to unoxidized (Fig 2) But while the depth of transition to the unoxidized state for germanium was 3 nm where the line corresponding to the oxidized form disappeared almost entirely in the case of manganese the intensity of the lines
binding energy
A
B
C
D
counts
160k
140k
120k
100k
1225 1212 1219 binding energy
counts
70k
67k
64k
61k
646 636 641 46 638
A
BC
D
E
3 30
A
BC
DE
binding energy
counts
67k
64k
61k
59k
638 528 633
(a) (b) (c) 2p3 2p3 1s
Ge2+
Ge0
Mn2+
Mn0
O in
MnO
2
O in
Mn 2
O3
Analysis of GeMn Magnetic Semiconductor Layers by XPS amp AESMicroscopy 125
corresponding to the oxidized state and the lines of elemental manganese began to be comparable at a depth of 5-6 nm lines corresponding to the oxidized form completely disappeared at 9-10 nm The form of the oxygen line (Fig 1c) was evidence that oxygen was predominantly combined with the manganese atoms Study of the manganese 2p-line shows
Fig 2 Intensity change for lines corresponding to oxidized and elemental state of a) germanium and b) manganese
the presence in the sample of the three states of manganese oxidation Mn2O3 in the surface layer of the GeMn film and MnO2 and Mn0 in deeper film layers Seemingly the oxygen is an important factor in production of the ferromagnetic properties in structures with Ge layers doped with Mn This was proved by the difference in ferromagnetic properties of GeMn structures grown by the PLD method under different pressures of residual gases in the vacuum chamber
During layer-by-layer analysis it was observed that one of the substrate materials (Ga) was present in the surface layers of GeMn films even in the case of entire films without defects (Fig 3) The presence of a signal from substrate may be connected with intermixing
Fig 3 Depth distribution profiles for a) concentrations of germanium manganese oxygen gallium and arsenic and b) magnified scale for concentration profiles of Mn and Ga XPS lines used for these distributions are 2p for Ge Mn Ga As and 1s for O
of substrate materials as a result of interaction of the erosive laser plasma particles with the substrate This also may explain the smooth increase of Ga and As concentrations during approach to the GeMnGaAs interface The absence of or a weaker signal from the arsenic in the surface layers could be explained by the higher volatility of this element and so that it would have a smaller concentration in comparison with gallium In the case of continuous films the signal from the gallium disappeared at 25 nm depth and rose again as a signal from substrate at a depth of ~20 nm this is evidently connected with the process of Ga segregation on the growing film surface
For the investigated samples a decrease of the signal intensity from Mn in near-surface layers (1-2 nm) was observed (Fig 3b) and could be connected with the process of Mn segregation on the structure surface Such an effect became more apparent for a sample which had a delay between growth and measurement of about 1 year It can be judged that there is an interaction between the basic component Ge and dopant manganese atoms using valence band XPS spectra near the Fermi level EF (Fig 4) The spectra from the pure materials Ge and Mn near the top of valence band (4p and 3d respectively) and a spectrum from investigated GeMn films in the same region were obtained Using the measured concentration
0 2 4 6 8 1000
2000k
4000k
6000k
8000k
10M
Inte
nsity
depth nm
0 5 10 150
15
20
25
C a
t
depth nm
(b)
Ge2+
Ge0
Mn2+
Mn0 (a) (b)
0 10 20 30 40 50 60 70 80 900
10
20
30
40
50
60
C a
t
depth nm
Ge Mn O Ga As
(a)
0 2 4 6 8 1000
200k
400k
600k
800k
Inte
nsity
depth nm
126 Yu A Danilov et al
Fig 4 XPS spectra near the Fermi level 1- spectrum of GeMn film 2- superposition of spectrum from pure Ge and Mn taking into account their concen-tration in GeMn film 3- the difference between line 1 and line 2
as a factor in the intensity for pure elements Ge and Mn the superposition of two binding energy spectra near the EF=0 (0 eV on the binding energy scale) was constructed (Fig 4 line 2) Then subtraction of the superposed spectra for pure Ge and Mn from GeMn film spectra was performed On the spectrum obtained after subtraction (Fig 4 line 3) it is clearly observed that the intensity at an energy of about 45 eV is 4 times greater than noise The presence of this peak on the difference spectrum seemingly shows that grown GeMn films are not simply mechanical mixtures of the two components ndash germanium and manganese 4 Conclusions Layer-by-layer analysis of GeMnGaAs structures by the methods of X-ray photoelectron and Auger spectroscopy showed that film components Ge and Mn changed their state from oxidized to unoxidized as the depth of the analyzed layer increased During this transition the unoxidized state for manganese occurred in layers at greater depths The main film component (Ge) and the impurity element (Mn) are in different chemical states at different film depths Oxygen is the important factor in producing the ferromagnetic properties of Ge layers doped by Mn
The density of states in the valence band of the GeMn ferromagnetic structures does not correspond to a simple mechanical mixture of two components germanium and manganese this is shown by study of XPS spectra obtained near the top of the valence band Acknowledgements The work has been supported by the Joint Russian American Program Basic Research and Higher Education (BRHE grant REC-001) and the Russian Foundation for Basic Research (RFBR) (grant 05-02-17362) The authors express their thanks to PhD student D Saveliev for AFM measurements References 1 Zhou X H Chen X S Guo X G Sun L Z Sun Y L and Lu W 2004 J Magn Magnetic Mater
284 353 2 Demidov E S Danilov Yu A Podolskii V V Lesnikov V P Sapozhnikov M V and Suchkov A I
2006 JETP Lett 83 N12 568 3 Danilov Yu A Demidov E S Drozdov Yu N Lesnikov V P and Podolrsquoski V V 2005
Semiconductors 39 4 4 Digital Database of XPS Spectra XPS International wwwxpsdatacom
0
50
100
150
200
250
300
-303691215
Binding energy eV
Intensity
1 GeMn film
2 pure Ge + pure Mn
3 Difference between 1 and 2
Reduction of Threading Dislocations in Epitaxial ZnO Films Grown on Sapphire (0001) Y K Sun D Cherns P Heard1 R P Doherty2 Y Sun2 and M N R Ashfold2 HHWills Physics Laboratory University of Bristol Bristol BS8 1TL UK 1 Interface Analysis Center (IAC) University of Bristol Bristol BS8 1TH UK 2 School of Chemistry University of Bristol Bristol BS8 1TS UK Summary Transmission electron microscopy was used to investigate epitaxial ZnO films on c-sapphire produced by a two-step method Firstly pulsed laser deposition provided a continuous buffer ZnO with thickness about 80 nm and a predominant alignment of (0001)ZnO(0001)sapphire and [11-20]ZnO[10-10]sapphire On the top of buffer layer there was a high density of c-aligned nanorods which revealed few if any threading dislocations (TDs) in contrast with the buffer layer where TD density was about 1011cm2 Subsequent treatments by either chemical vapour deposition or hydrothermal growth caused the nanorods to grow laterally and form continuous films Subgrain boundary dislocations were generated as nanorods coalesced but these new TDs were also annihilated in dislocation reactions giving to a substantial reduction in the total TD density 1 Introduction Wurtzite ZnO and related alloys have attracted great attention recently because of their application in short wavelength light-emitting diodes and diode lasers A high quality single crystalline ZnO is essential because the defects such as threading dislocations (TDs) can act as carrier traps and recombination centres reducing the device performance [1] However the lattice mismatch between ZnO and various substrates makes it difficult to get a continuous film with a low density of defects on normal substrates such as c-sapphire
There have been several attempts to reduce the defect density of epitaxial ZnO by either introducing interlayers [2-4] or by epitaxial lateral overgrowth (ELO) [5 6] In this paper ZnOc-sapphire deposited by pulsed laser deposition (PLD) provided an array of defect-free crystalline nanorods which was well aligned and used for a template for subsequent lateral over-growth by either chemical vapour deposition (CVD) or hydrothermal (HT) deposition Continuous films with TD density around 109cm2 were formed on the top of the template Cross-sectional transmission electron microscopy (TEM) demonstrated that several models can be applied to describe the generation and reduction mechanism of TDs in different growth stages
2 Experimental An epitaxial ZnO layer was deposited on c-sapphire by PLD of a ZnO target (Cerac 99999) using the focused output of an ArF laser with a low background pressure of oxygen ~10-2 Torr The substrate temperature was about 600oC Further ZnO was deposited by either CVD or HT methods In the CVD process mixed ZnO and graphite powders were heated to 1100oC in the centre of a horizontal tube furnace with PLD coated sapphire positioned downstream of the tube centre in an Ar carrier gas flow (~50 sccm) Following the growth the substrate was kept at the temperature of about 600oC for 1 hour before being cooled to room temperature The HT method is similar to that of Vayssieres et al [7] In the HT method a mixed solution of Zn(NO3)2 and hexamethylenetetramine (HMT) was heated to 90oC and sealed in a Schott bottle with PLD coated sapphire for 6 hours
The morphology of the as-grown films was characterized using field emission scanning
128 Y K Sun et al
electron microscopy (FE-SEM) in a JEOL-6330F Cross-sectional TEM samples made by either mechanical polishing plus ion milling or focused ion beam (FIB) milling in a FEI 201 thinner were examined in a Philips EM430 TEM operating at 200 kV 3 Results The PLD deposited film provided a template with a high density of nanorods of approximate diameter 40 nm and length around 300 nm In our previous work [8] both x-ray diffraction and selected area electron diffraction demonstrated that there is a buffer layer of 80 nm thickness below the nanorod array and that the epitaxial relationship established and predominant in both buffer and nanorods is (0001)ZnO(0001)sapphire and [10-10]ZnO[11-20]sapphire
Fig 1 Morphology of PLD coated sapphire after a) 1 hour CVD and b) HT treatments
The 1 hour CVD treatment (Fig 1a) was found to slightly increase the diameters of the
nanorods to 50-60 nm while their length was almost constant ie 300nm Such lateral growth is magnified in the HT case (Fig 1b) where a wide range of nanorod diameters is present and neighbouring nanorods often coalesce HT samples also showed continued growth along the c-axis making the final thickness of films over 800 nm Such overgrowth was also achieved in a CVD environment when we extended the whole growth process to 25 hours
The lattice match between ZnO and c-sapphire gave an in-plane mismatch of ~18 which gave rise to a high density of defects This is demonstrated by TEM of the cross-section sample treated by CVD for 1 hour (Fig 2) where TDs generated at the interface between c-sapphire and ZnO buffer layer penetrated into the whole continuous layer and finally terminated at the top surface of the buffer layer However most of these TDs did not penetrate into the nanorods Close inspection showed that they tended to turn over in the bottom of the nanorods then terminated at the surface around their base giving nanorods that were almost defect-free in contrast with the high TD density (~1011cm2) in the buffer layer
Fig 2 Two-beam bright field (BF) cross-sectional image of CVD treated PLD coated c-sapphire near the [11-20]ZnO zone axis with g=(0002)
Reduction of Threading Dislocations in Epitaxial ZnO Films Grown on Sapphire (0001) 129
Such an array of defect-free crystalline nanorods provided a wonderful template for
subsequent ELO Indeed images taken from samples grown by HT (Fig 3) showed that when continuous ZnO layers formed most of the TDs in the buffer layer have been confined below the lateral growth regions
Fig 3 a) Two-beam BF image of HT treated PLDc-sapphire with g = (0002) b) The schematic explanation shows how the screw dislocation array (1) forms in a twist boundary
During the lateral growth new dislocations can be generated when neighbouring nanorods
grow together Subgrain boundaries are formed where two grains are slightly rotated with respect to one another resulting in only occasional corrections by means of a dislocation network [9] A particular example is an array of dislocations formed when the dislocation lines the Burgers vector b and the boundary plane are all perpendicular to the rotation axis ie a lsquopure twist boundaryrsquo The groups of dislocations 1 and 2 in Fig 3a are examples of such arrays They are screw type with Burgers vector b along [0001]ZnO confirmed by the fact that they become invisible in the condition of g=(10-10) The mechanism for formation of such an array is illustrated in Fig 3b When grains A and B grow together the regions of good fit extend by relaxation and those of bad fit contract forming the array of screw dislocations Another particular case is when two joined crystals are exactly parallel along the c-axis but with a slight in-plane rotation a small angle boundary with an edge dislocation network is expected Besides this lsquotilt boundaryrsquo and lsquopure twist boundaryrsquo a general lsquopartial twist boundaryrsquo can also be present if the rotation axis is along arbitrary orientations That is possibly the mechanism to generate TD 3 in Fig 3a which is mixed type It is also worth pointing out that incomplete lateral growth can leave a gap where boundary dislocations terminate (TD 4 in Fig 3a)
These new boundary dislocations have an important feature regardless of the type a pair of dislocations generated from the two opposite sides of a single subgrain has Burgers vectors with the same magnitude and the opposite sign An example is the pair of dislocations 1 and 2 in Fig 4a and b where alternately inside-outside contrast in g and ndashg confirms that the dislocations are of opposite sign The pair of dislocations 3 and 4 shows another such example This interaction mechanism is dominant in the overgrowth and decreases the TD density of the overlayer down to about 109cm2
130 Y K Sun et al
Fig 4 Two-beam BF image of CVD over-growth with opposite g vectors The scale bar is 200 nm
4 Conclusions The reduction mechanism of TDs in the epitaxial ZnO grown on c-sapphire by two-step deposition was investigated The c-axis aligned ZnO buffer layer deposited on c-sapphire by PLD with nearly defect-free nanorods on the top is shown to provide an ideal template to carry a subsequent ELO growth The TDs generated from the ZnOsapphire interface are shown to be mostly blocked by terminating at the surface or turning over and terminating around the base of individual nanorods
The additional CVD or HT treatments proved that the extremely low density of defects in individual rod can be retained in the subsequent lateral growth However new subgrain boundaries and corresponding dislocations could be generated when neighbouring grains coalesce The type and local density of these new TDs is mainly determined by the rotation vector between neighbouring grains in our case In the overgrowth stage pairs of dislocations which originated from two opposite sides of one misoriented grain could eliminate each other in an interaction with their opposite Burgers vectors Finally continuous films were formed in the top of templates with TD density about 109cm2 which is comparable with that of ZnO films grown by normal ELO [6] or interlayer assistant MBE on c-sapphire [10] References 1 Lim S H Washburn J Liliental-Weber Z and Shindo D 2001 J Vac Sci Technol A 19 2601 2 Hong S Hanada T Ko H J Chen Y et al 2002 Phys Rev B 65 115331 3 Chen Y Hong S Ko H Kirshner V et al 2001 Appl Phys Lett 78 3352 4 Du X L Murakami M Iwaki H Ishitani Y et al 2002 Jpn J Appl Phys 41 L1043 5 Kim J H Andeen D and Lange F F 2006 Adv Mater 18 2453 6 Andeen D Kim J H Lange F F Goh G K L et al 2006 Adv Funct Mater 16 799 7 Vayssieres L Keis K Hagfeldt A and Lindquist S E 2001 Chem Mater 13 4395 8 Doherty R P Sun Y K Sun Y Warren J et al 2007 Appl Phys A accepted 9 Bollmann W 1970 Crystal defects and crystalline interfaces (Berlin Sorubger-Verlag) Chap 11 10 Wang Y Du X L Mei Z X Zeng Z Q et al 2004 J Crystal Growth 273 100
Progress in Aberration-Corrected High-Resolution Transmission Electron Microscopy of Crystalline Solids K Tillmann J Barthel L Houben C L Jia M Lentzen A Thust and K Urban Institute of Solid State Research and Ernst Ruska-Centre for Microscopy and Spectroscopy with Electrons Research Centre Juumllich D-52425 Juumllich Germany Summary With impressive improvements in instrumental resolution and a simultaneous minimisation of image delocalisation high-resolution transmission electron microscopy is presently enjoying increased popularity in the atomic-scale imaging of lattice imperfections in a variety of solids In the present overview recent progress in spherical aberration corrected imaging performed in troika with the ultra-precise measurement of residual wave aberrations and the numerical retrieval of the exit plane wavefunction from focal series of micrographs is illustrated by highlighting their combined use for the atomic-scale measurement of common lattice imperfections observed in compound semiconductors and high-temperature superconductors 1 Introduction High-resolution transmission electron microscopy (HRTEM) is now firmly established as a unique analysis technique for the study of lattice imperfections in crystalline solids Nearly half a century since the first images of dislocations were recorded [1 2 3] the technique has enabled the characterisation of lattice imperfections not only in the bulk of single crystals but also at heterointerfaces between common solids of technological relevance
In the past decade the performance of intermediate voltage electron microscopes has undergone major improvements through novel electron optical components of particular sophistication eg spherical aberration corrector elements [4 5] and monochromators of various designs [6 7 8] The use of these hardware components means a tremendous facilitation of solid-state analysis on the atomic scale Consequently with the instruments now approaching the half Aringngstroumlm frontier HRTEM becomes at long last a versatile tool for truly atomic-scale measurements of heterointerfaces and lattice imperfections in a variety of solids
The sole availability of structural information beyond the Aringngstroumlm barrier however only represents a sine qua non for the imaging of object details of the very same length scale Additionally an unaltered transfer of information through the lens system constitutes a mandatory requirement in the direct interpretability of recorded micrographs Thence any recorded sub-Aringngstroumlm information needs to be impartially regarded as of equivocal reliability without an optimised tuning of instrumental parameters [9 10] and in particular a precise control of residual wave aberrations The latter can be minimised to a large extent by hardware prior to experimental analyses [11] and entirely eliminated a posteriori by applying phase retrieval methods making use of focal series [12 13] or illumination tilt direction series [14] of micrographs as well as by off-axis holography [15 16]
Against the background that any adequate elimination of wave aberrations entails extremely high demands on hardware correction also coming along with the indispensable necessity to measure associated residual aberrations a sound strategy is required to overcome the problem of still non-direct image interpretability at ultimate resolution This is especially true in view of a
134 K Tillmann et al
Fig 1 Process diagram illustrating the course of successive procedures to minimise residual wave aberrations wij during setup of a spherical aberration corrected microscope using the ATLAS package (left) followed by the numerical retrieval of the exit-plane wavefunction Ψ(r) from a through-focus series of micrographs (right) also involving a posteriori correction of residual aberrations wij by the utilisation of measured phase-plates (bottom)
transient behaviour of the corrector as-is state during operation of the electron microscope necessitating a follow-up of the actual instrumental transfer properties
Hence in the present overview three co-acting techniques are exemplified by highlighting their combined use for the atomic-scale analysis of lattice imperfections and internal boundaries in crystalline solids This regards (i) imaging under optimised conditions employing negative spherical aberration (ii) the ultra-precise measurement of higher-order wave aberrations wij from amorphous specimen areas under tilted illumination as well as (iii) the restoration of the exit-plane wavefunction from a focal series of micrographs The fine-tuning and subsequent numerical elimination of residual aberrations still present in hardware-corrected microscopy is demonstrated not only as an end in itself but in particular to exhaust the instrumental information limit at interpretable contrast features For illustration purposes recent progress is reviewed in the atomic-scale measurement of the core structure of partial dislocations and of lattice distortions across stacking faults in compound semiconductors as well as atomic bond reconstructions at tilt boundaries in high-temperature superconductors 2 Experimental Methodology A three-step course of action as illustrated by the process chart displayed in Fig 1 is suggested to fully make use of the improved information limit offered by field-emission gun instruments equipped with a spherical aberration corrector unit and especially to overcome uncertainties in image contrast interpretation due to image delocalisation and residual lens aberrations
Progress in Aberration-Corrected HRTEM of Crystalline Solids 135
U [kV] 200 300 300 300 3001 gmax [pm] 125 100 80 50 30
∆ [nm] 56 45 29 11 04w40opt [microm] ndash 367 ndash 311 ndash 127 ndash 19 ndash 03w20opt [nm] 111 90 58 23 09
R [pm] 740 593 474 296 178 Table 1 Optimised values of spherical aberration w40opt and defocus w20opt as well as the resulting image delocalisation R according to Eqs (1) to (3) based on different acceleration voltages U and information limits 1gmax together with the associated defocus spread ∆ respectively Grey shaded columns apply to parameters of the CM-200-C and Titan 80-300 instruments used during this study
21 Tuning of the Instrument towards Negative Spherical Aberration Corrected Imaging Conditions
With the integration of double hexapole correctors the spherical aberration w40 (vulgo C3) of the electron microscope becomes a tunable imaging parameter w40 can therefore be adjusted to balance phase contrast and residual delocalisation while keeping the point resolution close to the information limit not within reach during operation of ldquotraditionalrdquo uncorrected medium voltage instruments equipped with a field emission gun Given a sufficiently thin sample with a thickness just smaller than half the extinction distance favourable conditions for negative phase contrast i e for bright-atom contrast are attained by combining an optimised negative spherical aberration [9] given by
w40opt = minus 64 27 λminus3gmaxminus4 (1)
with an overfocus setting w20 (vulgo Z) of the objective lens
w20opt = 16 9 λminus1gmaxminus2 (2)
minus half the specimen thickness [10] with λ and 1gmax denoting the electron wavelength and the information limit of the instrument respectively When initially neglecting the impact of partially compensated higher-order wave aberrations a corresponding instrumental set-up yields directly interpretable micrographs accompanied by a residual image delocalisation
R = 16 27 gmaxminus1 (3)
With this tuning w20opt replaces the Scherzer defocus of ldquotraditionalrdquo HRTEM and the partially coherent phase contrast transfer function of the instrument is positive up to the information limit and characterised by a broad pass-band The according negative phase contrast condition not only ensures a substantial contrast improvement but low-nuclear charge elements can also be imaged at bright-atom contrast features in the vicinity of high-nuclear charge atoms which is in particular viable for the investigation of oxide and nitride materials [17]
Numerical values of the aforementioned optimised imaging parameters are summarised in Table 1 using instrumental parameters of 200 and 300 kV microscopes characterised by information limits in the range between 30 pm and 125 pm respectively
136 K Tillmann et al
Fig 2 a) Wave aberration coefficients wij and their sum S displayed in phase-plate representation measured during set-up of a Titan 80-300 microscope Corresponding numerical data on wij are summarised in Table 2 Bright (dark) areas indicate positive (negative) phase deviation with grey level jumps occurring in steps of p2 The white circles denote an information limit of 1gmax = 1125 nmndash1 = 80 pm b) Time-resolved monitoring of the twofold astigmatism w22 measured over a period of ∆tser = 144 s during operation of the instrument at nominally fixed conditions Astigmatism fluctuations w22x and w22y along orthogonal directions x and y are due to instrumental instabilities The statistical error of a single w22 measurement is approximately 100 pm with the circle at |w22| = 081 nm indicating the p4 limit not be exceeded to fully exploit the information limit of the microscope The regression line yields an average variation in the two-fold astigmatism of 6 pms
22 High-Precision Measurement of Residual Wave Aberrations for Sub-Aringngstroumlm HRTEM
Quantitative high-resolution electron microscopy requires the measurement ndash and ideally the full elimination ndash of objective lens induced parasitic wave aberrations to high accuracy This indispensable requirement is because of a decrease of minimum tolerable quantities of higher-order wave aberrations wij which have not been considered in ldquotraditionalrdquo high-resolution microscopy so far with an increase of the instrumental information limit
In this respect the wave aberration function χ(g) describing deviations between ideal and real wavefronts is the relevant instrumental ldquotuningrdquo parameter to be considered In the isoplanatic approximation [11] χ(g) can be expanded in terms of axial aberration coefficients wij and their respective azimuths ϕijWhen transformed to cylindrical coordinates g = (g ϕ) the aberration function is given by
χ(gϕ) =
2πλ
w ij
iige2 ige jge0i+ j isin 2 N
sum λg( )i cos j ϕ minusϕ ij( )[ ] (4)
making the radial (wij) and azimuthal (ϕij) dependence of the aberration terms e g defocus w20 twofold astigmatism w22 axial coma w31 and threefold astigmatism w33 apparent The other
Progress in Aberration-Corrected HRTEM of Crystalline Solids 137
aberration wij Xk modulus azimuth defocus w20 C1 (Z) ndash 27789 plusmn 016 nm
twofold astigmatism w22 A1 292 plusmn 012 nm 1167 plusmn 12deg axial coma w31 3 B2 1972 plusmn 1310 nm 1477 plusmn 381deg
threefold astigmatism w33 A2 1425 plusmn 557 nm 364 plusmn 75deg spherical aberration w40 C3 (CS) ndash 1524 plusmn 060 microm
star aberration w42 4 S3 655 plusmn 025 microm 1534 plusmn 11deg fourfold astigmatism w44 A3 205 plusmn 005 microm 206 plusmn 03deg
fifth-order axial coma w51 5 B4 7091 plusmn 1694 microm 00 plusmn 137deg three-lobe aberration w53 5 D4 3206 plusmn 1130 microm 740 plusmn 67deg fivefold astigmatism w55 A4 3260 plusmn 180 microm 605 plusmn 06deg
sixth-order spherical aberration w60 C5 696 plusmn 070 mm sixfold astigmatism w66 A5 327 plusmn 005 mm 391 plusmn 01deg
Table 2 Numerical values of higher order wave aberration coefficients wij belonging to the phase-plate representations displayed in Fig 2 Indices i and j specify the spatial frequency order of individual aberrations of the wave aberration function in Taylor expansion and the azimuthal symmetry respectively Aberration coefficient denotations Xk according to the traditional convention by Hawkes and Kasper [21] are given in the middle column for comparison During evaluation sixth-order star aberration w62 (6 R5) and four-lobe aberration w64 (6 D5) have been set to zero as their magnitudes are typically found to be negligible and any consideration would only deteriorate the accuracy in the determination of the remaining aberration coefficients
higher-order coefficients wij are denoted in Table 2 for an expansion of the aberration function to the fifth order
While the introduction of a w40 imaging corrector raises the instrumental resolution also coming along with virtually directly interpretable micrographs when the electron microscope is operated under optimised conditions experience with both Juumllich based instruments equipped with CEOS double-hexapole w40 imaging correctors [12] i e a CM-200-C prototype as well as a commercial Titan 80-300 electron microscope shows that a number of coefficients wij are not sufficiently stable over a period of a typical TEM session Some of them e g w42 hold steady for several weeks while others run out of the tolerance limits ensuring proper exploitation of the information limit within a few hours e g w31 and w33 or even minutes e g w22 This observation suggests the integration of software solutions diagnosing and rectifying the actual state of the optical transfer system during operation of the electron microscope which is basically due to two reasons
Firstly commercially distributed aberration measurement routines [18] are by far not sufficient in precision for a corrector alignment aiming at a target resolution in the sub-Aringngstroumlm regime Moreover error limits that are traditionally given only for individual aberrations are no longer a realistic approach in case of a comprehensive ensemble of higher-order aberrations Secondly the observation that various aberrations which may certainly be minimised by hardware will vary with time and the marginal constraint that some of them cannot be corrected by hardware at all already suggest combining any incomplete correction during experiments with an a posteriori software correction via phase retrieval methods
We have hence developed new numerical analysis procedures in the framework of the advanced treatment of lens aberrations and stability (ATLAS) software project [19] which base upon the well-known analysis of diffractogram tableaus where the defocus and the two-fold
138 K Tillmann et al
astigmatism induced by intentional beam tilts are observed as a variation of Thon rings in the diffractograms of amorphous specimen areas under investigation [20] Special emphasis was put on the error analysis exceeding the so far considered magnitude limits for single aberrations [11] by means of the combination of all actually measured aberrations
With a newly implemented pattern recognition module we succeeded in obtaining an accuracy of nearly 100 pm in the determination of defocus and two-fold astigmatism belonging to single diffractograms This level is by more than one order of magnitude superior to that achieved by the manufacturer-supplied measurement software The same holds true regarding processing speed and robustness against perturbations originating from residual crystalline signals By this dramatic gain in accuracy aberration control is now sufficiently precise for reliable imaging even at an information limit of about 50 picometres As a further consequence highly precise time-resolved measurements of defocus and two-fold astigmatism which indicate the stability of the complete optical set-up are now possible
As an example of use Fig 2a displays wave aberrations wij measured during operation of a Titan 80-300 electron microscope as obtained from the analysis of 18 diffractograms taken under tilted illumination with maximum angles of 20 mrad from an amorphous specimen area of a crystalline GaAs sample prepared by argon ion milling employing a final 05 keV milling step When also monitoring individual aberrations cf Fig 2b it is observed that the twofold astigmatism w22 may run out of its allowed magnitude after less than 3 minutes without any user interaction with the instrument[21] 23 Numerical Retrieval of the Exit-Plane Wavefunction Beyond imaging at optimised conditions the numerical retrieval of the exit-plane wavefunction Ψ(r) from a through-focus series of micrographs [13] offers not less than five further improvements
First Ψ(r) is free from nonlinear imaging artefacts and by the combination of many images taken at different foci the low-frequency gap in the phase contrast transfer function i e the insufficient contrast transfer of low spatial frequencies caused by employing a rather small w20 value is reduced considerably [22]
Second by extracting information from about N = 10 hellip 30 images the signal-to-noise ratio can be improved by a factor of N2 compared to that of a single micrograph taken under w20opt conditions Indeed experimental analyses demonstrate a triplication [23] and even quadruplication [24] of the signal-to-noise ratio dependent on the number of images used during retrieval of the exit-plane wavefunction
Third even the application of small w20 and w40 values which is a prerequisite to obtain phase contrast induces a parasitic delocalisation R whereas the numerically retrieved exit-plane wavefunction is ideally free from any delocalisation effects
Fourth the availability of the complex-valued quantity Ψ(r) allows for the numerical a posterior correction of residual wave aberrations This aspect is of special practical importance as experience shows that not all aberrations of the microscope are sufficiently constant over the period of operation but can now be determined with sufficient accuracy before recording individual focal series via the ATLAS package cf above
Fifth since Ψ(r) is complex-valued we may calculate local diffraction patterns from specimen areas as small as desired When evaluated during operation of the microscope the judgement of the symmetry properties of these local diffraction patterns is a most convenient tool for the proper orientation of specimen areas under investigation Corresponding tuning procedures ensure a proper semiconductor zone axis alignment with accuracy well below 3 mrad [22]
Progress in Aberration-Corrected HRTEM of Crystalline Solids 139
3 Experimental Details Experimental analyses were performed using two different FEI instruments equipped with imaging correctors namely a CM-200 prototype operated at 200 kV and a commercial Titan 80-300 instrument operated at 300 kV Both systems were equipped with CEOS double hexapole w40 correctors which allow for correcting axial aberrations up to the third order and for partially compensating for fourth and fifth order aberrations Instrumental parameters of these microscopes are listed in the grey shaded columns of Table 1
High-resolution micrographs discussed below were recorded at optimised conditions with a slightly negative value for w40 Focal series of N = 10 hellip 30 images were recorded at a sampling rates well below the Nyquist frequency with regard to half of the instrumental information limit 1 (2 gmax) for sampling the full instrument potential knowing that the modulation transfer function of many CCD cameras exhibit poor transfer at higher spatial frequencies The focal range of each series included the focus setting with w20opt for optimised phase contrast From these series the exit-plane wavefunction Ψ(r) was retrieved for the frequency band between 1 nm-1 and respective gmax values applying a doughnut-shaped restoration filter 4 Simulation Study Impact of Image Delocalisation and Residual Wave
Aberrations on Dumb-bell Lengths Measured from HRTEM Micrographs of ZnO (1120)
In recent years the measurement of in-plane distances between adjacent contrast dots associated with atomic column positions has become a widespread technique for mapping lattice distortions in the vicinity of defects and across heterointerfaces Underlying numerical analysis algorithms meet uncertainties in the measurement of contrast dot positions given by 2 σ confidence intervals as far as to 4 pm [23] Against the background of the transition to sub-Aringngstoumlm microscopy we are currently witnessing a point of principle emerges regarding whether micrographs recorded with aberration corrected instruments substantially allow such small tolerance limits to be measured facing system-inherent residual image delocalisation and parasitic higher-order wave aberrations
For exemplification purposes Fig 3 displays a series of calculated w20opt images I(r) and the phase Φ(r) of the exit-plane wavefunction of ZnO viewed along the [112 0] zone axis together with associated intensity line profiles taken along the direction of the zinc oxygen dumb-bell assuming different instrumental information limits in the range between 100 and 30 picometres Line profiles show a decreased peak-to-background ratio and an increased asymmetry of individual peaks with decreasing information limit This behaviour which is more pronounced for the lighter oxygen columns is basically due to an increase of image delocalisation with decreasing 1 gmax values as expressed by Eq (3)
From these line profiles dumb-bell lengths d have been measured by an algorithm basically employing dedicated image intensity thresholding operations and a centre-of-mass analysis inside individual bright contrast dots supported by adequate refining procedures as specified in [25] Systematic deviations Dd with regard to the actual dumb-bell length of dZnO = 1123 pm have been added to Fig 3 As can be seen from these numerical data Dd values measured from w20opt images I(r) clearly exceed 4 pm as long as the information limit is below 80 picometres
To come to a more general description Fig 3g displays calculated peak distances d between two columns of equal atomic species in dependence on the instrumental information limit gmax Both parameters d and gmax are plotted normalised to the actual column distance dreal As can be seen from the figure d dreal values trick to believe in an superficial resolution characterised by d dreal lt 1 at gmax sdot dreal values in the range between 07 and 085 Contrastingly ddreal values are well above 103 at gmax sdot dreal in the range between 085 and 130
140 K Tillmann et al
Fig 3 Calculated optimum focus I(r) and Φ(r) images of ZnO [112 0] and intensity line profiles extracted along the dumb-bell direction based on a specimen thickness t = 3 nm and a 300 kV instrument characterised by an information limit of a) 100 pm b-d) 80 pm e) 50 pm and f) 30 pm Images displayed in (c) consider residual lens aberrations amounting to w22 = 2 nm (148deg) w33 = 50 nm (343deg) and w31 = 20 nm (339deg) with the magnitudes representing allowed aberration coefficients to fulfill the p4 limit according to [11] and the values in parantheses indicating randomly generated azimuths with the [0001] direction respectively Dd values specify the measurement error of the dumb-bell length d with regard to the actual distance of 1123 pm g) Normalised peak distance d dreal between two columns of equal atomic species in dependence on the normalised instrumental information limit gmax sdot dreal
Progress in Aberration-Corrected HRTEM of Crystalline Solids 141
This behaviour demonstrates that an excess resolution of about 30 per-cent will be necessary to measure column distances correctly from w20opt images with a precision in the order of three per-cent A further increase in excess resolution will be necessary when focusing on column distances between comparatively heavy and light atomic species where the weak signal associated with the latter accounts for additional systematic errors in the determination of column distances
Systematic errors in d values measured from the optimum focus images I(r) further increase significantly when also considering the impact of non-fully compensated higher-order wave aberrations which when assuming reasonable quantities of two- and three-fold astigmatism and axial coma result in an approximate reduplication of Dd values as can be seen from comparison of Figs 3 (b) and (c) As illustrated before a highly efficient strategy to overcome the problems coming along with residual image delocalisation and wave aberrations will be not to focus on single image I(r) but rather on the retrieved phase Φ(r) of the exit-plane wavefunction displayed in Fig 3 (d) which already ensures Dd = 03 pm at information limit of 1 gmax = 80 pm 5 Experimental Results Materials Science Applications of Use The analysis and optimisation techniques described in section 2 have been applied to a fairly wide range of defect structure problems arising in solid-state research In the following we highlight their combined use by discussing three materials science applications related to specific cases 51 Frank Partial Dislocation Cores in Chromium Implanted GaN (1120) As an introductory example of use we report on the structure of partial dislocation cores introduced by chromium implantation into GaN layers [26] which were grown by metal organic chemical vapour deposition on Al2O3 (0001) substrates under silicon doping to a concentration of 2 times 1017 cm-3 Chromium ions were implanted with an energy of 200 kV at a dose of 5 times 1016 cm-2 and a temperature of 350 degC to avoid amorphisation A high density of basal plane stacking faults was observed besides spherical chromium rich precipitates in the surface near regions after implantation and rapid thermal annealing at 700 degC for 5 min in N2 atmosphere [27] A by-product of the implantation and annealing process is the creation of extrinsic and intrinsic basal plane stacking faults which are formed as a result of the precipitation of excess interstitials
The majority of the basal plane stacking faults are extrinsically bound by Frank partial dislocations with Burgers vectors of type b = c2 [0001] Figs 4a and b display an optimum focus micrograph I(r) together with the associated phase image Φ(r) numerically retrieved from a through-focus series of micrographs respectively of a Frank partial dislocation core at the terminating zone of a dislocation loop of several 10 nm in size Gallium and nitrogen columns at a dumb-bell length of 114 pm considerably smaller than the information limit 1 gmax = 125 pm of the employed CM-200-C instrument are not fully resolved Nonetheless the N polarity of the sample is clearly visible from the directly interpretable bright contrast in the phase image The tetrahedral coordination across the faulted layer stacking is however confirmed in the left parts of both images indicating that no foreign chromium-gallium alloy phase is connected with the planar defects
Although the optimum focus micrograph Ι(r) and the phase image Φ(r) appear quite similar at first glance the improved signal-to-noise ratio in the phase image enhances the visibility of the nitrogen positions and the dumb-bell orientation down to the core of the dislocation The phase image Φ(r) strengthens the presence of further nitrogen atoms within the core surrounded by the cage of the five marked gallium columns The faint phase shift in Φ(r) in which non-linear image components and image delocalisation are eliminated indicates a nitrogen-filled core in favour of a chromium- or gallium-rich core
Based on these observations a tentative 57 ring configuration for the core is presented in Fig 4d The nitrogen atoms shared by the 5 and 7 membered rings respectively are coordinated
_
142 K Tillmann et al
Fig 4 Frank partial dislocation with a projected Burgers vector b = c2 [0001] viewed along the [112 0] direction a) Optimum focus micrograph Ι(r) slightly distorted by parasitic wave aberrations amounting to w22 = 27 nm (110deg) w31 = 240 nm (320deg) and w33 = 50 nm (80deg) with the values in parantheses indicating respective azimuth angles inclined with the [112 0] direction b) Phase image Φ(r) retrieved from the focal series of images together with c) the associated numerical phase-plate used for the correction of residual wave aberrations d) Stick-and-ball model of the 57 ring configuration for the core of the Frank partial dislocation [26]
threefold with gallium and nitrogen Due to the wrong bond and the presence of unpaired electrons this structure is not expected to be the most stable configuration Since the phase image Φ(r) is retrieved from a through-focus series taken over a period of a few ten seconds the image conceivably reflects a transient state of the core that gathers further interstitials during observation The w20opt image may therefore contain complementary but not necessarily identical information when compared to Φ(r) Indeed in the present case the w20opt micrograph characterised by an inferior noise level compared to Φ(r) also supplies an alternative structure which contains two wrong Ga-Ga bonds connecting threefold-coordinated gallium atoms at positions 1-5 and 2-3 respectively 52 Lattice Distortions in the Vicinity of Extrinsic Stacking Fault Ribbons in GaAs (110) As a further materials science application we report on locally inhomogeneous distortions of atomic dumb-bells across extrinsic stacking faults in a GaAs capping layer grown by molecular
Progress in Aberration-Corrected HRTEM of Crystalline Solids 143
Fig 5 Inhomogeneous distortion of atomic dumbbells across an extrinsic stacking fault in GaAs (110) a) Retrieved phase image Φ(r) with atomic column positions superimposed and dumb-bell distortions indicated exemplarily in dependence onspecific positions along the [111] direction b) Average projected bond length lsaquodrsaquo and c) misorient-ation angle lsaquodrsaquo of the dumbbells along the [111] direction The lattice planes belonging to the double stacking fault ribbon are indicated in lighter grey colour d) Numerical phase-plate used for the correction of residual wave aberrations during evaluation of the phase image [24]
epitaxy on top of a plastically relaxed In03Ga07As layer Measurements on actual dumb-bell lengths amounting to 141 pm in case of unstrained material when viewed along the [110] direction have been performed on the phase image Φ(r) displayed in Fig 5a which was retrieved from a focal series of N = 30 micrographs taken with a CM-200-C instrument The centre of the phase image is 52 nm left of a 90deg partial dislocation core terminating the faulted double ribbon [24] In order to avoid systematic errors due to dumb-bell distances close to the information limit of the instrument actual lengths and orientations of individual dumb-bells have been measured and normalised to mean values associated with the lower (planes no 0 - 3) and upper (planes no 11 - 13) areas of the Φ(r) image For the reduction of the measurement error 15 data points have been averaged along the [1 1 2] direction and median values are plotted in Figs 5b and c in dependence on specific (111) lattice plane positions
As can be seen from both plots the dumb-bells on either side of the double ribbon rearrange roughly antisymmetric with regard to the faulted (111) planes The dumb-bells of the bottommost lattice plane of the upper domain (plane no 8) are compressed to a length of lsaquodrsaquo = 133 pm plusmn 4 pm and turn towards the double ribbon with a misorientation angle lsaquodrsaquo asymp 26deg plusmn 13deg compared to the reference lattice planes In contrast the uppermost dumb-bells of the lower crystal area (plane
144 K Tillmann et al
no 5) are stretched to a length of lsaquodrsaquo = 150 pm plusmn 4 pm and bend away from the double ribbon at a misorientation angle of lsaquodrsaquo asymp 49deg plusmn 15deg
In order to gauge whether the observed antisymmetric distortions represent a genuine structural property or not potential implications of scattering and imaging artefacts need to be ruled out Since a hypothetical global misalignment of the sample cannot give rise to any local torsion and dilatation of projected atomic dumb-bells we may disenfranchise from this explanation An argument of the same kind holds true of the potential impact of not fully compensated aberrations as they would take effect on the entire image and hence would distort all atomic dumb-bells of the same orientation equally Strictly speaking any potential impact of both on-axial and off-axial aberrations would need to be evaluated separately Beyond electron-optical reasoning a supposed strictly antisymmetric lattice distortion caused by a dedicated combination of higher-order aberrations with the faulted ribbon incidentally acting as the symmetry plane may be ruled out from a probability point of view because of an almost immense number of possible combinations which will not give raise to the observed distortion behaviour
The observed alteration of atomic dumb-bell lengths in the vicinity of stacking fault ribbons of about 10 pm is in fair agreement with recent measurements focusing on intrinsic stacking faults ribbons in heavily beryllium doped GaAs [28] This analysis revealed an average expansion of dumb-bell lengths up to 158 pm in the faulted lattice plane which was explained by the segregation of beryllium dopant atoms and the subsequent formation of antisite defects inside of the plane of the faulted ribbon Additionally lattice displacements around the central stacking fault of Z-shaped dipoles connecting two stair rod dislocations in indium doped GaAs revealed the very same antisymmetric distortion of dumb-bell related contrast dots as were observed in the present analysis Lateral displacements of gallium and arsenic atom pair related contrast dots along the
2]11[ direction were found to be as much as 20 to 50 of the dumb-bell length [29] As the specimen investigated in the present study was undoped we may in the first instance
rule out the aforementioned explanations but only speculate about indium diffusion from the underlying In03Ga07As layer to the GaAs layer along the faulted ribbon at most As long as an inhomogeneous incorporation of indium atoms is not considered this approach thus cannot explain the observed lattice distortions Admittedly the antisymmetric distortions become perspicuous when considering the elastic distortions associated with the 90deg partial dislocations which basically follow the measured characteristics of the dumb-bells measured expansion and torsion but alas yield only alterations of dumb-bell lengths smaller than plusmn 3 pm and misorientation angles smaller than plusmn 04deg for the image area under investigation [30] Hence a more likely explanation would be that the terminating partial dislocation biases the rearrangement of atomic columns in the vicinity of the faulted ribbons and that next but one neighbour interactions between different atomic species will indeed play an important role during this process 53 Structural Reconstruction at 90deg Tilt Boundaries in YBa2Cu3O7-d (100) The quantitative analysis of atomic column positions of light and heavy elements is in particular valuable for the examination of oxide materials since changes in bond lengths between cations and oxygen can have a considerable effect on the electronic properties especially in the vicinity of defects A practical example is the meticulous analysis of the structural reconstruction of a 90deg lang100rang tilt grain boundary in YBa2Cu3O7-δ which gave evidence for local doping and disorder affecting the superconductive property in a few atomic layers around the grain boundary
The prerequisites for the above mentioned displacement analysis with picometre accuracy are the accurate correction of aberrations a good signal-to-noise ratio the extraction and exploitation of the full signal besides a quantification of the residual noise as a means to quantify the statistical measurement error and a refinement by comparison with image simulation in order to avoid systematic errors related eg to the finite frequency transfer of the microscope already discussed in
Progress in Aberration-Corrected HRTEM of Crystalline Solids 145
Fig 6 a) Optimum focus micrograph I(r) and b) phase image Φ(r) retrieved from a focal series of 20 micrographs of a 90deg [100] tilt grain boundary in YBa2Cu3O7-δ viewed along the [100] zone axis Arrows indicate the grain boundary plane The framed area in (b) highlights a single repeat cell in the periodic arrangement of the grain boundary c) Numerical phase-plate used for the a posteriori correction of residual wave aberrations in addition to the defocus and a small negative spherical aberration w22 = 9 nm (165deg) and w31 = 140 nm (10deg) with the azimuth information referring to the image x-axes respectively Phase angles are displayed in modulo π2 representation section 4 The three co-acting strategies of employing a negative spherical aberration set-up a precise measurement and control of wave aberrations and the restoration of the exit plane wavefunction taken together with the simulation of wavefunction data most charmingly meets these prerequisites Details of the procedure are given in [23]
Figures 6a and b display images of the grain boundary viewed along the [100] direction close to optimum defocus w20opt and the corresponding phase Φ(r) of the exit plane wave function retrieved from 20 images in the focal series The symmetry relation between the two domains was exploited for the numerical correction of the parasitic coma w31 in addition to the considerable twofold astigmatism The resulting numerical phase-plate for the tuning of the relevant parasitic aberrations up to w40 is shown in Fig 6c The notable number of π2 phase wraps within the information limit gmax = 8 nm-1 of the microscope emphasises that direct interpretability in the optimum focus micrograph I(r) is not given and that a posteriori correction of aberrations is required in order to exploit the full information provided by the experimental data
Atomic column positions the scattering intensity and their statistical uncertainties were calculated by peak regression in the Φ(r) image owing to the linear relationship between the projected potential and the phase of the exit plane wavefunction for a weak phase object
146 K Tillmann et al
Fig 7 Atom column displace-ments in the retrieved structure of the 90deg [100] tilt grain boundary in YBa2Cu3O7-δ The structure model displays columns in the repeat cell marked in Fig 6b Displace-ments with respect to the position in the bulk structure are indicated by arrows and given in picometres together with the 2 σ confidence intervals for the statistical measurement error Significant displacements are present in the grain boundary plane and the two neighbouring planes Evident is the shift of the O1 atom towards the Cu1 atom in the grain boundary plane
Picometre accurate quantitative data for single atom column displacements could be derived The accordingly measured column displacements with respect to the periodic structure in the two domains are displayed in Fig 7 for a single repeat cell of the periodic grain boundary structure 2 σ confidence intervals of 4 pm were achieved for columns of the cation sub-lattice The weaker scattering signal on the oxygen positions is responsible for the larger 2 σ confidence intervals up to more than 10 pm In order to avoid systematic errors a refinement by comparison with simulated exit plane wavefunction data was conducted when the measured column distances approached the information limit of the microscope
Despite the nearly vanishing over-all lattice mismatch between the domains column displacements occur due to the mismatch between the size of the smaller central perovskite-like block and the b-axis in the unit cell structure of YBa2Cu3O7-δ Displacements are restricted to the grain boundary plane and directly neighbouring planes Elsewhere the bond lengths are in excellent agreement with neutron scattering data for orthorhombic YBa2Cu3O7-δ In particular changes in the bond lengths between the Cu1 atom in the basal plane the O1 atom in the BaO plane and the Cu2 atom in the superconducting CuO2 plane (see Fig 7) are important since the shift of the oxygen atom O1 towards the Cu1 atom signifies a local doping effect and goes along with largely increased static or dynamic disorder on the Cu1 site in the boundary [Error Bookmark not defined] Both factors will change the superconductivity locally in the grain boundary as well as in the neighbouring planes 6 Present Achievements and Challenges for the Future The examples discussed in this overview demonstrate that the resolution power of neoteric high-resolution instruments equipped with an imaging w40 corrector may very well be exploited for the atomic-scale analysis of lattice imperfections and internal boundaries in a variety of crystalline solids Very significant advantages regarding the direct interpretation of retrieved phase images Φ(r) and the enhancement of the signal-to-noise ratio arise from the combination of (i) negative spherical aberration corrected imaging (ii) the ultra-precise measurement of residual
Progress in Aberration-Corrected HRTEM of Crystalline Solids 147
higher-order wave aberrations wij together with (iii) the numerical retrieval of the exit-plane wavefunction When performed in troika all three techniques allow for the elimination of artificial contrast features caused by non-fully compensated wave aberrations wij in a most straightforward manner
Seen from a different perspective there has been recently considerable debate concerning the benefit of aberration correction in HRTEM beyond a beneficial minimisation of image delocalisation as well as an increase of the information limit Truly it would make sense from an ergonomic viewpoint to record directly interpretable high-resolution micrographs to outflank the present imperative to apply numerical post-processing operations e g the retrieval of the exit-plane wavefunction Corresponding technical solutions however realised in detail will at least need to ensure (i) an improved stability of stages allowing an increase of the exposure time to several seconds to measure the signal-to-noise ratio with retrieved phase images (ii) sufficient contrast transfer at low spatial frequencies or as the case may be an additionally impressed phase shift of about p2 between diffracted and transmitted beams thus enhancing contrast (iii) an opportunity to sufficiently minimise residual wave aberrations and especially to maintain long-time stability during operation of the instrument against outside influences and goniometer tilt and shift operations on a sustained basis as well as (iv) a sufficient minimisation of off-axial aberrations at increased resolution in order to prevent contrast variations associated with identical objects residing at different positions with regard to the principal axis of the microscope Acknowledgements
The authors are most grateful to Y Divin A Foumlrster V Guzenko and U Poppe for providing the samples investigated in this study Also the technical assistance of D Meertens and W Sybertz at certain stages of TEM specimen preparation is most appreciated References [1] Hirsch P B Horne W H and Whelan M J 1956 Phil Mag 1 677 [2] Menter J W 1956 Proc Roy Soc A 236 119 [3] Bollmann W 1956 Phys Rev 103 1588 [4] Rose H 1990 Optik 85 19 [5] Haider M Rose H Uhlemann S Schwan E Kabius B and Urban K 1998 Ultramicroscopy 75
53 [6] Tiemeijer P C 1999 Ultramicroscopy 78 53 [7] Kahl F and Rose H 2000 Proc EUREM-2000 Vol 3 eds P Schauer I Muumlllerovaacute and L
Frank (Brno Czek Microscopy Society) pp [8] Su D S Zandbergen H W Tiemeijer P C 2003 Micron 34 235 [9] Lentzen M Jahnen B Jia C L Thust A Tillmann K and Urban K 2002 Ultramicroscopy 92
233 [10] Lentzen M 2006 Microsc Microanal 12 191 [11] Uhlemann S and Haider M 1998 Ultramicroscopy 72 109 [12] Coene W M J Janssen G Op de Beeck M and van Dyck D 1992 Phys Rev Lett 69 3743 [13] Thust A Coene W M J Op de Beeck M and van Dyck D 1996 Ultramicroscopy 64 211 [14] Kirkland A I Saxton O W Chau K L Tsuno K and Kawasaki M 1995 Ultramicroscopy 57
355 [15] Lichte H 1986 Ultramicroscopy 20 293 [16] Lehmann M and Lichte H 2002 Microsc Microanal 8 447 [17] Jia C L Lentzen M and Urban K 2003 Science 299 870
148 K Tillmann et al
[18] Hartel P Muumlller H Uhlemann S and Haider M 2004 Proc EMC-2004 eds N Schryvers and J P Timmermanns (Antwerp Belgian Society for Microscopy) pp IM01P02
[19] Barthel J 2007 PhD Thesis RWTH Aachen University [20] Zemlin F Weiss K Schiske P Kunath W and Herrmann K H 1978 Ultramicroscopy 3 49 [21] Hawkes P and Kapser E 1989 Principles of Electron Optics (London Academic Press) [22] Tillmann K Thust A and Urban K 2004 Microsc Microanal 10 185 [23] Houben L Thust A and Urban K 2006 Ultramicroscopy 106 200 [24] Tillmann K Houben L and Thust A 2006 Phil Mag 86 4589 [25] Kilaas R Paciornik S Schwartz A J and Tanner L E 1994 Journal of Computer-
Assisted Microscopy 6 129 [26] Tillmann K Houben L Thust A and Urban K 2006 J Mater Sci 41 4420 [27] Guzenko V AThillosen N Dahmen A Calarco R Schaumlpers T Houben L Schineller B
Heuken M and Kaluza A 2004 J Appl Phys 96 5663 [28] Kisielowski C Freitag B Xu X Beckmann S P and Chrzan D C 2006 Phil Mag 86 4575 [29] Lim S H Shindo D Yonenaga I Brown P D and Humphreys C J 1998 Phys Rev Lett 81
5350 [30] Hirth J P and Lothe J 1968 Theory of Dislocations (New York McGraw-Hill)
Strain Measurements in SiGe Devices by Aberration-Corrected High Resolution Electron Microscopy F Huumle M J Hyumltch J-M Hartmann1 Y Bogumilowicz2 and A Claverie CEMES-CNRS 29 rue J Marvig 31055 Toulouse France 1 CEA-LETI 17 rue des Martyrs 38054 Grenoble France 2 STMicroelectronics 850 rue Jean Monnet 38921 Crolles Cedex France Summary We measure elastic strains in Si grown on Si1-xGex substrates by aberration-corrected high-resolution transmission electron microscopy (HRTEM) Images are analysed using geometric phase analysis (GPA) We carry out finite element modeling (FEM) of these systems coupled with full atomistic multislice simulations of the deformed structures Comparison of the results from experiment and simulation reveals the high accuracy and reliability which can be obtained Strain mapping with HRTEM benefits from the high signal-to-noise ratio of aberration-corrected images In addition images can be obtained for thicker crystals which limits thin film relaxation effects and facilitates specimen preparation 1 Introduction For the rapid miniaturisation of electronics imposed by Moorersquos law to continue one of the important aims for the international roadmap for semiconductors is to develop strained silicon for metal oxide semiconductor field-effect transistors (MOSFETs) [1] One route for introducing strain is the epitaxial growth of silicon on Si1-xGex virtual substrates [2] Straining silicon improves the mobility of carriers electrons (nMOS) or holes (pMOS) in the channel between source and drain in MOSFET transistors and performance can be significantly enhanced for similar power consumption Measuring strain accurately at the nanoscale is therefore an important objective
High-resolution transmission electron microscopy combined with geometric phase analysis (GPA) appears highly suitable for mapping strain in SiSiGe heterostructures [34] Objective lens distortions [5] artefacts introduced by the bending of atomic columns [6] and optical distortions due to the projector [7] have all been previously investigated Experimental results obtained by GPA will be compared with finite element modelling coupled with full atomistic image simulations to see the impact of thin foil relaxation on strain measurements 2 Experimental Details Specimens are Si layers grown at 700degC by RPCVD on polished (001) virtual substrates The Si layers have thicknesses from 10 to 40 nm and virtual substrates (VS) relaxed Si1-xGex deposited at 900degC upon Si wafer with compositions of x = 20 30 40 and 50 Cross-sectional TEM samples were prepared by tripod polishing followed by ion milling (PIPS) at 3keV to specimen thicknesses of about 30 nm Specimens were also prepared by tripod polishing to thicknesses of about 10-20 nm and by focussed ion beam (FIB) to thicknesses of about 80 nm Here we only present results for the PIPS prepared samples Observations were performed on the SACTEM-Toulouse a Tecnai F20 ST (FEI) fitted with an objective-lens aberration corrector (CEOS) Images were acquired at [110] orientation on a 2k CCD cameras (Gatan) and analysed using GPA Phase software [8] Deformation of the silicon layer was measured with respect to the virtual substrate lattice Finite element
150 F Huumle et al
modelling was carried out using COMSOL Multiphysics and multislice image simulations with JEMS software [9] 3 Analysis Modelling and Simulation Figure 1 shows the procedure followed to measure εzz strain in the [001] growth direction first we acquire the HRTEM image then we calculate the Fourier transform and finally by placing a mask around (111) and (1-11) periodicities in Fourier space we extract the strain in the chosen direction Strain in this paper refers to the deformation as calculated relative to the VS lattice parameter and not the Si bulk Mechanical strain can be obtained by subtracting the relative misfit
To answer the question concerning thin-foil relaxation we have carried out modeling with the finite element method (FEM) Figure 2 shows the deformation of the elastically relaxed foil These FEM simulations which are more commonly used for macroscopic problems may be applied to our case since elastic theory has shown to be valid at the nanoscale [10] Our 3D simulations were simplified to a 2D study by considering the x-direction parallel to the layers to be infinite
Fig 2 Modelling of thin-foil relaxation with 3D FEM simulation of a TEM specimen 10 nm Si layer on Si60Ge40 JEMS simulation calculated from a supercell with displaced atoms
Fig 1 (a) HREM image of a Si layer deposited by RPCVD on (001) Si60Ge40 (b) Fourier transform used for calculating phase images by placing a mask around the periodicity of interest here (111) and (1-11) (c) εzz On the right different examples of GPA treatment on three different specimens
z
y x
(c)
(b)
10 nm
(a)
z
x
Strain Measurements in SiGe Devices by Aberration-Corrected HREM 151
To study the effect of the microscope we have simulated images corresponding to our strained layers Starting with a 2D FEM simulation of our specimen we extract values of the displacement of each atom and create a supercell with accurate atoms positions and finally HRTEM images are evaluated applying multislice calculations with JEMS (Fig 2) 4 Results and Discussion As the Si lattice parameter is always smaller than that of the virtual substrate in the absence of misfit dislocations the layer remains in biaxial tensile stress and as a result is in compression in the growth direction Figure 3 shows the results (square) measured by GPA for different VS compositions simulated results from FEM modelling (triangle) and theoretical results assuming plane strain conditions (ie an infinitely thick foil) and plane stress conditions (infinitely thin)
The measured values differ from the theoretical plane strain value because of thin foil relaxation This relaxation is different with PIPS tripod or FIB preparations because of differing specimen thicknesses and amorphous layers Assuming a specimen thickness of 30 nm for the PIPS prepared specimen we performed 3-dimensional FEM simulations of a Si layer on an SiGe VS Modeling was done for different VS composition and different Si layer thickness (not shown) The average projected deformation across the thickness of the simulation is our estimate for the deformation measured by HRTEM The simulated relaxation can be seen to agree well with the measured values Further modeling where the specimen thickness was varied showed that the thin film relaxation modifies the results only by 01 in the range of 20-40 nm specimen thickness
For completeness we have also simulated HRTEM images for one particular VS composition Figure 4 compares experimental and simulated GPA The perpendicular strain measured in both cases are the same -23 By taking a cross section (Fig 4c) in the 3D FEM simulation from which JEMS was performed (Fig 4b) we can see the average value along the Si layer is the same -227 It can be concluded that for these conditions JEMS coupled with GPA is not a necessary step to simulate thin foil relaxation Only FEM simulation is necessary to know precisely the strain in the Si layer However compositional variations close to the interface require image simulations due to the presence of artifacts even for aberration-corrected HRTEM
Fig 3 Variation of εzz as a function of the VS composition
Ge
-4
-35
-3
-25
-2
-15
-1
-05
010 20 30 40 50
perp
endi
cula
r st
rain
( V
S)
plane stressexperimentsFEMplane strain
152 F Huumle et al
Fig 4 Strain analysis in growth direction measured deformation maps and profiles from a) experiment b) simulation c) cross-section taken from FEM simulations
5 Conclusions We have shown that strain can be measured reliably to 02 in strained Si layers Measurement relies on careful sample preparation and finite element modeling Image simulations show that the the average strain measured in layers by HRTEM agrees closely with the average projected strain in the sample HRTEM of relatively thick samples in our case 30 nm significantly improves the accuracy of results as the thin film relaxation becomes relatively insensitive to the exact thickness There are therefore two advantages in using an aberration-corrected microscope thicker specimens can be studied and the higher signal-to-noise ratio improves precision [11] References 1 Deleonibus S 2006 Eur Phys J Appl Phys 36 197 2 Hartmann J M Bogumilowicz Y Holliger P et al 2004 Semiconductor Sci and Tech 19 311 3 Hyumltch M J Snoeck E and Kilaas R 1998 Ultramicroscopy 74 131 4 Cherkashin N Hyumltch M J Snoeck E Huumle F Hartmann J-M Bogumilowicz Y and Claverie A
2006 Nuclear Instruments and Methods in Physics Research B 253 145 5 Hyumltch M J and Palmann T 2001 Ultramicroscopy 87 199 6 Tillmann K Lentzen M and Rosenfeld R 2000 Ultramicroscopy 83 111 7 Huumle F Johnson C L Lartigue-Korinek S Wang G Buseck P R and Hyumltch M J 2005 J Elect
Microsc 54 181 8 GPA Phase a plug-in for DigitalMicrograph (Gatan) available from HREMResearch Inc
httpwwwhremresearchcom 9 JEMS P Stadelmann httpcimewwwepflchpeopleStadelmannjemsWebSitejemshtml 10 Hyumltch M J Putaux J L and Peacutenisson J M 2003 Nature 423 270 11 Hyumltch M J and Houdellier F 2007 Microelect Eng 84 460
(S)TEM Characterisation of InAsMgOCo Multilayers D A Eustace D W McComb L Buckle1 P Buckle1 T Ashley1 L J Singh2 Z H Barber2 A M Gilbertson3 W R Branford3 S K Clowes3 and L F Cohen3
Dept of Materials Imperial College London London SW7 2AZ 1 Quantum Electronics and Sensor Technology Qinetiq PLC Malvern WR14 3PS 2 Dept of Materials Science and Metallurgy University of Cambridge Cambridge CB2 3QZ 3 Dept of Physics Blackett Laboratory Imperial College London London SW7 2AZ Summary The structural integrity composition and interface quality of tunnel barriers in hybrid ferromagnet-semiconductor structures will have a significant effect on the properties of spintronic devices We present the results of a study of an amorphous MgO tunnel barrier in a CoMgOInAs multilayer structure Optimum growth parameters for MgO and surface treatment of InAs have been identified by a systematic electron microscopy investigation Electron energy loss spectroscopy has been used to obtain information on composition and interface quality in the multilayer structures 1 Introduction The emerging research field of semiconductor spintronics seeks to utilise electron spin in a new generation of electronic devices [1] The efficient injection and detection of a spin polarised current into semiconducting materials could lead to the fabrication of spintronic devices such as the spin field effect transistor [2] and could have important implications for future magnetic storage media and quantum computing
Narrow gap semiconductors (NGS) are of interest as future spintronic devices due to their high electron mobility and strong spin-orbit coupling [3] The injection of a spin polarised current from a ferromagnet (FM) into a NGS is a challenging issue due to the conductivity mismatch between the two materials [4] Spin injection may be achieved in such systems through the use of a tunnel or Schottky barrier which provides a spin preserving interfacial resistance [5]
However the presence of space-charge or inversion layers in some NGS materials such as InAs and InSb has the effect of lowering the spin independent resistivity of the NGS at the NGSFM interface This raises the possibility of spin injection without the need for a Schottky or tunnel barrier and indeed calculations have shown that spin injection may be achieved in an InAsFe system [6] However sufficient spin injection efficiency could only be achieved with a high quality epitaxial NGSFM interface with a high degree of symmetry The difficulty of preparing such interfaces means that the use of a tunnel or Schottky barrier will be beneficial in hybrid NGSFM structures regardless of electronic requirements
Efficient spin injection has recently been demonstrated from CoFe into GaAs using an MgO(100) tunnel barrier [7] Spin injection has also been demonstrated from Fe into GaAs using a tunnel barrier created by selectively doping the GaAs surface layers [8] and from NiFe into an InAs quantum well structure using a barrier created by growing an oxide on the InAs surface during growth [9] The structural integrity of such barriers will be critical to future device performance In addition abrupt interfaces between the component layers are necessary to minimize spin flip scattering Despite this the use of transmission electron microscopy (TEM) as a tool to aid the development and optimisation of such barriers is not widespread In this paper we report the optimisation of an amorphous MgO tunnel barrier in a CoMgOInAs multilayer structure The optimal growth parameters and InAs surface treatment have been identified through a systematic high resolution (HR) TEM study In addition an analysis of the abruptness and
154 D A Eustace et al
composition of the interfaces within the structure has been carried out using electron energy loss spectroscopy (EELS) in a scanning transmission electron microscope (STEM) 2 Experiment and Instrumentation 1microm doped InAs epilayers have been grown on semi-insulating GaAs(001) substrate by molecular beam epitaxy The carrier concentration and mobility were 116times1017 cm-3 and 14 m2Vs at 300K respectively All samples were degreased in acetone and isopropanol for 4 minutes each then nitrogen dried
Full details of the InAs surface treatments investigated and their characterisation by atomic force microscopy (AFM) will be published elsewhere [10] Briefly all samples were degreased as described above then surface etched with 185 HCl for 30 seconds The surface was then treated using ammonium sulphide (NH4)2S in what was termed a single etch procedure A double etch treatment which repeated the HCl etch and ammonium sulphide stages was also investigated
The MgO barrier was grown by reactive sputter deposition from an Mg target in an Ar-30O2 mixture aiming at a barrier width of between 1 nm and 2 nm The effect of depositing the MgO at two different substrate temperatures (200degC and 100degC) was investigated A 20nm FM Co layer was then sputter deposited at room temperature
The quality of the as-grown MgO barriers has been investigated by bright field HRTEM using a JEOL2010 TEM fitted with a LaB6 filament and operating at 200kV Further HRTEM and STEM imaging and EELS analysis has been carried out using an FEI-Titan FEG-(S)TEM operating at 300kV using an extraction voltage of 4 kV The full width half maximum of the EELS zero loss peak (ZLP) was 08eV and the probe diameter used was ~1nm 3 Results 31 HRTEM Samples which had received only a degreasing treatment showed an amorphous region ~5nm wide at the InAsMgO interface This amorphous region was attributed to a native oxide layer on the InAs and was never observed on samples which had received a surface etch treatment Figure 1 illustrates
5 nm5 nm
CoMgO InAs
31nm5nm5 nm5 nm
CoMgO InAs
31nm5nm5 nm5 nm
17nm5nm
InAs
CoMgO
5 nm5 nm
17nm5nm
InAs
CoMgO
Fig 1 Bright field HRTEM images of cross sections of InAsMgOCo showing a) an unoptimised barrier grown after a single etch InAs surface treatment at an MgO deposition temperature of 200degC and b) an optimised barrier grown after a double etch InAs treatment using a deposition temperature of 100degC
(b)(a)
(S)TEM Characterisation of InAsMgOCo Multilayers 155
the improvement obtained by optimisation of the original growth process In Fig 1a the InAs surface received only a single etch treatment and the MgO tunnel barrier was deposited at 200degC AFM analysis showed that the single etch treatment resulted in the appearance of relatively large features (~13nm) on the InAs surface These features and the relatively high MgO deposition temperature results in an uneven barrier width and a rough InAsMgO interface The double etch treatment removed the large features observed on the InAs surface by AFM and resulted in a root mean square (rms) InAs surface roughness of 054nm In addition reduction of the MgO growth temperature to 100degC significantly improved the abruptness of the InAsMgO interface as shown in Fig 1b The width of the MgO barrier was found to be extremely uniform over large (~5 microm) distances
Further HRTEM imaging reveals more information on the nature of the tunnel barrier The MgO is predominantly amorphous although small (~3-4nm) areas of crystallinity have been observed (Fig 2a) Although the barrier has been observed as continuous over long distances raised features on the InAs surface result in small breakages (~1nm) in the barrier layer in some regions as shown in Fig 2b AFM confirms that such raised features are infrequent with an average spacing of approximately 100-150nm 32 STEM-EELS The sample used in the STEM-EELS study is the same as that shown in Fig 1b Figure 3(a) shows a high angle angular dark field (HAADF) image of the InAsMgOCo multilayer structure collected using STEM Figure 3b shows a bright field image recorded simultaneously using an on-axis detector Figure 3c shows a low loss EELS profile over an energy range from 5eV to 45eV The bulk plasmon resonances from all three materials in the multilayer structure are observed in this energy range Spectra have been recorded at 05nm intervals along the 10nm line trace shown in Fig 3a All spectra have been calibrated with respect to the ZLP and deconvoluted to remove multiple scattering effects
The first 8 spectra from the line profile from 0nm to 4nm clearly show the InAs plasmon peak at 138eV This peak decreases in intensity at the onset of the MgO tunnel barrier The MgO plasmon at 224eV and the InAs plasmon appear to overlap in the next two spectra over a distance of 1nm The MgO plasmon is then observed over the next 4 spectra for a distance of 2nm A relatively sharp decrease in MgO plasmon intensity coincides with a peak shift over a 1nm distance to the cobalt plasmon energy at 26eV This preliminary data indicates that the MgO tunnel barrier has been grown with clean abrupt InAsMgO and MgOCo interfaces with no evidence for a reaction layer or native oxide between the InAs and MgO
5 nm5 nm 5 nm5 nm
(a) (b)
InAs MgO
Co Co
MgO InAs
Fig 2 HRTEM images of InAsMgOCo structures showing a) partial crystallinity in the MgO layer and b) a non-continuous MgO layer with an InAs surface roughness of 2-3 lattice planes
156 D A Eustace et al
4 Conclusions An optimum InAs surface treatment and MgO deposition temperature for the growth of an InAsMgOCo multilayer structure with a uniform MgO tunnel barrier and clean abrupt InAsMgO and MgOCo interfaces has been identified through a systematic TEM study Further characterization using STEM-EELS confirms the abruptness of the interfaces and shows no evidence for a reaction layer or native oxide between the InAs and MgO
Future work will involve further STEM-EELS analysis of the above structure using an excited monochromator system in the FEI-Titan (S)TEM which will improve the EELS energy resolution to lt02eV This will allow a more detailed analysis of the structure and composition of the InAsMgO and MgOCo interfaces References 1 Ziese M and Thornton M J 2001 Spin Electronics Springer-Verlag New York 2 Datta S and Das B 1990 Appl Phys Lett 56 665 3 Ashley T Dean A B Elliott C T Pryce G J Johnson A D and Willis H 1995 Appl Phys Lett
66 481 4 Schmidt G et al 2000 Phys Rev B 62 R4790 5 Rashba E I 2000 Phys Rev B 62 R16267 6 Zwierzycki M et al 2003 Phys Rev B 67 092401 7 Wang R et al 2005 Appl Phys Lett 86 052901 8 Lou X H Adelmann C Crooker S A Garlid E S Zhang J Reddy K S M Flexner S D
Palmstrom C J and Crowell P A 2007 Nature Phys 3 197 9 Koo H C Yi H Ko J B Chang J Han S H Jung D Huh S G and Eom J 2007 Appl Phys Lett
90 022101 10 Singh L J et al 2007 J Phys D Appl Phys 40 3190
Fig 3 a) STEM HAADF image of InAsMgOCo showing 10nm line trace b) STEM bright field image recorded simultaneously and c) Low loss EELS spectra recorded every 05nm along line trace running from right to left (from InAs to Co) with the zero loss peak
010
2030
4050
6070
8090
510
1520
2530
3540
45
Distance along line profile (nm)
Energy loss (eV) 010
2030
4050
6070
8090
510
1520
2530
3540
450
1020
3040
5060
7080
90
510
1520
2530
3540
45
Distance along line profile (nm)
Energy loss (eV)
2 nm 2 nm2 nm 2 nm
(a) (b)
(c)
Co MgO Co InAs InAs MgO
line trace
InAs
Co
Core Composition of Partial Dislocations in N-Doped 4H-SiC Determined by TEM Techniques Dislocation Core Reconstruction and Image Contrast Analysis Michaeumll Texier Maryse Lancin Gabrielle Regula and Bernard Pichaud TECSEN UMR 6122 CNRS Universiteacute Paul Ceacutezanne Aix-Marseille III 13397 Marseille cedex 20 France Summary Defects were created in N-doped 4H-SiC by cantilever bending from a scratch on the ( )0211 surface under compression They consist of two stacking faults (double stacking faults) expanding from the scratch in ]0011[ or ]1001[ directions The character and core composition of the leading Shockley partial dislocations were determined by coupling WB LACBED contrast analysis of ( )0211 HRTEM images and dislocation core reconstructions Each double stacking fault is due to the glide of a pair of identical Si-core partial dislocations in two adjacent glide planes in which the Si-C dumbbells exhibit the same orientation Such a feature as well as the asymmetrical expansion of the defects is related to lack of mobility of C-core partial dislocations in that range of temperatures (550 degC-700 degC) 1 Introduction Silicon carbide which is both a ceramic and a wide band gap semiconductor holds promise as a material for power electronics high frequency and high temperature applications It thus receives considerable attention both from the point of view of applied and fundamental science One major point of concern remains the velocity versus the core composition of the Shockley partial dislocations (PDs) Indeed most of the experiments demonstrate the highest velocity of Si-core PDs [1-6] whereas a few others show similar mobility for Si-core and C-core PDs [78] Moreover first principles calculations give lowest activation energies of migration for the C-core PDs [910] To contribute to the debate we studied the dislocation dynamics in SiC We applied a deformation procedure which allows the introduction of dislocations under controlled stresses We selected N-doped 4H-SiC because being the most promising for applications it is available in crystals of high crystalline perfection and controlled impurity content This paper deals with the characterization of the created defects by combining various transmission electron microscopy (TEM) techniques 2 Experimental Details The 20 x 5 x 02 mm3 samples were cut in N-doped (2 x 1018 cm-3) 4H-SiC purchased from Cree research The sample surface was parallel to the ( )0211 plane and the ( )0001 glide planes made a 45deg angle with the tension compression axis (X) (Fig 1) The samples were scratched on their ( )0211 face in a direction parallel to X bent at room temperature around the Y axis and annealed in the brittle regime either at 550 degC or 700 degC for 30 or 1 minute respectively The emergence on the surface of planar defects parallel to the ( )0001 plane was revealed by etching with molten KOH ( )0211 Thinned foils were prepared by mechanical grinding and ion thinning of the back side of the samples Thinned (0001) films were realized at the tip of the etched defects by focus ion beam (FIB) milling Weak-beam (WB) dark-field imaging was performed at 200 kV with a FEI Tecnai G20 microscope large angle convergent beam electron diffraction (LACBED) analyses were carried out with a JEOL
158 Michaeumll Texier et al
2010F microscope operating at 198 kV and HRTEM imaging with a 400 kV JEOL 4000EX microscope
Fig 1 Schematic ( )0001 thinned foils prepared by FIB at the tip of planar defects
Fig 2 WB dark-field image obtained on a ( )0001 FIB foil at planar defect tip revealing a partial dislocation pair
3 Results Planar defects were created in the sample annealed in the brittle regime under compressive stress Based on their length and expansion direction three families of defects were distinguished and labeled A B and C [11] In the P1 = ]1001[ direction A expanded versus the stress and might reach millimeters while B never exceeded 200 microm whatever the stress In the P2 = ]0011[ direction the C length decreased as a function of the stress from about 400 microm to almost zero
At the tip of all defects WB imaging always revealed not one but two PDs (Fig 2) Both PDs in one pair had almost parallel lines (L) close to one Peierls Valley the orientation of which depended on A B or C Moreover LACBED demonstrated that in each pair both PDs had the same Burgers vectors line direction and modulus (Fig 3) The dislocation pairs were characterized (Fig 4) using the gb=m relation [12] derived from the Cherns amp Prestonrsquos rules [13] m is the non-integer value deduced from the expression m = plusmn (p + β2π) where β is the positive phase shift produced by the trailed staking fault (β = α if α gt 0 or β = α + 2π if α lt 0) Finally the three families of planar defects were dragged by PD pairs which exhibited the following characteristics for A defects L = ]1021[ b = a3 ]0011[ for B defects L = ]2011[ b = a3 ]0110[ and for C defects L = ]0112[ b = a3 ]1001[ Note that all the PDs had a 30deg character near the sample surface
Fig 3 Experimental LACBED pattern showing the Bragg line splitting due to the PD and the DSF
Fig 4 Splitting rules used to determine the Burgers vector of two PDs for which the phase shift produced by the DSF in the basal plane is α = 0 2π3 or -2π3
Core Composition of PDs in N-Doped 4H-SiC 159
The stacking faults created by the PD glide were investigated by HRTEM on ( )0211 thinned-films All of them consisted of six cubic Si-C bi-layers showing one or the other of the two possible stackings that we named a-3C and b-3C (Fig 5) These stackings are defined in the ( )0211 plane due to the P63mc structure of 4H-SiC Such stackings could only be obtained if the two PDs glide in two consecutive glide planes labelled G1G2 and G3G4 in which the Si-C dumbbells have the same orientation In the literature such six cubic bi-layers are called double stacking faults (DSF) thus we hereafter label the defects DSFA DSFB and DSFC It is noteworthy that the a-3C stacking was observed in DSFAs and the b-3C one in DSFBs and DSFCS
Fig 5 Cubic stackings labeled a-3C and b-3C which are due to the glide of a PD pair in G1G2 or G3G4 glide planes respectively In the [ ]0211 image the white dots correspond to the projections of Si and C atomic columns which are 0109 nm apart and cannot be distinguished The locations of Si and C (large and small circles respectively) are deduced from the respective positions of the white dots when the dumbbell orientation changes [14]
The core composition of the PDs was obtained by core reconstruction We first localized the
projections of Si and C atomic columns by analysing of the image contrast [14] We then reconstructed the PD core using the structural unit characteristic of a 30deg PD first proposed in Si [15] and observed in SiC [16]
Fig 6 Core reconstruction of a 30deg PD pair dragging a DSFB in G3G4 The Burgers circuit is drawn according the FSRH convention It gives the projection of b measured by LACBED
Fig 7 Core composition of a faulted half loop with b = a3 [ ]0110
160 Michaeumll Texier et al
Figure 6 displays the reconstruction for the 30deg PD pair dragging a DSFB in the P1 direction A column of Si trivalent atoms linking the three faulted circles the PD has a Si-core Using the same method we found that the three types of PD pairs dragging the three populations of DSFS had a silicon core
To understand the asymmetric propagation of the DSFs either in P1 or P2 we draw the possible faulted half loops based on the b determination by LACBED and the core reconstructions Figure 7 shows such a loop corresponding to a DSFB Note that the loop exhibits a C-core segment in the P2 direction 4 Discussion
The deformation procedure of 4H-SiC has created DSFS dragged by Si-core Shockley PDs Leading C-core partials were never identified The lack of expansion of the DSFB in the P2 direction implies that the C-core segment is immobile during the deformation The same conclusion is reached with DSFA and DSFB Moreover the immobility of C-core partials at such temperatures is responsible for the systematic formation of pairs of identical PDs despite the repulsive forces between them as detailed elsewhere [17] Hence our results contradict the calculations which found a highest velocity for the C-core PDs [1011] They confirm those obtained by Pirouz and co-workers [2] in the brittle regime as long as PD mobility is concerned They differ from those of Mussi et al who found both Si-core and C-core leading PDs at 550degC [8] The discrepancy between experimental results may be related to the different nucleation process and deformation conditions We are carrying out studies to answer this question 5 Conclusion The determination of the core composition of the partial dislocations needs to match complementary TEM techniques with dislocation core reconstructions The results confirm that C-core partial dislocations are immobile at such temperatures in agreement with most of the experimental work References 1 Ning X J and Pirouz P 1996 J Mat Res 11 884 2 Ning X J Huvey N and Pirouz P 1997 J Am Ceram Soc 801645 3 Samant A V Zhou W L and Pirouz P 1998 Phys Stat Sol(a) 166 155 4 Demenet J-L Hong M H and Pirouz P 2000 Mat Sci Forum 338-342 517 5 Samant A V Hong M H and Pirouz P 2000 Phys Stat Sol (b) 22275 6 Pirouz P Demenet J-L and Hong M H 2001 Phil Mag A 81 1207 7 Chung H J Liu J Q and Skowronski M 2002 App Phys Lett 81 3759 8 Mussi A Rabier J Thilly L and Demenet J-L Phys Stat Sol (c) to be published 2007 9 Blumeneau AT Fall C J Jones R Oumlberg S Frauenheim T and Bridon P R 2003 Phys Rev B
68 174108 10 Savini G Heggie M I and Oumlberg S 2006 Faraday Discuss 11 Regula G Lancin M Idrissi H Pichaud B and Douin J 2005 Phil Mag Lett 85 259-267 12 Texier M Regula G Lancin M and Pichaud B 2006 Phil Mag Lett 86(9) 529 13 Cherns D and Preston A R 1986 proc 11th ICEM Kyoto Jpn Soc Elect Micr 1 721 14 Ragaru C Lancin M and Godon G 1999 Eur Phys J AP 5 135 15 Marklung S 1979 Phys Stat Sol(b) 92 83 16 Lancin M Ragaru C and Godon C 2001 Phil Mag B 81 1633 17 Lancin M Regula G Texier M Idrissi H Douin J and Pichaud B 2007 Phil Mag submitted
Three-Dimensional Atom Probe Characterisation of III-Nitride Quantum Well Structures Mark J Galtrey Rachel A Oliver Menno J Kappers Colin J Humphreys Debbie J Stokes1 Peter H Clifton2 and Alfred Cerezo3 Department of Materials Science and Metallurgy University of Cambridge Pembroke Street Cambridge CB2 3QZ UK 1 Department of Physics University of Cambridge Madingley Road Cambridge CB3 0HE UK 2 Oxford nanoScience 4-6 Carters Lane Kiln Farm Milton Keynes MK11 3ER UK 3 Department of Materials University of Oxford Parks Road Oxford OX1 3PH UK Summary An InxGa1-xNGaN multiple quantum well (MQW) structure that exhibited bright photoluminescence was examined with the three dimensional atom probe The quantum wells were clearly imaged and the indium fraction x measured to be 019 plusmn 001 was in good agreement with X-ray diffraction measurements The distribution of indium in the MQWs was analysed no evidence for either high indium concentration regions or indium clustering was found in contrast with transmission electron microscopy studies in the literature We conclude that indium clustering is not necessary for bright luminescence in InGaN 1 Introduction Optoelectronic devices based on InxGa1-xN quantum well (QW) structures can emit bright light over a range of visible wavelengths The GaN pseudo-substrates on which the InxGa1-xN is usually deposited have high threading dislocation (TD) densities (up to 109 cm-2) but bright luminescence still occurs This is despite the fact that these TDs are believed to act as non-radiative recombination centres for carriers There is strong evidence [1] that excitons are localised at specific positions in the quantum wells where there are local potential minima and so are very likely to recombine radiatively before they would be able to diffuse to a dislocation Theoretical work [2] has predicted that unstrained InxGa1-xN could be unstable with respect to spinodal decomposition under typical growth conditions If nanometre-scale variations in the indium content of the QW were present they would result in local potential fluctuations and so such variations have often been proposed as a cause of exciton localization
Examination of InxGa1-xN QWs by high resolution transmission electron microscopy (HRTEM) has shown local deviations of the lattice fringes and strong contrast variations This has been interpreted as strain contrast arising from local variations in indium content Using Vegardrsquos Law it is possible to quantify the compositions associated with this contrast and the results have led authors [3] to propose regions in the QWs with x gt 075 or even pure InN clusters [4]
Smeeton et al [5] demonstrated that this contrast increases markedly with increasing exposure time to a 200kV electron beam in the TEM and is present only at very low levels for short (~20 second) electron beam exposure times This suggests that the strain contrast observed in many HRTEM images is at least to a large extent a consequence of exposure to the electron beam and is not due to the presence of high indium content regions in the original specimen
As a result of this problem with electron beam damage in the TEM it would be highly desirable to be able to examine InxGa1-xN QWs on a nanometre scale without exposure to high energy electrons It would also be highly beneficial to obtain direct three-dimensional
162 Mark J Galtrey et al
information about the atomic positions to avoid the projection problem from which conventional TEM imaging suffers In addition an ideal technique would chemically identify each atom detected to give direct three-dimensional compositional information on a nanometre scale
The three-dimensional atom probe (3DAP) has been shown to provide three-dimensional nanometre-scale information about composition variations in ternary III-V semiconductor multilayer structures [6] and so is an ideal technique to identify whether indium rich clusters are present in InxGa1-xN QWs The 3DAP applies a high DC electric field to the tip of a pre-sharpened sample combined with repeated high-frequency pulses to generate field evaporation of individual atoms from this tip Voltage pulses are used for analysing metal samples but for less conductive materials as in this work laser pulses are used By combining time-of-flight mass spectrometry the x-y position at which ions strike a detector and their sequence of arrival it is possible to reconstruct a three-dimensional atom map of the sample including the chemical identity of each detected atom Collection rates of greater than one million atoms per minute are possible for GaN-based materials 2 Experimental An InxGa1-xNGaN multiple quantum well (MQW) sample similar to those studied in HRTEM by Smeeton et al was grown by metal-organic vapour phase epitaxy in a Thomas Swan close-coupled showerhead reactor on a GaN pseudo-substrate consisting of ca 6 microm of GaN grown on c-plane (0001) sapphire at 1020 degC following deposition of a 30 nm GaN buffer at 540 degC Trimethylindium (TMI) trimethygallium (TMG) and ammonia were used as precursors For the growth of the quantum well stack N2 was used as a carrier gas The QWs and barriers were grown at a single temperature of 740 degC and during QW growth the molar flows of TMI and TMG were 8 micromolminute and 55 micromolminute respectively The VIII ratio during InxGa1-xN growth was ~33000 No GaN cap was grown above the final GaN barrier layer
The luminescence of the wafer was measured using room-temperature photoluminescence (PL) and the composition and thickness of both the InxGa1-xN QW layers and the GaN layers were determined by high resolution x-ray diffraction (HRXRD) following the method of Vickers et al [7] The QWs were also examined using TEM (Phillips CM30 dark field g = 0002) and the evolution of contrast with increasing exposure to the electron beam was observed
Needle-shaped 3DAP specimens (with tip radius lt 100 nm and aspect ratio gt 101) were prepared from the wafer using an FEI DualBeam Quanta 3D combined focused ion beam miller (FIB) and scanning electron microscope (SEM) fitted with an in-situ Kleindiek micromanipulator
The atom probe used for this study was a commercial Oxford nanoScience Ltd 3DAP instrument fitted with a prototype laser module (pulse duration ca 450 fs and λ = 515nm) Field-ion microscopy (FIM) was performed in a Ne atmosphere at 3 times 10-5 Torr 3DAP analysis was performed in a vacuum of le 5 times 10-11 Torr The base specimen temperature was approximately 65 K for both field-ion microscopy and atom probe analysis
Fig 1 QWs (left) after very little exposure to the electron beam and (right) the same region after approx 60 seconds exposure to the electron beam
Three-Dimensional Atom Probe Characterisation of III-Nitride QW Structures 163
3 Results and Discussion 31 Basic Sample Characterization The XRD data was analyzed by comparing data collected in both a high resolution and open detector configuration with simulated data from a model of the multilayer structure The layer thicknesses and compositions in the model were optimized to fit the experimental data In this way the sample was determined to consist of GaN layers of thickness 695 plusmn 010 nm and InxGa1-xN layers of thickness 238 plusmn 010 nm and indium fraction x = 0183 plusmn 0005
In the PL experiments the wafer was seen to emit bright blue light There was some inhomogeneity in emission wavelength between different regions of the wafer but in the area from which the atom probe sample was subsequently made the peak emission wavelength was 454 nm
On examination of a cross-sectional TEM sample made from the wafer the 10 QWs were clearly visible After 60 seconds of exposure to the electron beam a greatly increased amount of strain contrast developed giving the QWs the appearance of containing indium-rich clusters (Fig 1) 32 FIB-Based Sample Preparation for Atom Probe Samples When preparing the atom probe samples in the FIBSEM precautions must be taken to protect the samples It was essential to avoid exposure of the QW region to high energy electrons Consequently all SEM imaging was performed at 5 kV and exposure times and currents were minimized In addition it was necessary to avoid Ga implantation especially as it would not be possible to distinguish between Ga originally present in the GaN and that implanted from the ion beam Therefore the top surface of the wafer was protected by a ~30 nm sputter-deposited gold layer and additionally in the region of interest by ~500 nm of platinum deposited with the ion beam in the FIB
The sampling depth of the 3DAP can be as little as 100 nm due to progressive blunting of the sample during field evaporation so it was necessary to ensure that the QW region was within 100 nm of the apex of the sharpened needle To ensure this the back-scattered electron detector was used in the SEM to give clear contrast between the Pt and Au deposited layers and the GaN so that the annular milling could be stopped just as the last of the Pt and Au was removed leaving the QWs at the sample apex The final tip radius of the sample was ~50 nm with a shank length of ~2 microm Final annular milling was performed at 10 pA to minimize Ga implantation The method is summarised in Fig 2 33 Atom Probe Data From the atom probe data it was possible to reconstruct a small volume of the sample approximately 20 x 20 x 40 nm (Fig 3) Four of the QWs were clearly visible with the indium
Fig 2 Clockwise from top left lifting out a thin membrane from the wafer using a micromanipulator transferring a portion of the membrane on to a mounting wire a sample ready for sharpening by annular ion beam milling a finished sample
164 Mark J Galtrey et al
levels in the barrier layers being around the noise level of the instrument The indium content of the QWs was measured to be x = 019 plusmn 001 showing good agreement with the HRXRD value
The distribution of indium within the QWs was analysed and compared to that expected in a random ternary alloy No part of any QW was found to have an indium content of x gt 033 A χ2 test gave a value of 122 for 11 degrees of freedom which shows no significant deviation from the random case There is no evidence for indium-rich clusters in this sample which also emits bright light and so it appears that indium-rich clusters are not essential for bright luminescence 4 Conclusions The 3DAP provides a reliable and accurate method for examining GaN-based QW structures on a nanometre scale It has significant advantages over conventional TEM imaging in that it provides direct three-dimensional information about both the position and the chemical identity of the atoms in the sample It also avoids exposure of the sample to high energy electrons which in the case of InxGa1-xN QWs has been shown to cause significant damage to the sample As a result of these advantages we have been able to show that indium-rich clusters are not required for bright luminescence in these structures References 1 Graham D M Soltani-Vala A Dawson P Godfrey M J Smeeton T M Barnard J S Kappers M J
Humphreys C J and Thrush E J 2005 J Appl Phys 97 103508 2 Ho I H and Stringfellow G B 1996 Appl Phys Lett 69 2701 3 Gerthsen D Hahn E Neubauer B Rosenauer A Schon O Heuken M and Rizzi A 2000 phys stat sol
(a) 177 145 4 Ruterana P Kret S Vivet A Maciejewski G and Dluzewski P 2002 J Appl Phys 91 8979 5 Smeeton T M Humphreys C J Barnard J S and Kappers M J 2003 phys stat sol (b) 240 297 6 Liddle J A Mackenzie R A D Grovenor C R M and Cerezo A 1989 Inst Phys Conf Ser 100 81 7 Vickers M E Kappers M J Smeeton T M Thrush E J Barnard J S and Humphreys C J 2003 J Appl
Phys 94 1565
Fig 3 Atom map of a reconstructed volume with only the indium atoms shown Four of the QWs are clearly visible The growth direction is from right to left in the figure
Novel Method for the Measurement of STEM Specimen Thickness by HAADF Imaging V Grillo and E Carlino Laboratorio Nazionale TASC INFM-CNR Area Science Park SS 14 Km 1635 34012 Trieste Italy Summary The accurate measurement of chemistry of epitaxial layers by high angle annular dark field imaging requires knowledge of the scanning transmission electron microscopy specimen thickness Here it is shown how the study of the intensity of the Fourier coefficients of high angle annular dark field images as a function of the objective lens defocus can be used to measure the specimen thickness with the highest accuracy in the location of the area of interest 1 Introduction The sensitivity of high angle annular dark field (HAADF) scanning transmission electron microscopy (STEM) imaging to the specimen chemistry [1][2] makes it a powerful tool for analytical application at the highest spatial resolution One of the fields of particular interest in which this approach can be applied is in the study of the chemical profile of a species buried in a host matrix or in the study of the composition of a film grown on a substrate or in the study of the chemistry of an interface between two materials [3] For example a method recently proposed uses the HAADF intensity measured in the region of interest and on the relevant substrate coupled with computer simulation of the HAADF image contrast as a function of the composition to derive the chemistry in the unknown region with atomic resolution [4] Unfortunately the HAADF intensity in the region of interest and in the reference region does not change in the same way as a function of the specimen thickness and can produce large errors in the chemistry determination There are several methods to measure the TEM specimen thickness based for example on the measurement of the spacing between the parallel fringes in the diffracted disc of a two beam-condition convergent beam electron diffraction (CBED) pattern [5] or by using the electron energy loss spectrum (EELS) as acquired in the region of interest [6] or by the projection method In general the method chosen depends on the thickness of the specimen to be measured and it is of course necessary to check with accuracy that the region where the thickness is measured is precisely coincident with the zone where the chemistry has to be studied Hence it would be highly desirable to have a method able to quantify the sample thickness from the HAADF image itself In a recent publication a new method to measure the objective lens defocus during a STEM HAADF experiment has been reported [7] The method is based on the study of the behaviour of the Fourier coefficients of an atomic resolution HAADF through focal series of images Here it will be shown by HAADF image simulations and experiments how the behaviour of the Fourier coefficients of HAADF image series as a function of the objective lens defocus can be also used to measure the relevant specimen thickness The proposed method has been studied versus important experimental parameters like illumination system astigmatism local sample tilt and degree of centring of the illumination aperture In an accurate HAADF experiment with illumination astigmatism better than 2nm the method provides an accuracy of 2nm in specimen thickness measurement
166 V Grillo and E Carlino
2 Experimental STEM experiments were performed in a JEOL 2010F equipped with field emission gun and STEM attachment with HAADF detector The use of an objective pole piece with a measured spherical aberration coefficient Cs = (047plusmn001) mm and an accelerating voltage of 200kV gives a resolution of 0126 nm in HAADF imaging The experiments were performed on a specimen of self organized InAs quantum dots grown on GaAs (001) by molecular beam epitaxy [8] thinned to electron transparency by mechanical grinding and final ion beam milling following a well established procedure The presence of the quantum dots was very useful to identify with high accuracy the region where the specimen thickness was measured by the projection method and by the new method here proposed based experimentally on the acquisition of a series of HAADF images as a function of the objective lens defocus All the HAADF images were obtained with an illumination convergence angle of 14mrad and detector collection angle of 84 le 2ϑ le 224mrad The experimental defocus was evaluated by the analysis of the ronchigram and a series of images were acquired starting from the estimated gaussian defocus to an under-focus of 120nm The intensity I in a HAADF image can be written as I = O otimes P 2 where O is the object function and P2 is the electron probe Hence each Fourier coefficient C(k) of the image can be written as )()(~)( kHkOkC sdot=
being )(~ kO and )(kH the relevant Fourier transform coefficients of O and P 2 While )(~ kO is in principle independent of defocus the dependence of )(kH on defocus can be numerically calculated for a suitable image periodicity This property has been used to evaluate the defocus of the objective lens from the HAADF experimental images by fitting the experimental value of )(kC measured on every image with the simulated value of )(kH and finding the experimental defocus value with an accuracy within 2nm The ratio of the maxima of )(kC is a function of the specimen thickness (Fig 1) The dependence of the ratio of the maxima of )(kC can hence be used to measure the specimen thickness The computer simulations for )(kH were performed according to the experimental conditions by using STEM_CELL code [9] 3 Results and Discussion Figure 1a shows the Clt111gt Fourier coefficients simulations for GaAs in lt110gt zone axis as a function of the objective lens defocus for four STEM specimen thicknesses It should be noted how the ratio ldquoRrdquo between second maximum and first maximum depends on the thickness t R has been calculated for a larger interval of specimen thickness and the results are reported in Fig 1b The monotonic dependence of R vs thickness has been also observed for other materials systems Hence the knowledge of R(t) could be used to derive the specimen thickness from the experiments by measuring the Clt111gt as a function of the objective lens defocus
Fig 1 a) Plot of the Clt111gt Fourier coefficients simulations for GaAs in lt110gt zone axis as a function of the objective lens defocus for four specimen thicknesses b) Dependence of R vs thickness according to simulations
Novel Method for the Measurement of STEM Specimen Thickness by HAADF Imaging 167
Unfortunately R(t) is also influenced by the experimental conditions and in particular by the objective lens astigmatism The role of the probe astigmatism is particularly subtle as it depends on the size of the astigmatism and also on the angle φ formed by the astigmatism axis and the considered frequency in the experimental image in the case studied here the lt111gt direction let us define this angle as the lsquoastigmatism anglersquo It is worth nothing that in the atomic resolution HAADF image of GaAs in lt110gt zone axis there are two equivalent lt111gt directions as this aspect can be used as an internal check of the accuracy of the experimental measurements as described below Figure 2a is a map of the Clt111gt for GaAs as a function of the lens defocus and astigmatism angle for electron probe astigmatism of 10nm The higher the intensity is in Fig 2a the higher will be the value of the Clt111gt in the image The line profile parallel to the x-axis represents the Clt111gt as a function of defocus for a particular direction in the image and hence for a given astigmatism angle As an example in the Fig 2a two profiles are shown for φ = 45oand φ = 90o At angles of 0deg and 90deg the illumination astigmatism determines a rigid shift of the curve 2a to higher or lower defoci (for comparison the abscissa of the maximum value for stigmatic focus is indicated by a dash line) Using these curves for the thickness determination would not affect the final result However for an astigmatism angle of 45deg (bottom curve) the curve of Fourier coefficients vs defocus appears considerably deformed an additional peak appears at 38nm from the main maximum where a minimum would be expected In this case the thickness determination procedure would fail dramatically For reliable measurements the astigmatism value should be of the order of 2nm In this case the error in the thickness determination would be ~1nm or 2nm depending on specimen thickness
Fig 2 a) Map of the Clt111gt for GaAs as a function of the lens defocus and astigmatism angle φ for
electron probe astigmatism of 10nm Two representative profiles are shown for φ = 45o and φ = 90o b) Plot of the influence of the size of the illumination aperture on R
Figure 2b shows the influence of the size of the illumination aperture on R The value of
α =14mrad as used in the experiments is a good choice for thickness evaluation since it increases the visibility of the secondary peak It should be pointed out that the experimental illumination angle has to be measured with high accuracy as an uncertainty of plusmn 04 mrad in α produces a thickness measurement between 96 and 123 nm for a nominally 10nm thick sample Another experimental parameter to be accurately measured is the spread of focus which influences the probe simulation The effect of spread of focus is not only to broaden Fourier coefficient vs defocus curve but also to decrease the secondary peak intensity with respect to the main maximum A spread of focus of ∆ = 3nm introduces a systematic decrease of the secondary maximum intensity Additional parameters like objective aperture misalignment and sample tilt have been also considered In particular it is important to align the illumination aperture correctly a shift δα =12mrad can produce a decreases of the secondary maximum of 50 in the direction of shift However in the absence of other misalignment effects this aperture shift can be revealed by comparing the value of R between the two lt111gt directions in the experimental image The effect of the correctness of the sample tilt can have significant influence for errors in the alignment of the zone axis with respect to the direction of the electron beam larger than 2mrad and hence the appropriate accuracy has to be used during the experiments
168 V Grillo and E Carlino
Fig 3 Results of the thickness measurements by HAADF imaging (y-axis) compared with the projection method (x-axis) The dotted line corresponds to the case of exact coincidence of the two measurements The inset shows the through focal measurement and the fitting
Figure 3 shows the results of the thickness measurements by HAADF imaging (y-axis) in
comparison with the projection method (x-axis) Figure 3a points also to the influence of the illumination astigmatism on the accuracy of the present method in the STEM thickness measurements In fact in three cases indicated by thick squares 10nm of astigmatism in the HAADF experimental images produce measurements with errors comparable with the projection methods If the experimental conditions are accurately tuned as in the case shown in the inset with an illumination astigmatism better than 2nm the relevant datum marked by the ring in Fig 3a indicates how the present method allow a small uncertainty of about 2 nm in the specimen thickness measurement 4 Conclusions The analysis of the Fourier coefficients of a HAADF through focal image series can be used to evaluate the specimen thickness with good accuracy The sources of errors have been analysed indicating as most critical the presence of probe astigmatism the uncertainty in the illumination angle and the illumination aperture centring Nevertheless if the experiment is performed with accuracy the method has the advantage of giving accurate thickness measurement with the highest accuracy in the location of the zone of interest The specimen thickness measurement by this method allows an increase of accuracy in the chemistry assessment based on HAADF image contrast measurement References [1] Pennycook S J 2002 Advances in Imaging and Electron Physics 123 Academic Press New
York [2] Voyles P M Grazul J L and Muller D A 2003 Ultramicroscopy 96 251 [3] Colli A Carlino E Pelucchi E Grillo V and Franciosi A 2004 J Appl Phys 96 2592 [4] Carlino E Grillo V 2005 Phys Rev B 71 235303 [5] Kelly P M Jostsons A Blake R G and Napier J G 1975 Phys Stat Sol A31 771 [6] Williams D B and Carter C B 1996 ldquoTransmission Electron Microscopyrdquo Plenum Press NY 678 [7] Grillo V and Carlino E 2006 Ultramicroscopy 106 603 [8] Bais G Crisofoli A Jabeen F Piccin M Carlino E Rubini S Martelli F and Franciosi A 2005
Appl Phys Lett 86 233107 and note 10 therein [9] Grillo V Verecchia P Rosato V and Carlino E 2005 Proceedings MCEM VII Portoroze
(Slovenia) 163
STEMSIMndasha New Software Tool for Simulation of STEM HAADF Z-Contrast Imaging A Rosenauer and M Schowalter Institut fuumlr Festkoumlrperphysik Universitaumlt Bremen Otto-Hahn Allee 1 28359 Bremen Germany Summary Z-contrast high-angle annular dark field image intensities were computed for ZnS and InSb We compare results obtained by the frozen phonon approximation with a thermal diffuse scattering (TDS) absorptive potential method We find that the TDS absorptive potential approach in the local approximation deviates by less than 4 from the frozen phonon approximation Finally we suggest a method to use absorptive potentials in the local approximation for computing the contribution from TDS to the image intensity in conventional parallel illumination mode
1 Introduction Scanning transmission electron microscopy (STEM) using a high-angle annular dark field (HAADF) detector allows chemically sensitive imaging Although the integrated intensity on the detector depends on the mean atomic number Z of atomic columns illuminated by a focussed probe this intensity shows a non-trivial dependence on specimen thickness and orientation due to dynamical electron diffraction Therefore quantitative interpretation of Z-contrast images requires comparison with simulations To this end we programmed a MATLAB software tool for simulation of Z-contrast images using Weickenmeier-Kohl absorptive scattering amplitudes [1] We implemented the frozen phonon (FP) approximation which has the highest accuracy as it approaches the exact solution if a large number of atomic thermal displacement configurations are used [2] Additionally we included the TDS absorptive potential method suggested by Allen et al [3] and Ishizuka [4] The TDS-absorptive potential is defined by [34]
( ) ( ) ( ) ( ) ( )[ ]( )intsum minus+minusminuslowastminusminus
minussdotπ minusΩσ
=detector
2MM-M-M-i2 deeee~ 2
n2
n2
n2
n ShSgShghSgS
hgrh-ggh
nn
nffV n
(1)
where n counts the atoms with positions rn within the crystal unit cell σ is the interaction constant Ω is the volume of the crystal unit cell g and h are reciprocal lattice vectors 222 nn uM π= is the Debye-
Waller factor which is connected with the atomic displacement un and nfg is the scattering factor of
atom n In the local approximation it is assumed that LVVV ghghgh minusminus =asymp ~~~0 [3] The local approximation
is especially useful in connection with the multislice (MS) method Using the TDS absorptive potential in real space given by [ ]LL VFTV hRhR ~)( 1minus
rarr= where R is a coordinate vector in the exit plane of slice m
with thickness ∆z the TDS intensity stemming from slice m is given as [34] RRR 2
m slice of plane centre
L d)()(Vint∆σ= BmTDS IzI
(2)
where IB(R) is the Bragg-scattered intensity on the centre plane of slice m The total intensity on the detector is obtained by summing up the contributions from all the slices The fastest computation can be carried out with the Bloch-wave (BW) approach because the integration along the electron beam direction z can be calculated analytically In this case one obtains [3]
[ ]( )
αγminusγπ
minusγminusγπασ= sumsumsumsum lowastlowast
lowast
lowast
g hgghh l
Tmm
ml
ml
l mlTDS CVC
itiI
~)(2
12exp (3)
170 A Rosenauer and M Schowalter
Fig 1 a) TDS absorptive potential for ZnS for a specimen thickness of 1224 nm given in units of V The dashed rectangle marks the STEM-unit cell with its upper left corner centered on a Zn atomic row b)Amplitude of the object exit wave function for a tunnel position as marked by the white arrow in a) The total intensity of the STEM-probe is one
In equation (3) t is the specimen thickness lα is the excitation amplitude of the l-th Bloch wave lγ is the corresponding anpassung and the lC g are the Bloch-wave coefficients The Bloch wave approach has the advantage that both local and non-local approximations for the TDS absorptive potential can be used 2 Z-Contrast HAADF Computations for ZnS and InSb To compare results obtained with different approximations we performed calculations for ZnS and InSb viewed along the [1-10] direction In both cases the acceleration voltage was 200 kV the spherical aberration constant of the lens was CS = 005 mm the aperture radius was 9 nm-1 and the defocus was -1293 nm ZnS was simulated with a lattice parameter a0=05409 nm and square root mean thermal displacements of 001166 nm for Zn and 001082 nm for S For InSb we used a0=064794 nm and mean thermal displacements obtained from density functional theory computations of 001253 nm (In) and 001164 nm (Sb) In the MS simulations the slice thickness was 20a Supercells consisting of 9x7 (ZnS) and 7x5 (InSb) STEM unit cells were used For the Bloch-wave computations reflections up to a spatial frequency of 33 nm-1 (ZnS) and 30 nm-1 (InSb) were taken into account For the FP method 20 configurations were averaged Figure 1a shows VL(R) for ZnS and Fig 1b the STEM-probe in the exit plane of the ZnS crystal with a thickness of 1224 nm Figures 2a and b compare intensities on the detector obtained for a probe put on the centre of the STEM unit cell The curves show that the local approximations using MS and BW yield almost identical results To obtain good convergence a large number of pixels are required for FP Figure 2a shows that a resolution of 54x74 pixels per STEM unit cell yields significantly larger intensities than 114x146 pixels The converged FP intensities are in very good agreement with MS or BW in the local approximation the intensities obtained by the non-local approximation (BW) are slightly larger For the MS approach the results are independent of the pixel resolution as long as the requirements given by the size of the detector are fulfilled Figures 2cd show the angle distribution of the intensity on the detector For MS contributions from Bragg scattering and TDS scattering can be separated revealing that the contribution from Bragg scattering becomes negligible for angles larger than 40 mrad Comparison of the total intensity with the results from FP shows a small deviation In the FP approximation multiple scattering of TDS electrons is fully taken into account leading to a redistribution of the intensity However this has only a small influence on the integrated intensity on the detector as becomes obvious from Figs 2ab Figures 2ef give line scans performed along the left vertical border of the STEM unit cell Due to long computation times only the FP result for the smaller resolution is given in Fig 2e
a) b)
STEMSIMndasha New Software Tool for Simulation of STEM HAADF Z-Contrast Imaging 171
Fig 2 a) Intensity on the detector vs specimen thickness for ZnS for the probe position in the center of the STEM-unit cell shown in Fig 1a The detector covered an area of 40-80 mrad The different curves correspond to the frozen phonon approximation (FP) the Bloch wave method in local (BW-AP local) and non-local (BW-AP non-local) approximations and the multislice (MS-AP local) method using TDS absorptive potentials The FP computations were performed with two different sizes of the STEM unit cell 54x74 pixels (FP 54x74) and 114x146 pixels (FP 114x146) b) shows the corresponding result for InSb For c) and d) the detector covering 40-150 mrad was subdivided into 20 rings and the intensity of each of the rings is plotted vs its inner angle for ZnS c) and InSb d) The curves show the total intensity obtained with frozen phonon (FP) or multislice using TDS-absorptive potentials (MS-AP total) together with the contributions from only Bragg scattering (MS-AP Bragg) or only TDS-scattering (MS-AP TDS) e) and f) depict line scans along the left vertical border of the STEM-unit cell shown in Fig 1a
172 A Rosenauer and M Schowalter
3 An Approximation to Compute TDS-Intensities for Parallel Illumination As the local approximation shows very good agreement with the FP approach we tried to use it to compute the TDS contribution to the image intensity in the parallel illumination mode of conventional transmission electron microscopy An exact solution which is computationally much more demanding has been given by Wang [2] Figure 3a shows the TDS intensity in the object exit plane at a specimen thickness of 20 nm obtained for InSb by the FP method by computing 500 wave functions corresponding to different thermal displacement configurations excluding Bragg reflections from the Fourier-transformed wave functions inverse Fourier transform and finally summing the resulting TDS intensities Fourier coefficient amplitudes of the total intensity are shown as dark curves in Fig 3d These Fourier coefficients significantly deviate from coefficients computed by a conventional MS approach where the TDS intensity is lost and cannot contribute to the total intensity shown in Fig 3c In our approach we apply the MS method and use the TDS scattered intensity generated at position R in the exit surface of slice m as given by the integrand in equation (2) A point source with intensity one is put at position R which is assumed incoherent with respect to all other point sources generating TDS intensity The image intensity )( RRG at position Rrsquo in the image plane generated by the point source in R is computed with the MS method The TDS intensity in the object exit plane at position Rrsquo then is given by
sum int=
∆=M
mBTDS GIzI
1
2
m slice of plane centre
L d)()()(V)( RRRRRR σ (4)
The resulting TDS-intensity for InSb is shown in Fig 3b which is in very good agreement with the TDS-intensity obtained by the FP method Fourier components of the total image intensity obtained by adding Bragg-scattered and TDS intensities are depicted as grey colored curves in Fig 3d The curves are in good agreement with the FP approximation and thus yield a significant improvement of the conventional MS approach References 1 Weickenmeier A and Kohl H 1991 Acta Cryst A47 590 2 Wang Z L 2003 Micron 34 141 3 Allen L J Findlay S D Oxley M P and Rossouw C J 2003 Ultramicroscopy 96 47 4 Ishizuka K 2002 Ultramicroscopy 90 71
Fig 3 a) TDS intensity in the object exit plane computed with the FP method as explained in the text b) TDS intensity computed by our local TDS-absorptive potential approach c) Fourier components of the image intensity obtained with the MS approach without taking into account TDS intensity d) Fourier components of the image intensity obtained by the FP method in comparison with our local TDS-absorptive potential approach
On the Role of Specimen Thickness in Chemistry Quantification by HAADF V Grillo E Carlino G Ciasca1 M De Seta1 and C Ferrari2 Laboratorio Nazionale TASC INFM-CNR Area Science Park SS 14 KM1635 34012 Trieste Italy 1 Dipartimento di Fisica Universitagrave Roma Trersquorsquo via della Vasca Navale 84 00146 Roma Italy 2 IMEM CNR Parco area delle scienze 37A 43010 LocFontanini Parma Italy Summary We present a study of the influence of specimen thickness on the accurate quantification of specimen chemistry by scanning transmission electron microscopy and high angle annular dark field imaging It has been observed that the influence of uncertainty in specimen thickness produces errors in the chemical quantification which depend on the material system under study The work was performed by comparing experiments and simulations for different materials systems 1 Introduction Scanning transmission electron microscopy (STEM) high angle annular dark field (HAADF) imaging allows us to derive detailed structural information in a direct and intuitive manner [1] Furthermore the high sensitivity of HAADF image contrast to the average Z-number of the specimen atomic columns has allowed the use of this methodology to study the presence of single atoms of dopants in a crystal structure [2] or to derive the chemical profile of buried layers in a host matrix [3] The sensitivity of HAADF to the specimen chemistry makes it a powerful tool to measure the chemistry of materials at the highest spatial resolution by quantifying the contrast of atomic resolution images of the specimen oriented along a low index zone axis This feature is of particular interest when applied to the study of the composition of a film grown on a substrate by epitaxial techniques It should be pointed out that to achieve this result it is necessary to understand in detail the subtle role of the STEM specimen thickness on the HAADF image contrast as a function of the sample chemistry and for a given specimen orientation For example it has been recently demonstrated that in the case of the GaAsSi system with [110] zone axis the image contrast has a relatively weak and linear dependence on specimen thickness and the uncertainty on the specimen thickness can produce a maximum relative error of 6 in the evaluation of alloy composition Here it will be shown by HAADF experiments and simulations how the lack of knowledge on the specimen thickness can produce for some alloys severe errors in the chemistry measurement It will be also discussed why the specimen structure and chemistry influence the shape of the law of variation of the HAADF contrast as a function of the sample thickness For these aims SiGe multilayers grown on SiGe on insulator (SGoI) (001) substrate and InGaAs alloy grown on GaAs (001) have been studied in detail 2 Experimental Ten multiple quantum well (MQW) samples of Si080Ge020Si were grown by means of the ultra high vacuum chemical vapour deposition technique onto [001]-oriented Si080Ge020-on-insulator substrates provided by SOITEC [4] Si and Ge concentration were measured by X-ray photoemission spectroscopy (XPS) and X-ray diffraction (XRD) with an accuracy of 1 [5]
174 V Grillo et al
Three QW samples of InGaAs with In concentrations is of (5plusmn1) (12plusmn1) and (24plusmn1) respectively were grown by molecular beam epitaxy (MBE) on GaAs (001) [6] InGaAs compositions were measured by a combination of photoluminescence (PL) and XRD
The specimens for TEM and STEM experiments have been prepared in lt110gt cross section geometry by mechanical grinding and ion milling following a well established procedure The relevant experiments have been performed by using a JEOL 2010F equipped with field emission gun and objective lens with a measured spherical aberration coefficient Cs = (047plusmn001) mm capable of a resolution in HAADF of 0126 nm All the HAADF images were acquired by using an illumination convergence angle of 14mrad and a detector collection angle of 84 le 2ϑ le 224mrad HAADF images in lt110gt zone axis were acquired for different STEM specimen thicknesses Sample thicknesses were measured both by projections methods [7] and by comparing the simulated dependence of the HAADF image intensity on buffer thickness with the intensity measured on the experimental images
HAADF images were simulated by the multi-slice method in the frozen phonons framework [8] according to the experimental conditions for both structures in a range of thicknesses from 04 to 40 nm for SiGe and from 04 to 100nm for the InGaAs The role of the tetragonal distortion in the films was calculated and considered in the simulations For an accurate sampling in both real and reciprocal space 1024x1024 pixel potentials and an artificial 5x4 unit cell were used To tackle the large amount of calculations we used a parallel code named STEM_CELL specially designed for the HAADF image simulations [9] capable of reducing the computing time by orders of magnitude with respect to standard serial calculation Bloch Waves calculations were performed by JEMS [10] 3 Results Figure 1 shows two experimental images of the series acquired as a function of the STEM sample thickness along with the relevant intensity profile 1a) refers to SiGeSi MQW whereas 1b) refers to InGaAs The image intensity measured on SiGe ( Ialloy ) has been divided by the intensity measured on the relevant Si layer considered as reference layer ( Iref ) In a similar way the intensity measured on the InGaAs ( Ialloy ) layers has been divided by the intensity of the relevant GaAs ( Iref ) layer
Fig 1 Experimental HAADF images a) SiGe b) InGaAs along with the relevant intensity profile
Let C = Ialloy Iref The values of C have been calculated for all the experimental and simulated images and are reported in the curves in Fig 2 Dotted curves for SiGe in Fig 2a are the C values as
On the Role of Specimen Thickness in Chemistry Quantification by HAADF 175
Fig 2 Experimental (solid lines) and simulated (dotted lines) C values as a function of the sample thickness for a) SiGe and b) InGaAs
derived from the calculations whereas the solid squares represent the intensity measured on the experimental HAADF images The same criteria were used for InGaAs in Fig 2b 4 Discussion It should be noted that the simulated and the experimental values have a very good agreement as concerns the functional shape but are rigidly shifted along the y-axes This effect is more evident for the SiGe and for the InGaAs with 25 of In content Some recent results [11] obtained in our laboratory indicate how most of the disagreement observed in the absolute concentration measured by HAADF with respect to XRD and PL could be due to the static displacement in the alloy The curves in Fig 2a vary with monotonic behaviour in the considered thickness range whereas oscillations with periodicity of about 55 nm are observed for InGaAs with In = 25 and are due to dynamical interactions of the Bloch waves excited in the materials by the primary beam [12] In particular the JEMS calculations show that dynamical interactions occurred among the most excited block waves that in all the cases here considered are two 1s and one 5p type [13] It should be pointed out that the behaviour reported in Fig 2 is due to the ratio C = Ialloy Iref as the intensities simulated for GaAs and InGaAs separately do not show comparable features as demonstrated in Fig 3
Fig 3 Simulated HAADF intensities calculated by multi-slice method for InGaAs alloy (In = 25) and GaAs in the lt110gt zone axis
It is also worthwhile remarking that the presence of the oscillation in Fig 2 can produce a large error in InGaAs (∆x x = 25) and SiGe ( ∆x x = 22) whereas the uncertainty in the case of GaAsSi (∆x x = 6) is relatively small as the
oscillations are so smooth that they can be considered with a good approximation to be constant Other authors also reported the differences in the contrast variation as a function of the specimen thickness In particular a quasi-linear behaviour was observed in GaAsAl [14] whereas an oscillatory behaviour was observed in InAsP [15] In the latter case the oscillations of the HAADF image intensity as a function of the thickness were simulated by considering the interaction of two 1s and one 5p Block states the same mostly excited in our case study It is evident as a result of the
176 V Grillo et al
reported work that a reliable chemistry measurement by HAADF imaging does require a reliable knowledge of the STEM specimen thickness 5 Conclusions STEM HAADF imaging coupled to the relevant computer simulations is a powerful tool to measure at high spatial resolution the chemistry of heterostructures buried layers interfaces etc in a wide range of thicknesses of the STEM specimen The thickness itself is an important parameter to be considered for reliable and accurate chemistry measurements In fact the dynamical interactions not negligible for relatively thick specimens could introduce strong oscillations in the intensity ratios measured in two close regions of the sample as a function of the specimen thickness The presence of these intensity oscillations without a reliable knowledge of the STEM specimen thickness can produce a large error in the relevant chemistry measurements The comparisons of simulations and experiments performed here indicate a very good agreement between the behaviour of calculated and measured data even if a systematic discrepancy of the chemistry measurements by HAADF with respect to the chemistry evaluation by XRD and PL have been observed for SiGe and InGaAs with an In content of 25 Some preliminary calculations indicate that such a discrepancy could be due to the presence of static displacements in the considered alloy Acknowledgements The authors wish to thank Fausto Martelli Silvia Rubini Giorgio Bais Laura Lazzarini Giovanni Capellini and Francesca Rossi for providing the specimens References [1] Pennycook S J 2002 Advances in Imaging and Electron Physics 123 Academic Press New
York [2] Kaiser U Muller D A Grazul J L Chuvilin A and Kowasaki M 2002 Nature Materials 1 102 [3] Carlino E and Grillo V 2005 Phys Rev B 71 235303 [4] Notargiacomo A Di Gaspare L Scappucci G Mariottini G Evangelisti F Giovine E and
Leoni R 2003 Appl Phys Lett 83 302 [5] Bocchi C Franchi S Germini F Baraldi A Magnanini R De Salvador D Berti M and Drigo
A V 1999 J Appl Phys 86 1298 [6] Rubini S Bais G Cristofoli A Piccin M Duca R Nacci C Modesti S Carlino E Martelli F
Franciosi A Bisognin G De Salvaddor D Sciavuta P Berti M and Drigo A V 2006 Appl Phys Lett 88 141923
[7] Williams D B and Carter C B 1996 Transmission Electron Microscopy Plenum Press New York 630
[8] Kirkland E J 1998 Advanced Computing in Electron Microscopy Plenum Press New York [9] Grillo V and Carlino E 2005 Proceedings of MCM VII Portoroz 163 [10] Stadelmann P A 1987 Ultramicroscopy 21 131 [11] Grillo V and Carlino E to be submitted to Phys Rev Lett [12] Metherell A J F 1976 Diffraction of electrons by perfect crystals in Electron Microscopy in
Materials Science Ed U Valdregrave and E Ruedl ndash EUR 5515e Brussels and Luxemburg [13] Buxton B F Loveluck J E and Steeds J W 1978 Phil Mag A 38 259 [14] Anderson S C Birkland C R Anstis G R and Cockayne D J H 1997 Ultramicroscopy 60 83 [15] Liu C P Preston A R Boothroyd C B and Humphreys C J 1999 J Microsc 194 171
Accurate and Fast Multislice Simulations of HAADF Image Contrast by Parallel Computing E Carlino V Grillo and P Palazzari1 TASC-INFM-CNR National Lab Area Science Park SS 14 Km 1635 34012 Trieste Italy 1 ENEA and Ylichron Srl Casaccia Research Centre Computing and Modelling Unit Via
Anguillarese 301 00123 S Maria di Galeria (Roma) Italy Summary A new method for fast and accurate multislice calculation in the frozen-phonon approximation for high angle annular dark field scanning transmission electron microscopy images is presented The improvement with respect to the existing approaches is in a strong reduction of the time necessary for image contrast simulation without losing accuracy The method is based on the development of optimized parallel computer codes for the multislice calculations in the frozen-phonon approximation 1 Introduction Transmission electron microscopy (TEM) has been widely used in the study of solids at the highest spatial resolution Computer simulations of TEM experimental results have been often used to understand and to quantify the information contained in images and spectra In particular phase contrast high resolution TEM (HRTEM) benefits from the development of fast and accurate simulation routines allowing one to understand the complex structure of the relevant interference fringes due to the dynamical interactions of several Bloch states excited in the specimen by the primary electrons A further direct approach to the imaging of the structure of a specimen at atomic resolution is given by scanning transmission electron microscopy (STEM) high angle annular dark field (HAADF) imaging [1] STEM HAADF imaging gives a true structural image of the specimen and the positions of the atomic columns in the specimen projected on the observation plane can be easily and intuitively interpreted by looking at the typical bright spots of the atomic resolution HAADF image Furthermore contrary to HRTEM the main features of the HAADF image are maintained in a large range of variation of the thickness of the STEM specimen ranging from a few nm to hundreds of nm One of the substantial differences between HRTEM and HAADF imaging is due to the mainly incoherent nature of the image formation process of the latter The lack of coherent effects in the image allows one to establish the position of an interface in a material with the highest spatial resolution by a proper set up of the HAADF experiment [2] Furthermore the intensity in the HAADF image is strongly related to the atomic number of the species contained in the specimen allowing one to distinguish between two different atomic columns with different average atomic number For this reason HAADF imaging is also known as Z-contrast imaging The sensitivity to the chemistry allows one to derive at atomic resolution the distribution of secondary species in a host matrix by properly setting the experimental HAADF conditions [3]
Despite the capability of HAADF to give important information in a direct and intuitive way quantitative important details of the specimen like for example the bond configuration at an interface [4 5] or the quantitative chemical profiling at atomic resolution [6] can also be obtained but requires accurate simulation of both Bragg reflections and incoherent thermal diffuse scattering (TDS) in the crystal The importance of the TDS is recognized also in HRTEM image formation [7 8] but has a definitive influence in the HAADF image formation as most of the contribution to the image intensity is due to the further interaction of the Bragg-scattered electrons
178 E Carlino V Grillo and P Palazzari
with the phonons of the specimen In fact the electrons scattered at high angles in the crystal have a larger cross section for scattering by phonons [9] Atomic resolution HAADF image contrast can be simulated by Bloch-wave [10] methods or multislice approaches [11] The Bloch-wave based methods are relatively fast and represent a good tool to understand basic and subtle details of the physics of the interactions involved in the HAADF image formation process TDS is not naturally included in the calculation based on Bloch-wave approaches even though several authors have developed Bloch-wave methods which try to take into account the effect of TDS [12 13 14] Furthermore Bloch-wave methods are not convenient whenever a non-periodic object is to be considered as in the case of the description of a defect or an interface in the material In this case and in the case of HRTEM image simulation the use of multislice methods has been recognized as more effective TDS effects have been introduced into multislice calculations by several authors [15 16 17] and recently a new method for calculating HAADF image contrast by real-space multislice theory has been presented Nevertheless STEM HAADF image contrast simulation by multislice with the ldquofrozen-phononrdquo model proved to be the most accurate simulation method [18] Unfortunately the latter approach is extremely time-consuming and image simulation for models with several atoms as in the case of large supercells or realistic STEM specimen thicknesses becomes practically not feasible as it could require hundreds of hours of computing time Indeed calculation of the image contrast by the multislice method in the frozen-phonon approximation has a structure which is naturally parallel and here it will be shown how the multislice codes of Kirkland [19] can be modified and written for parallel computing reducing the time necessary for accurate and reliable HAADF image simulation by orders of magnitude 2 Experimental The multislice approach in the ldquofrozen-phononrdquo model is based on the assumption that the high-energy electrons are so fast that each electron sees a snapshot of the atomic thermal movement The calculated intensity is therefore the incoherent superposition of the images formed for each atomic configuration in the range of atomic positions given by the Debye-Waller factors According to test simulations and in agreement with data in the literature [20] 20 configurations are necessary to converge to a precision better than 2 in simulating HAADF image contrast As a consequence multislice simulations have to be repeated for each atomic configuration and for each point of the image Hence as the sample thickness reaches realistic values for a comparison with the experiments or the sampling requirements become more stringent to obtain good accuracy in the simulations the computing time tends to become very large of the order of hundreds of hours This problem can be overcome by noting that the structure of HAADF image simulation using the multislice method in the ldquofrozen-phononrsquo approach is naturally suited to be parallelized Dividing the calculation in an appropriate way among a cluster of CPUs achieves the highest accuracy in a reasonable time
Parallel calculation can be used for two steps of the HAADF image simulation The first is related to the use of the frozen phonon model In fact as mentioned above the intensity has to be calculated for about 20 configurations and summed incoherently Each atomic configuration is independent on the others and hence each CPU can calculate the effect of each configuration This ldquoembarrassing parallelrdquo scheme [21] allows the adoption of a form of parallelism based on the MPI message passing library and its free implementation MPICH [22] The MPICH message passing protocol exchanges arrays of data among different CPUs running the same program The simulations for each configuration are performed separately on the different processors When all simulations are completed the final results are collected As a result the computing time scales linearly with the number of CPUs with a maximum advantage when all desired runs are assigned to a CPU
A second parallelization can be applied to the simulations for each pixel in the HAADF image The image is divided into different sets of non-consecutive pixels and each pixel is assigned to a
Accurate amp Fast Multislice Simulations of HAADF Image Contrast by P Computing 179
CPU The CPUs fill the relevant part of the matrix with the simulation results Hence the data are transferred by means of the MPI protocols to a single processor that reconstructs as a puzzle the final image This scheme has the advantage of being scalable on the number of pixels of the image and a large number of CPUs allows a strong reduction in the computing time especially if the size of the image is chosen to have a number of points equal to the number of CPUs available In the next section the results of simulations performed by using a computer with a cluster of 16 Intel(R) Xeon(TM) 64 bit CPUs running at 306GHz with 1 Gbyte RAM for each processor will be compared with the corresponding simulation performed by serial calculation The graphical interface for the computer simulation named STEM_CELL [23] has also been realized in our laboratory 3 Results Due to the scheme of the parallel computing the reduction of the calculation time is in principle as large as determined by the number of CPUs available It is worth noting however that even a small cluster of CPUs makes the computer simulation of HAADF images of complex structures of practical use whenever extensive calculations are necessary to quantify the structural and chemical information contained in the HAADF experiments Figure 1 shows the HAADF image simulation of a nano-particle of ZnSe by using the cluster of 16 CPUs The size of the multislice calculation is 1024x1024 pixels for 10 different atomic configurations The simulation by parallel code takes 450 min whereas a standard calculation requires 6500 min Further examples of comparison of parallel and serial calculation are reported in the Table
Fig 1 Simulation of ZnSe particle by parallel code On the left the cluster model is shown slightly off zone axis whereas on the right the simulation in lt110gt zone axis is displayed
Structure ZnSe nanoparticle
(diameter=26 nm) Stacking fault in GaAs lt110gt z a
GaAs lt110gt z a
Stacking fault in GaAs lt110gt z a
Number of pixels in the final image
76x88 pixels 80x56 pixels 24x32 pixels 80x56 pixels
Number of slices 13 slices 4 slices 52 slices 52 slices
Optimized serial computing
6500 min 155 min 590 min 2030 min
16 CPUs parallel computing
450 min 10 min 40 min 175 min
Table1 Comparison between parallel and serial computing for different structures
180 E Carlino V Grillo and P Palazzari
4 Conclusions Simulation of HAADF images by the multislice method in the frozen phonon framework is recognized as the most accurate approach to quantify the contrast but it requires long computing time Here we present a parallel code for the multislice calculation which allows the computer time to scale linearly with the size of the computer cluster available Moreover the examples shown here demonstrate how complex structures can be successfully calculated with a strong reduction of the computing time even by using a small cluster of 16 CPUs This approach makes possible extensive simulations required to deduce and quantify the structural and chemical information obtainable by HAADF imaging References [1] Pennycook S J 2002 Advances in Imaging and Electr Physics 123 Academic New York 140 [2] Diebold A C Foran B Kisielowsky C Muller D A Pennycook S J Principe E and Stemmer S
2003 Micros Microanal 9 493 [3] Carlino E Modesti S Furlanetto D Piccin M Rubini S and Franciosi A 2003 Appl Phys
Lett 83 662 [4] Yan Y F Al-Jassim M M Chisholm M F Boatner L A Pennycook S J and Oxley M 2005
Phys Rev B 71 041309 [5] Carbone L Kudera S Carlino E Parak W J Cingolani R and Manna L 2006 J Am Chem
Soc 128 748 [6] Carlino E and Grillo V 2005 Phys Rev B 71 235303 [7] Croitoru M D Van Dyck D Van Aert S Bals S and Verbeeck J 2006 Ultramicroscopy 106
933 [8] Rossouw C J Allen L J Findlay S D and Oxley M P 2003 Ultramicroscopy 96 299 [9] Kittel C 1996 lsquoIntroduction to Solid State physicsrsquo 7th ed Wiley New York 81 [10] Metherell A J F 1976 lsquoDiffraction of Electrons by Perfect Crystalsrsquo in Electron Microscopy
in Materials Science Eds U Valdrersquo and E Ruedl ndash EUR 5515e Brussels and Luxemburg [11] Goodman P and Moodie A F 1974 Acta Cryst A30 280 [12] Pennycook S J and Jesson D E 1991 Ultramicroscopy 37 14 [13] Allen L J and Rossouw C J 1993 Phys Rev B 47 2446 [14] Watanabe K Yamazaki T Hashimoto I and Shiojiri M 2001 Phys Rev B 64 115432 [15] Wang Z L 1999 Phil Mag B 79 37 [16] Ishizuka K Ultramicroscopy 2002 90 71 [17] Dinges C Berger A and Rose H 1995 Ultramicroscopy 60 49 [18] Xu P Loane R F and Silcox J 1991 Ultramicroscopy 38 127 [19] Kirkland E J 1998 in lsquoAdvanced Computing in Electron Microscopyrsquo Plenum Press New
York [20] Loane R F Xu P and Silcox J ActaCryst 1991 A 47 267 [21] httpwwwcsmuozau498notesnode40html [22] httpwww-unixmcsanlgovmpimpich and references therein [23] Grillo V Verecchia P Rosato V and Carlino E 2005 Proc VII MCM Josef Stefan Inst 163
Z-contrast STEM 3D Information by Abel transform in Systems with Rotational Symmetry V Grillo E Carlino L Felisari L Manna1 and L Carbone1 Laboratorio Nazionale TASC INFM-CNR Area Science Park SS 14 Km 1635 34012 Trieste Italy 1 National Nanotechnology Laboratory of CNR-INFM 73100 Lecce Italy Summary Image tomography of clusters in transmission electron microscopy is a recent and highly interesting field of study for its capability to explore the 3-dimensional shape and the structure of nanoparticles Z-contrast imaging is an ideal technique for nanometre scale tomography and could give also 3-dimensional information on the variation in the chemical composition within the particles Unfortunately 3-dimensional reconstruction with high-resolution information requires time-consuming series of high-resolution images and long data analysis times Here it is shown how 3D reconstructions can be obtained from a single high resolution Z-contrast image if the particle under study has a rotational symmetry In this case the reconstruction can be performed by using a procedure based on the Abelrsquos integral Here the method is explained and applied to simulated and experimental images of core-shell nanocrystals showing the capability of detecting compositional variation as distinct from particle thickness variation 1 Introduction Scanning transmission electron microscopy (STEM) high angle annular dark field (HAADF) based tomography is at the state of the art the ultimate tool for the chemical characterisation of complex nanostructures [1] As an effect of the instrumental and methodology improvements tomography is reaching nanometre size accuracy allowing the study of structure and chemistry of the particles in three-dimensional space
STEM experiments for the tomography of a particle require the acquisition of images of the same object seen in different projections and hence special high tilt specimen holders are necessary requiring also instruments with wide gap objective lens pole pieces Furthermore the number of images increases as the resolution requirement of the 3-dimensional reconstruction increases [2] and also the reconstruction of the final 3-dimensional model is very time consuming Despite these inconveniences the chemical and structural information contained in the final model allows an understanding of the particles properties not easily reachable in other ways
However if some a priori information on the structures is known it is possible to avoid a large part of the experimental and calculation burden In particular if the particle has rotational symmetry a 3-dimensional reconstruction can be performed from a single high resolution HAADF image by using an approach based on the Abel inversion formula [3] This equation has been also successfully applied to solve inversion problems in different fields like for example electrodynamics and plasma physics [4]
In this preliminary work the reconstruction technique has been applied to experimental and simulated HAADF-STEM images of core-shell particles showing the capability to deconvolve the thickness and chemistry information contained in the Z-contrast images
182 V Grillo et al
2 Method Using a set of reference axes with y coordinates along the beam direction and z-axis parallel to the particle rotation-symmetry axis the image intensity can be written as [5][6]
I = Z αδ(p minus p ky minus yk )sum[ ]int otimes J( p proy) 1)
where p = x sdot ˆ x + z sdot ˆ z and the subscripts lsquokrsquo and lsquoprorsquo indicate the atomic position of the kth atom and the probe position The parameter α ranges between 16 and 21 depending on the atomic species [7] If the minimum detail to be observed is larger then the probe size and the probe intensity is normalized to 1 equ1 for thin samples and a perfectly incoherent HAADF imaging process
becomes intminus
=t
t
dyrzxI )()( φ where dxdzrrr
cellunit celli
iint sum
minus
Ω=
isin
αδφ Z)(1)( Ω is the volume of
the unit cell and i the index for the atoms in the crystal cell As a consequence of the symmetry the continuum approximation of )(rφ is rotationally symmetric and can be written as )( zρφ
where 22 yx +=ρ Hence )( zxI is the projection along z of the density )( zρφ The aim
of the reconstruction problem is to find )( zρφ starting from )( zxI Abel in 1826 gave the solution to this kind of problem For each value of y the radial distribution is given by
int minuspartpart
minus=1
22max
)(1)(P RX
dXX
zXIzPπρ
φ where X=xρmax max ρρ=R and ρmax is a cut-off
distance beyond which the density goes to zero It should be noted that in this method only half of the image is used to create a three dimensional model A generalisation of this method to include a small asymmetry in the rotational axis will be discussed in a further coming article [8] A routine for the numerical calculation [9] of )( zρφ has been implemented in the STEM_CELL program [10] To evaluate properly even an abrupt density change in the experimental profile it was first approximated with an appropriate fitting function and hence inverted 3 Experimental The specimens for STEM HAADF experiments were prepared by depositing onto a Cu grid covered with a thin C film a drop of toluene in which core-shell CdSeCdS nanocrystals were dispersed [11] The relevant experiments have been performed using a JEOL JEM2010F equipped with a field emission gun and an objective lens with a measured spherical aberration coefficient Cs = (047plusmn001) mm capable of a resolution in HAADF of 0126 nm All the HAADF images were acquired by using an illumination convergence angle of 14mrad and detector collection angle of 84 le 2ϑ le 224mrad The HAADF image simulations were performed by using a parallel code developed in our laboratory for multislice calculation with the frozen phonon model running on a computer with a cluster of 16 CPUs Intel(R) Xeon(TM) 64 bits CPU 306GHz with 1 Gbit RAM for each processor The routine is embedded in the graphical interface of STEM_CELL 4 Results and Discussion The approach has been tested on a simulated structure of a core-shell CdSeCdS nanocrystal and hence applied to experimental images The test on the simulated image is shown in Fig 1 Fig 1a is the geometry of the STEM experiment with the CdSe in [01-10] zone axis In the inset of
Z-contrast STEM 3D Information by Abel transform in Systems with RS 183
Fig 1b the model of the core-shell structure is shown with the particle of CdSe buried towards one of the ends of the CdS nanorod
Fig 1 a) Geometry of the HAADF experiment b) Simulated HAADF image The inset shows the model of the core-shell nanocrystal c) Radial profile obtained by fitting and reconstruction
As a first step the atomic resolution HAADF image in Fig 1b was filtered to remove the
atomic fringes as they violate the rotational symmetry The image intensity profile was then fitted with a function and Abel transformed in order to obtain the reconstructed density profile in Fig 1c It should be noted that the procedure gives a distribution of density as expected from the considered particle 3 has a higher density as it corresponds to the CdSe particle embedded in the CdS matrix and hence with higher density with respect to the region 2 where only the CdS is present and finally the region of the vacuum
Fig 2 a) Experimental HAADF image of one CdSeCdS core shell particle b) 3D model obtained by a complete series of radial functions c) Normalized radial density function obtained by Abel transform with fitting (solid line) and without fitting (dotted line) in the 3 regions marked in (a) The radial function as derived from the simulated image in Fig 1c is also plotted for comparison
Figure 2a is the experimental HAADF image in the [01-10] zone axis of a CdSeCdS core
shell particle The presence of the CdSe core with average Z number higher with respect to the CdS particle produces the brighter area in the left-part of the nanorod The HAADF image was filtered to remove the atomic fringes and the intensity background was subtracted Hence intensity
184 V Grillo et al
profiles along the x-axis averaged along z-axis were obtained in the three windows marked in Fig 2a The density profiles were obtained in the three regions marked by direct application of the Abel transform and by applying the transform after the fitting procedures The results are shown in Fig 2c together with the profile measured on the simulated structure In the experimental profiles 1 and 2 the presence of the core CdSe particles is well detected but its size is different It is worthwhile to remark that the profile in the CdSe region is in all cases relatively constant in spite of the variation of the particle thickness Hence the approach clearly allows the deconvolution of the roles of thickness and chemistry in the HAADF image The size differences between the profiles 1 and 2 are due to the shape of the particle The results have been used to reconstruct the 3-dimensional particle shown in Fig 2b yielding a bullet shape for the CdSe particle The irregularities in the shape of the particle could be due to spurious effects related to noise in the experimental image 5 Conclusions Here we have shown the preliminary results of a method of 3-D reconstruction of a nanoparticle based on the use of a single HAADF image and deriving the object density from the image intensity by applying Abelrsquos integral The method requires application to the HAADF image of a particle with rotational symmetry and allows the evaluation of the density of the particle whilst deconvolving the role of the thickness in the HAADF image intensity from the role of the chemistry of the object However spurious effects due to experimental noise can affect the quality of the reconstruction The approach has been tested on simulated images and applied to experimental HAADF images Further improvement of the fitting procedures could allow a refinement of the procedure which is at present also limited by the need for rotational symmetry in each analysed particle Some efforts are now being dedicated to partly attenuate the symmetry requirement of the approach References [1] Arslan I Yates T J V Browning N D and Midgley P A 2005 Science 309 2195 [2] Arslan I Tong J R and Midgley P A 2006 Ultramicroscopy 106 994 [3] Abel N H 1826 Journal fuumlr die Reine und Angewandte Mathematik 1 153 [4] Tomassini P and Giulietti A 2001 Optics Communications 199 143 [5] Voyles P M Grazul J L and Muller D A 2003 Ultramicroscopy 96 251 [6] Carlino E and Grillo V 2005 Phys Rev B 71 235303 [7] Pennycook S J 2002 Advances in Imaging and Electron Physics 123 Academic Press New
York [8] GrilloV and Carlino E to be submitted [9] Deutsch M and Beniaminy I 1992 Appl Phys Lett 41 27 [10] Grillo V Verecchia P Rosato V and Carlino E 2005 Proceedings MCEM VII Portoroze (Sl)
163 [11] Talapin D V Koeppe R Goetzinger S Kornowski A Lupton J M Rogach A L Benson O
and Feldmann J and Weller H 2003 Nano Letters 3 1677
Quantifying the Top-Bottom Effect in Energy-Dispersive X-Ray Spectroscopy of Nanostructures Embedded in Thin Films T Walther Dept Electronic amp Electrical Eng University of Sheffield Mappin Street Sheffield S1 3JD UK Summary Energy-dispersive X-ray spectroscopy is a standard tool for microanalysis in transmission electron microscopy If the structure to be analysed is much smaller than the sample thickness it becomes important for quantification whether it is located in the top of the foil near to the X-ray detector or at the bottom of the foil where absorption and fluorescence effects will be stronger This so-called top-bottom effect is investigated in detail for InGaAsN quantum wells embedded at different depths within a GaAs thin foil specimen by modelling electron scattering and X-ray generation using Monte Carlo simulations A new procedure is presented to determine the distance of an embedded feature from the top surface of the foil which is a prerequisite for more accurate quantification of its chemistry 1 Introduction Energy-dispersive X-ray spectroscopy (EDXS) is a standard tool for chemical microanalysis in a transmission electron microscope (TEM) Its accuracy depends on the knowledge of the relative X-ray yields of the corresponding elements (Z-effect) absorption (A) and fluorescence (F) which are commonly modelled using the so-called ZAF-correction for a given specimen thickness If however the structure to be analysed is much smaller than the sample thickness two other factors become relevant but are often neglected because they are usually unknown parameters firstly the position of the feature in the foil plays a rocircle as X-rays going through a thicker part of the sample before they reach the detector will be more strongly absorbed This means that without or with improper absorption correction a detector placed above the specimen plane (which is the common set-up) will measure the composition more accurately if the feature is located closer to the top surface while a detector placed below the specimen would receive a correspondingly lower signal from the feature Usually only one detector above the specimen plane is available and the further the feature of interest is located within the foil towards the bottom surface the fainter the X-ray signal from it will appear This top-bottom effect is investigated in the following for compound semiconductors based on gallium arsenide (GaAs)
Secondly if the structure to be analysed is confined also laterally to an extent smaller than the amount of probe broadening within the foil then the peak composition near its centre will apparently be the smaller the deeper the structure is located within the foil independent of where the detector is placed The effect of electron beam broadening for such embedded nanostructures has been modelled extensively [1] eg for compound semiconductors in [2] 2 Monte Carlo Simulations Monte Carlo simulations of the electron scattering and X-ray generation as a function of foil thickness have been carried out using the freely available software code CASINO (version 242) introduced in [3] with default settings as described in the user manual using tabulated values of the Mott scattering cross-section [4] and new calculations of the stopping power at low energies with a cut-off at 50eV [5]
The set-up of a model specimen for simulations consisted of successive layers of from top to bottom 1nm carbon then GaAs of thickness d InxGa1ndashxAs1ndash-yNy of thickness z GaAs of thickness
186 T Walther
200nm ndash d and finally another 1nm of carbon at the bottom surface The total sample thickness was thus 202nm+z The X-ray detector was assumed to form a take-off angle with the top specimen plane of either 40deg or 90deg (vertical take-off) Another adjustable parameter considered was the high voltage U of the primary electron beam The geometry is sketched in Fig 1 and a sketch of the angles and distances considered in the following mathematical treatment is provided in Fig 2
Fig 1 Basic sketch of set-up geometry Fig 2 Sketch of angles and distances
In025Ga025As0475N0025 bulk
0
10
20
30
40
50
60
70
80
0 50 100 150 200 250 300specimen thickness t [nm]
inte
nsity
[cou
nts]
As_KAs_LGa_KGa_LIn_KIn_LN_K (x10)
20nm InN thin film in 200 nm GaAs
0
10
20
30
40
50
60
70
80
0 50 100 150 200depth d [nm]
inte
nsity
[cou
nts]
As_KAs_LGa_KGa_LIn_K (x10)In_L (x10)N_K (x10)
Fig 3 Generation of characteristic X-ray counts Fig 4 Simulation of characteristic X-ray yield in a bulk In025Ga025As0475N0025 sample simulated from a sample 222nm thick (1nm C d nm GaAs for different specimen thicknesses 20nm InN 200nmndashd GaAs 1nm C cf Fig 1)
Figures 3 and 4 both show the results from Monte Carlo simulations for 200kV acceleration voltage and 40deg take-off angle The curvatures of the signals from the low energetic X-rays (As and Ga L-lines and N K-line) in Fig 3 can be explained by self-absorption and are usually automatically taken into account in many commercial quantification programs Usually one has to provide an estimate of density and thickness which is then iterated to provide an optimum fit to relative line intensities stored in libraries The decrease in Fig 4 of the intensities of the In L-line (ndash13 from left to right) and the N K-line (ndash92 from left to right ie a drop to 113) is also due to absorption but cannot be corrected for by such a procedure because the top-bottom effect depends on the depth of the thin layer within the sample for fixed sample thickness Note the weak decrease in In L-line intensity is matched by corresponding increases of As and Ga L-lines due to fluorescence excitation if the GaAs layer between the InN and the detector is sufficiently thick Also note the strong exponential decay of the N K-line intensity This shows quantification of the In L-line signal will be inaccurate Quantification of the N signal will fail completely
Quantifying the Top-Bottom Effect in EDXS of Nanostructures in Thin Films 187
3 Determination of Depth Within a Specimen Foil Figure 5 depicts plots of the variation of the X-ray intensity of lines of elements for the hypothetical case of a 2nm thin pure layer of the corresponding element at different depths d within 200nm of GaAs All elements that can occupy substitutional lattice sites in the IIIV semiconductor GaAs and are technologically relevant have been included The decay due to absorption of the GaAs overlayer has been modelled for U=200kV and θ=90deg but the form is universal For other acceleration voltages the vertical scaling would change but not the decay length for other angles than vertical take-off the effective thickness would be enlarged by 1sinθ and the apparent decay length thus shortened by a factor of sinθ I have then performed linear regression analysis to the plots of lnI(d) and determined the attenuation wavelength λ in the formula I = A exp(ndashDλ) (1) The wavelengths and linear correlation coefficients obtained are listed in Table 1 The two hard X-ray lines In K and Sb K are not attenuated at all but stay at constant intensity over the whole range studied here
2nm thin film in 200 nm GaAs (x10)
0123456789
101112
0 50 100 150 200depth d [nm]
inte
nsity
[cou
nts]
Al_KP_KSb_KSb_LIn_KIn_LN_K
Fig 5 Plot of signal attenuation for a 2nm thin Table 1 List of attenuation wave- layer of a pure element such as N Al P In or lengths λ obtained from performing Sb if there is GaAs of thickness d between it linear regression analysis to the log and the X-ray detector Take-off angle θ=90deg naturalis (ln) of the plots of Fig 5 From Fig 2 it can be seen that the distance the X-rays from the thin film have to travel through the sample to reach the detector is given by D=dsin θ so for two different take-off angles θ1 and θ2 D1 sin θ1 = d = D2 sinθ2 (2) From this one obtains for the path length difference D2ndashD1 = d (1sinθ2ndash1sinθ1) (3) Fig 5 and Table 1 prove that the X-ray intensity I for a given element decays exponentially with the effective path length D with some attenuation wavelength λ ie for two measurements at different take-off angles In = A exp(ndashDnλ) (n=12) (4)
Element Line λ [nm] R2
N K 122plusmn1 ndash099996Al K 319 ndash099998P K 618 ndash099989In K infin In L 2323 ndash099897Sb K infin Sb L 3009 ndash099865
188 T Walther
The intensity ratio then is given by I1I2 = exp[(D2ndashD1)λ] (5) This can be easily solved for D2ndashD1 = λ ln(I1I2) (6) Comparing equations (3) and (6) can finally be solved for the depth in the foil d d = λ ln(I1I2) (1sinθ2ndash1sinθ1) (7) A little bit of trigonometry then yields d = 4λ ln(I1I2) sin[(θ1ndashθ2)2] cos[(θ1+θ2)2] [cos[(θ1ndashθ2)]ndashcos[(θ1+θ2)] (8)
This last equation is particularly useful as it allows us to calculate the depth of a feature in a foil from the above attenuation wavelength and the X-ray intensity ratio at two different take-off angles whose difference (θ1ndashθ2) can be directly read off from the goniometer and whose average value (θ1+θ2)2 can be obtained from the manufacturer of the X-ray detector With the knowledge of d the absorptionfluorescence correction for the top-bottom effect can be directly taken from Fig 5
For the special case that the attenuation is weak (hard X-rays) a Taylor expansion of the term
exp(ndashDλ) asymp 1ndashDλ (9) shows that equation (6) becomes D2ndashD1 asymp λA (I1ndashI2) (10) which leads to a linear fit of the I(d) plot for weak attenuation with a slope of ndashΑλ (eg for the P K-line In L or Sb L in Fig 5 linear correlation coefficients of ndash099933 ndash099889 and ndash099863 respectively are obtained This is justified because typical specimen thicknesses in TEM are an order of magnitude smaller than the corresponding attenuation wavelengths calculated in Table 1) 4 Discussion It has been shown that absorptionfluorescence corrections to energy-dispersive X-ray micro-analysis for nanostructures embedded deep within a specimen (top-bottom effect) are important for accurate quantification in particular for soft X-rays This has been applied to model compound semiconductor systems A new procedure based on a comparison of X-ray count rates at two different take-off angles has been suggested It has been shown that this will improve quantification as it is possible to analytically calculate precisely the depth of the feature within the foil ie its distance from the top specimen surface References 1 Goldstein J I Costley J L Lorimer G W and Reed S J B 1977 Scanning Electr Microsc 1
Proc AEM (IIT Res Inst Chicago IL USA) 315 2 Crozier P A Catalano M and Cingolani R 2003 Ultramicroscopy 94 1 3 Hovington P Drouin D and Gauvin R 1997 Scanning 19 1 4 Drouin D Hovington P and Gauvin R 1997 Scanning 19 20 5 Hovington P et al 1997 Scanning 19 29
Effect of Temperature on the 002 Electron Structure Factor and its Consequence for the Quantification of Ternary and Quaternary III-V Crystals T J Titantah D Lamoen M Schowalter1 and A Rosenauer1
Theoretical study of matter Universiteit Antwerpen Groenenborgerlaan 171 2020 Antwerpen 1 Institut fuumlr Festkoumlrperphysik Universitaumlt Bremen Otto-Hahn Allee1 28359 Bremen Germany Summary In this work we study the effect of the relaxation of the atomic positions and the lattice parameter of mixed Ga1-xInxAs systems on the electron atomic scattering amplitudes (ASA) The ASAs are shown to vary strongly with lattice parameter The resulting relationship between ASA and lattice parameter is then used in a finite temperature zero pressure Monte Carlo relaxation of the mixed crystal The consequence of the use of this modified atomic scattering amplitude (MASA) for the calculation of the 002 structure factor of ternary (Ga1-xInxAs ) and quaternary (Ga1-xInxAs1-yNy) semiconductors is a reduction of the structure factor leading to an increase in the In concentration at which the structure factor vanishes This method directly includes the effect of temperature on the ASA and on the structure factors and therefore does not require an explicit knowledge of the Debye-Waller factor 1 Introduction The quantification of Ga1-xInxAs crystals using transmission electron microscopy (TEM) requires an accurate knowledge of the structure factors (SFs) which are obtained from the atomic positions and the scattering amplitudes (ASAs) of the atoms of the system Several sets of ASAs have been considered ranging from the isolated atom values [1] to those obtained from density functional theory (DFT) calculations on the binary crystals [2] The latter set of parameters is more accurate since it accounts for charge redistribution in the system as a result of bonding In addition the static atomic displacement which is present in these mixed crystals as a result of atoms with different atomic radii sharing a mixed sub-lattice also influences the SF [3] These atomic displacements were first observed by Mikkelsen and Boyce in 1983 [4] using the extended x-ray absorption fine structure (XAFS) and have been further confirmed by other workers [5] By incorporating static atomic displacements via the Keating [6] valence force field (VFF) Rosenauer et al [2] used DFT-based modified atomic scattering amplitudes (MASA) to calculate the 002 SF of strained and bulk Ga1-xInxAs crystals for various In concentrations These 002 weak reflections for mixed crystals are known to contribute to most of the high resolution TEM images and to be composition sensitive and therefore useful in composition analysis [7]
So far little or no attempt has been made to include temperature effects in the ASAs This effect can be included in the SF through the Debye-Waller factor [8] The knowledge of this factor for III-V binary and mixed crystals is very scanty Even if these factors are known accurately for the binary systems they cannot be used in the mixed systems because they would be influenced by the chemical environment The few experimental [9] and theoretical [910] data that exist differ greatly from each other Even when experimental values exist they are available only for a very limited temperature range
Using the DFT-based MASAs Rosenauer et al [2] calculated the 002 electron SF for bulk Ga1-xInxAs crystals and found that when the static displacements were not accounted for it vanishes for an In content of 175 but when these displacements were included the SF vanishes at 164 These numbers are quite close to the experimentally reported values of 17 [11] and
190 T J Titantah et al
18 [12] as compared to the values of 224 and 222 [3] obtained when the isolated atom ASAs [1] are used without and with the inclusion of static displacements respectively However the underestimation of the more accurate result of 164 has been ascribed to the absence of temperature effects 2 Method In this paper we calculate the 002 SF of Ga1-xInxAs crystals accounting for temperature effects on the MASAs and subsequently on the SF The DFT calculations of the MASAs are performed using the all-electron full-potential-linearized augmented-plane-wave (LAPW) DFT code WIEN2k [13] This code partitions the unit cell into non-overlapping muffin-tin spheres and an interstitial region In the muffin-tin spheres the potential and the wave functions are described by a series of spherical harmonics and in the interstitial region they are described by plane waves The exchange and correlation energy is treated using the local density approximation [14] For our calculations muffin tin radii RMT of 20 bohr are used 63 k-points in the irreducible Brillouin zone and a plane wave cut-off of kmax=7RMT yielded converged MASAs The method of the extraction of the MASAs from the x-ray structure factor has been described in details in ref [2] We retained an acceleration voltage of 200 keV and considered the 002 MASAs
Fig 1 Left pair correlation function of DFT-relaxed Ga1-xInxAs systems Middle pair correlation function of MC relaxed Ga1-xInxAs systems Right bond length distribution
3 Results One may ask whether the atomic displacements do influence the ASAs in these mixed crystals To answer this question we relaxed an 8 atom supercell of Ga1-xInxAs (for x=025 05 and 075) using the DFT method The left panel of Fig 1 shows the nearest-neighbor pair correlation function for these systems A bimodal distribution of bond lengths is seen revealing Ga-As bond lengths that range between 243 Aring and 25 Aring while the In-As bond lengths show up between 258 Aring and 263 Aring These results are in very good agreement with XAFS measurements [45] and our recent calculations [15] using the Tersoff potential [16] which are reproduced in the middle and right panels of Fig 1 We calculated the MASAs of the 8 atoms supercells for the unrelaxed and relaxed atomic positions Relaxation only resulted to a decrease of 02 in the MASAs For the x=025 05 and 075 Ga1-xInxAs systems with relaxed atomic coordinates and for the binary counterparts
Temperature Effect on 002 ESF and its Quantification Consequence 191
x=0 and x=1 we performed DFT calculations in order to obtain the electron MASAs for a series of lattice parameters As Figs 2 and 3 show it should be noticed that the effect of lattice parameter changes on MASA is important The atomic environment of each atom becomes important when the non metal site is shared by different atom types The lines are linear fits of the DFT results accaf 002
10020
002 )( ννν += υ being the atom type and a is the lattice parameter in Aring
Fig 2 The MASAs of the relaxed Ga1-xInxAs and GaAs1-xNx crystals for various lattice parameters Notice that all points lie on a unique curve irrespective of the value of x
Fig 3 The MASAs of GaAs1-xNx crystals for various lattice parameters Notice that all points do not lie on a unique curve as was the case for the Ga1-xInxAs system
The temperature effect on MASA can therefore be introduced through the lattice fluctuations as follows At each instant the lattice parameter is determined and the MASAs corresponding to this instantaneous lattice parameter is obtained using the analytical functions obtained from the fit of the DFT results The thermal relaxation is performed via a Classical Metropolis Monte Carlo scheme
We have recently parameterized the Tersoff potential [16] for the Ga-As and the In-As interactions in zinc-blende structures and the new set of parameters were shown to reproduce the elastic properties the melting point of the binary crystals and the structural properties of Ga1-
xInxAs mixed crystals [15] Using a classical Metropolis Monte Carlo code that was recently developed by us for relaxing binary ternary and quaternary crystals we have relaxed 1728 atoms systems of Ga1-xInxAs mixed crystals for various In content and for temperatures ranging from 5K to 500K The mean square displacement (MSD) of each atom type was calculated for all possible In fractions and for several temperatures as shown in Fig 4 As expected the MSD increases with temperature and has a nonlinear and asymmetric dependence on the In fraction Its
192 T J Titantah et al
Fig 4 Mean square displacement of bulk Ga1-xInxAs as a function of In fraction x and for temperatures of 50K (lowermost curve) through 150K 250K 350K to 450K (uppermost curve) The top panel corresponds to the Ga atoms middle to In and bottom to As atoms
intensity is enhanced for intermediate values of x The available measurement of this quantity for these mixed crystals is that by Petkov et al [5] based on the pair correlation function (PDF) extracted from XAFS A systematic study of its temperature dependence whether experimental or theoretical is lacking
The strong dependence of the MSD on the In fraction and temperature suggests that the inclusion of temperature effects on the SFs may not be straightforward Within the Metropolis method the SFs per unit cell were calculated for each In fraction x and temperature T by performing the thermal averaging
( )xT
N
jj
hklhklj
hkl ragiafN
TxS1
)(2exp)(8)( sum=
bull= π
where a is the short hand notation for ai i=123 and
=
001010100)( alakahahklg
)(af hklj is the MASA of atom j for the instantaneous lattice parameter a Firstly the SFs were
calculated for temperatures as low as 5K in order to compare with DFT calculations on 64 atoms DFT relaxed Ga1-xInxAs systems The calculations at 5K show that the In content at which the 002 SF vanishes is 169plusmn02 Extrapolation to 0K yields a cross-over concentration of 167plusmn02 This value compares well with the DFT result which shows that the SF vanishes for an In content of 170plusmn02 and 164plusmn02 for the unrelaxed and relaxed systems respectively (see left panels of Fig 5) Both values are in excellent agreement with the results obtained using the DFT-based MASA without and with the inclusion of the static atomic displacements (accounted for using the VFF) [2]
The T=0K results can be interpreted writing the 002 SF as
minusminusminus+minusminus=
a
zAsu
ixaAsfa
zInu
ixaInfxa
zGau
ixaGafxxS πππ 4exp))((002)4exp())((002)4exp())((002)1(4)(002
where zuν is the average In-concentration-dependent z-component of the static displacement (SD) vector of atom υ Considering the fact that it is the anions lattice that is strongly influenced by the SD while the cations lattice remains almost unaffected [17] we can set z
Gau and zInu to zero Also
Temperature Effect on 002 ESF and its Quantification Consequence 193
since in these mixed crystals the Ga-As bonds stretch while the In-As bonds contract the displacement of As is dominantly along the As-In bond vector Letting ε (x) be the In-As bond strain which varies linearly with x between ε0=002 and 0 while approximating the lattice parameter by the VCA expression a(x)=(1-x)aGaAs+xaInAs and using the coefficients for the linear dependence of the MASAs with the lattice parameters we get
( )[ ]))(1(24)1()1()( 0021
0020
20021
0021
002002002 ∆+minus+minus∆minus++minusasymp AsGaAsAsInGaInAsGaAs xcfxccxxxSSxxS επ which can be easily cast into the form given in ref [3] with the so-called bowing parameters given explicitly ∆=aInAs-aGaAs is the lattice mismatch Using the calculated SF of the binary systems (-0209 nm for GaAs and 1029 nm for InAs) together with the fit parameters obtained above we find that according to this formula the SF vanishes at an In concentration of 170
For higher temperatures we have calculated the SF for all possible In concentrations and the trend is that the SF decreases as the temperature increases with a consequence that the In concentration at which the SF vanishes shifts to higher values (see Fig 5) The insertion of a small amount of N into Ga1-xInxAs is found to lead to an increase in the 002 SF thereby shifting the In concentration at which the SF vanishes to lower values A temperature behavior similar to that of the Ga1-xInxAs system is seen for the quaternary system Ga1-xInxAs 095N005 which shows that at a temperature of 5K the In concentration at which the 002 SF vanishes is 114 while at 300K it is 118 4 Conclusions We have shown that the modified atomic scattering amplitudes are strongly affected by variations in the lattice parameter suggesting that the SF of materials under extreme conditions such as high pressure should be interpreted taking account of the dependence of the atomic scattering amplitudes with pressure (or lattice parameter) The effect of temperature on the 002 structure factor of Ga1-xInxAs bulk systems has been incorporated accounting for the effect on the MASAs the static atomic displacements and temperature induced atomic displacements The MSDs of the various atoms in the mixed systems have been shown to be a nonlinear asymmetric function of the In concentration This complexity in the relationship between the MSD and In concentration on the one hand and temperature on the other hand makes the introduction of temperature effects on the SF via the Debye-Waller factor a non trivial issue The method suggested here does not require an explicit knowledge of the Debye-Waller factor for finite temperature structure factor calculations and can be easily generalized for other diffraction spots
Fig 5 Left DFT calculated SF of 64 atom Ga1-xInxAs system Right finite temperature SF of Ga1-xInxAs system
194 T J Titantah et al
Acknowledgements This work was supported by the FWO-Vlaanderen under project G042505 and by the Deutsche Forschungsgemeinschaft under contract number RO20574-1 References 1 Doyle P A and Turner P S 1968 Acta Cryst A 24 390 2 Rosenauer A Schowalter M Glas F and Lamoen D 2005 Phys Rev B 72 085326 3 Glas F 2004 Phil Mag 11 2055 4 Mikkelsen J C and Boyce J B 1983 Phys Rev B 28 7130 5 Petkov V et al 1999 Phys Rev Lett 83 4089 6 Keating P N 1966 Phys Rev 145 637 7 Rosenauer A Fischer U Gerthsen D and Forster A 1998 Ultramicroscopy 72 121 8 Stahn J Moumlhl M and Pietsch U 1997 Acta Cryst B 54 231 9 Reid J S 1982 Acta Cryst A 39 1 10 Vitelino J F Gaur S P and Mitra S S 1972 Phys Rev B 5 2360 11 Cagnon J Buffat P A Stadelmann P A Leifer K 2003 Inst Phys Conf Ser 10 203 12 Patriarche G Largeau L Harmand J C and Gollub D 2004 Appl Phys Lett 84 203 13 Blaha P et al 2001 WIEN2k 14 Perdew J P and Wang Y 1992 Phys Rev B 45 13244 15 Titantah J T et al 2007 Appl Phys Lett submitted 16 Tersoff J 1986 Phys Rev Lett 56 632 17 Bonapasta A A and Scavia G 1994 Phys Rev B 50 2671
Calculation of Debye-Waller Temperature Factors for GaAs M Schowalter A Rosenauer J T Titantah1 and D Lamoen1
Institut fuumlr Festkoumlrperphysik Universitaumlt Bremen Otto-Hahn Allee 1 28359 Bremen Germany 1 Theoretical study of matter Department of Physics Universiteit Antwerpen Groenenborgerlaan
171 2020 Antwerpen Belgium Summary In this work we calculated the Debye-Waller factors (DWFs) of GaAs in the temperature range from 0001 K up to 1000 K The resulting temperature dependence is fitted using an approach outlined in the paper For the calculation of the DWFs the phonon frequencies in GaAs were deduced from Hellmann-Feynman forces computed from supercells within the density functional theory approach The calculated frequencies are compared with experimentally measured frequencies 1 Introduction Quantitative high resolution transmission electron microscopy (HRTEM) and Z-contrast imaging are based on the comparison of experimental and simulated images The simulations require an accurate knowledge of the Fourier components of the Coulomb potential For GaAs the Fourier component hkl is given by
sumsum= =
prop2
1
4
1 )2exp(
υυυυ π
nn
hklhklGaAs
hklGaAs
hklGaAs rgifDV rr
(1)
where hklGaAsf υ is the atomic scattering amplitude of atomtype υ and
)41exp(
hklhklhklGaAs gBgD vv
υυ minus= is a damping factor containing the DWF υB The index n
is running over all equivalent atoms of atom υ in the unit cell Typically atomic scattering amplitudes were calculated for isolated atoms (eg Ref [1]) and therefore do not take into account the redistribution of charge due to bonding Such effects can be considered by eg the lsquomodified atomic scattering amplitudesrsquo [2] However values of the DWF are not known accurately for many materials or are only known for some temperatures
The DWF υB is related to the static correlation function of the displacements
gt=lt υυυ jiij UUu of atom υ by υυ π 2
8 ijij uB = where υiU is the displacement of atom υ in direction i The static correlation function and the Debye-Waller factor in general are matrices but simplify due to symmetry to scalars for GaAs
In principle the DWFs can be measured using x-ray scattering neutron scattering or the electron precession technique [3] For GaAs the Debye-Waller factors were measured recently by Stahn et al [4] using x-ray scattering at a temperature of 287 K They found that the DWF of Ga is larger than the DWF of As The DWFs of GaAs were calculated using shell models for some temperatures by Reid [5] He found that the DWF of Ga is smaller than the DWF of As whereas equal DWFs were found by Vetelino et al [6] For some sphalerite type semiconductors Gao and Peng interpolated the values of Reid [5] using two 4-th order polynomials for temperature below 80 K and above They also gave DWFs of elemental crystals from experimentally determined phonon densities of states )(ωg using the relation
196 M Schowalter et al
intinfin
=0
2 )2
coth()(4 ω
ω
ω
ωπ dTkgm
B B
h
h (2)
where m is the mass of the atom h is Planckrsquos constant divided by π2 Bk is Boltzmannrsquo s constant and T is the temperature
In this paper we derive the phonon density of states (PDOS) from Hellmann-Feynman forces calculated within a density functional theory (DFT) approach and we use Eq (2) for the calculation of the DWFs of GaAs The temperature dependence of the DWFs is fitted by an approach with only one fit parameter 2 Calculation of the Phonon Density of States The PDOS was derived from the Hellmann-Feynman forces which were computed using the WIEN2k code [8] according to the method of Parlinski et al [9] The WIEN2k code uses atomic like functions as basis set within spheres with radius MTR (muffin-tin spheres) around the atom positions and plane waves outside The sampling of the irreducible Brillouin zone was performed using 360 k-points for the primitive unit cells and the plane wave cut-off maxK was set in such a way that 7max =KRMT The local density approximation (LDA) was used for the exchange and correlation part of the potential
In order to compute accurate Hellmann-Feynman forces the total energy of GaAs unit cells as a function of the lattice parameter was calculated It exhibited a minimum of the total energy around 057 nm In the vicinity of the minimum the total energy depends quadratically on the lattice parameter and the fit of the total energy by a quadratic function yielded a lattice parameter of 05617 nm Note that LDA results in overbinding and therefore the calculated lattice parameter is slightly smaller than the experimental one
Using the optimised lattice parameter 2x2x2 supercells were generated In a each supercell a Ga atom or alternatively an As atom was displaced by 3 pm Due to the cubic symmetry it is enough to displace the atom just in one direction eg the z-direction However in order to eliminate small residual forces that could be present we also displaced the atoms by -3 pm For each of the four resulting supercells we computed a set of Hellmann-Feynman forces on each atom in the supercell due to the displaced atom using the Wien2k code The k-mesh was adjusted according to the size of
the unit cell used for the lattice parameter optimization in order to provide the same quality of the k-mesh for the computation of the forces The forces corresponding to the displacement of 3 pm and -3 pm respectively were symmetrized
From the Hellmann-Feynman forces and the corresponding displacement force constants were derived for all atoms in the supercell and therefore exhibit a relation between the bond length and the force constant The dynamical matrix )(qrΞ corresponding to a phonon wave vector qr can be derived by a Fourier transformation of the force constants In order to obtain the phonon frequencies
Fig 1 Comparison of the calculated phonon dispersion curve (lines) and with measured phonon frequencies (dots) [10]
Calculation of Debye-Waller Temperature Factors for GaAs 197
)( lqrω corresponding to the phonon wave vector qr and the phonon branch l the eigenvalue problem
)()()()( 2 lqelqlqeq rrrrrr ω=Ξ (3)
was solved To check the accuracy of the
calculated phonon frequencies we derived phonon frequencies along certain directions in the irreducible Brillouin zone The selected q-path was
WZXLX minusminusminusminusΣminusminus∆minusΓ )()()(In Fig 1 the calculated values are compared with measured values of Strauch and Dorner [10] The calculations are in good agreement with the experiments
The partial PDOS was derived by calculating the phonon frequencies for a finite number n of phonon wave vectors qr by
)()())((1)(
υυωωδ
ωω ωυ lqelqelq
ndg ji
lqij
rrrrrrsum minus
∆= ∆ (4)
where )(xωδ ∆ is 1 if 22ωω ∆
lelt∆
minus x and 0 otherwise d is the dimensionality of the dynamical
matrices the indices ij indicate the component of the eigenvector and υ is the atom type The total PDOS can be obtained from the partial PDOS just by summing up all partial PDOS Note that all the partial PDOS are normalized in such a way that the integral over the partial PDOS results in 1r where r is the number of the degrees of freedom of the primitive unit cell From the partial PDOS the matrix of the Debye-Waller factor can be easily obtained from Eq (2) by substituting the PDOS by the partial PDOS It turned out that 100 000 phonon wave vectors were enough to get a PDOS producing converged values for the DWFs Figure 2 shows the temperature dependence of the static correlation function 11u of Ga together with the fit by the Einstein model for the PDOS The DWF increases linearly for large temperatures and tends to a constant value for low temperatures 3 The Fitting Procedure for the Debye-Waller Factors In order to provide the DWFs to the reader a suitable fit function has to be found In Fig 2 the static correlation function was fitted applying an Einstein model for the PDOS by
)2
coth(2
TkMu
B
c
c
υ
υυυ
ωω
hh= (5)
where cω is the characteristic frequency at which the δ -function is situated and υ indicates the atom type For large temperatures the DWF is fitted very well but slight deviations can be observed for small temperatures However this already shows that Eq (5) exhibits already a rather suited function for the fit of the DWFs For the derivation of the fit function we apply the mean value theorem to Eq (2) The mean value theorem states
Fig 2 The static correlation function u11 in Aring2
of Ga in GaAs as a function of temperature and the fits using the Einstein model for the PDOS and our procedure
198 M Schowalter et al
int int=b
a
b
a
dttgcfdttgtf )()()()( (6)
where f(t) and g(t) are continuous functions and altcltb Associating g(t) with the PDOS and f(t) for the other terms and applying the mean value theorem to the Eq (2) yields for the static correlation function Eq (5) where
)( Tcc υυ ωω = is a function that is only slightly depending on the temperature (see Fig (3)) The temperature dependence of )( Tc υω was fitted using a Gaussian like function
BTATc +minus= )exp()( 2 σω υ (7)
For the fit only σ was used as a fit parameter since the parameters A and B can be determined from the limits of
)( Tc υω for infinrarrT and 0rarrT Fit parameters and the limits are given in Tab 1 The fit of
)( Tc υω is not very good but is sufficient to fit the DWFs (see full line in Fig 2) The fit of the DWFs yields deviations smaller than 1 At a temperature of 287 K Stahn et al [4] measured a mean square displacement of uGa=000844 Aring and uAs=000716 Aring Our calculations result in values of uGa=000845 Aring and uAs=000726 Aring at the same temperature This clearly shows that our values are more accurate than those presented by Reid et al [5] and Gao and Peng [7] where the DWF of Ga is smaller than that of As
4 Conclusion We derived DWFs for GaAs based on Hellmann-Feynman forces computed within the DFT approach Calculated values were in good agreement with recent experiments Finally an efficient fit method was derived and applied to fit the temperature dependence of the DWFs References 1 Doyle P A and Turner P S 1968 Acta Cryst 24 390 2 Rosenauer A Schowalter M Glas F and Lamoen D 2005 Phys Rev B 72 085326 3 Midgley P A Sleight M E Saunders M and Vincent R 1998 Ultramicroscopy 75 61 4 Stahn J Moumlhle M and Pietsch U 1998 Acta Cryst B54 231 5 Reid J S 1983 Acta Cryst A39 1 6 Vetelino J F Gaur S P and Mitra S S 1972 Phys Rev B 5 2360 7 Gao H X and Peng L-M 1999 Acta Cryst A55 926 8 Blaha P 2001 Wien2k ISBN 3-9501031-1-2 9 Parlinski K Li Z Q and Kawazoe Y 1997 Phys Rev Lett 78 4063 10 Strauch D and Dorner B 1990 J Phys Condens Matter 2 1457
Ga As
σ [103K2] 2005 2063
)0(υωc [THz] 2449 2595
)( infinυωc [THz] 2033 2117
Tab 1 Fit parameterσ and limits
)0(υωc and )( infinυωc
Fig 3 Calculated )( TGacω and fit by Gaussian as a function of temperature
The Use of the Geometrical Phase Analysis to Measure Strain in Nearly Periodic Images J-L Rouviere CEA-Grenoble DRFMCSP2MLEMMA GEM-Minatec 17 rue des Martyrs 38054 Grenoble Cedex 9 France Summary The geometrical phase analysis (GPA) is a simple and efficient method to measure strain in nearly periodic images and especially high resolution transmission electron microscopy (HRTEM) images In a few steps GPA averages and fits the best lattice parameter in a given region The accuracy of GPA is determined by analyzing Si perfect crystal HRTEM images This precision varies with the mask size employed that is to say with the size of the region over which the measure is averaged When averaging on large areas (9x9nm2) variations of 01 pm on lattice fringe periods d of about 02nm that is to say ∆dd ~ 5 10-4 can be detected The correction of the distortions introduced by the imaging system (lenses or CCD camera) is presented It is shown that for a given JEOL4000FX microscope and a given magnification the correction images did not change significantly during a 3 year period and were similar at 400kV and 300kV On the contrary the absolute measure of the (111) Si lattice parameter (in pixels) varies significantly during time (it is certainly a function of the fine tuning of the objective lens) The method is applied to Moireacute images where large strains (more than 100) are accurately measured by GPA 1 Introduction In microelectronics there is a great need to measure accurately the strain and stress locally in nanometric devices Several methods have been used to assess the strain values in such devices [1] HRTEM seems well adapted in terms of magnification but seems to suffer from precision in lattice parameter measurement or from thin foil relaxation In this paper only the first of these issues will be analysed by studying the precision of strain measurement in HRTEM images The GPA method introduced independently by [2] and [3] is used 2 Experimental Details For a detailed presentation of the method we refer to [3] and [4] Here we just recall the few main parameters of the method which are the mask shape size and central position and in the standard analysis the choice of a reference region R0 In this work a Gaussian mask centred at position g exp(-05(x-g)2σ2) and having a soft cut at 3σ is used σ which is the standard deviation is referred to as the mask radius For an estimation of the precision we find it easier to analyse how the lattice parameter in pixels varies on a given image of a perfect crystal In that case the choice of the reference region R0 is not necessary as the local lattice parameter d(r) does not depend of the reference region Indeed d(r) is just equal to the inverse of the gradient of the Geometrical Phase d(r) =1g(r) = 2π nablaPg (r) (Equ 1) the phase Pg
varying between 0 and 2π Experimental images were acquired on a 2kx2k Gatan CCD mounted on a JEOL 4000EX
microscope Perfect silicon crystals were mechanically thinned with a tripod tool
200 J-L Rouviere
Fig 2 Half width ∆d at half height of the histogram of the (220) interplanar distance in function of the reciprocal mask radius σ (in pixel)The associated direct radius rdir is given in nm
3 Precision of GPA In order to evaluate the precision on the local lattice parameters high resolution images of perfect silicon crystal were taken either in a lt110gt or lt100gt direction The atomic columns must have a rather uniform aspect all over the CCD camera Double frequency contrast was avoided The constraint on the thickness is less important as we check by analysing simulated images that in Si observed along the lt001gt and lt110gt direction the phase changes only slowly with thickness (typically a change of phase of 003 radian for a change of thickness of 20nm) This is due to the symmetry of the Si structure Abrupt changes in the phase only occur when double frequency and reverse contrast happens (for instance atomic column contrast changes from black to white) In Fig 1a although not visible at this magnification (see inset Fig 1b for the visualisation of the columns) the atomic columns have a uniform shape all over the picture although the top left corner is slightly darker because it is slightly thicker The (220) interplanar distance d220 as deduced from equ 1 is shown in Fig 1d Interplanar distances are not constant all over the image d220 average values vary from 816 pixel to 82 pixels Fig 1f and 1g show the displacements ux and uy in pixels respectively along the horizontal and vertical directions Displacement of 7 pixels nearly one (220) interplanar distance can be found between different places of the image We use these displacement images to correct the initial phase image Pg
corr (r) = Pg (r) minus 2π (gxux (r) Nx + gyuy (r) Ny ) (Equ 2) This equation is slightly different from the one in [5] but it is equivalent In equation 2 ux uy gx and gy are expressed in the pixel unit of the image x being horizontal and Nx and Ny being respectively the horizontal and vertical image sizes (here Nx = Ny=N)The corrected (220) interplanar
distance image (Fig 1e) is more uniform than the uncorrected one and lower variations in d220 average values are found between the different areas of the image
Figure 1c is the histogram obtained from the square region of Fig 1d It allows the definition of the uncertainty ∆d with which d is measured It can be checked that ∆d is a function of the mask radius σ (Fig 2) The narrower is the reciprocal mask (σ small) the wider is the region over which the signal is
Fig 1 a) HRTEM image of perfect silicon taken in [001] direction b) Zoom of Fig 1a c) Histograms of (220) interplanar distance d220 taken in the centre of Fig 1d (curve defined by the filled surface) and Fig 1e (solid line) Horizontal units are in pm and pixels d) d220 image obtained from the uncorrected phase (σ=20) The grey scale is in pixel unit The square indicates where the histograms of Fig 1c are taken e) Corrected d220 image f-g) ux and uy displacement images (pixel units)
The Use of the GPA to Measure Strain in Nearly Periodic Images 201
Fig 3 a) Uncorrected (111) interplanar distance map d111 Given values in pixel are averaged over the underlying white rectangles Values are not uniform in the Si066Ge034 layer b) Corrected (111) interplanar distance map giving more uniform values
averaged in the direct space We estimate to rdir=3N(2πσ) the radius of the region over which average is realised [4] The pixel
size being equal to 002352 nm in Fig 1 rdir is equal to 23 nm (978 pixel) 115 nm (489 pixel) and 057 nm (244 pixel) for mask radius σ respectively equal to 10 20 and 40 pixels (Fig 2) Using this and Fig 2 we find that GPA has a precision of ∆d= plusmn047 pm (002 pixel) that is to say ∆dd = 25 10-3 for an average on a 23x23 nm2 region (23 = 2rdir) and a precision of 008pm (00033 pixel) ie ∆dd = 4 10-4 for an average on a 9x9 nm2 region (this is the case of σ = 5 pixel in Fig 2)
Having acquired reference images for several months we observe that at a given magnification the correction displacement images are quite stable with time and that it is not necessary to acquire new correction images for every sample We have also noticed that the correction images do not vary significantly from 300kV to 400kV and that the same files can be used at both tensions On the contrary the absolute measurement can change from one image to another one For instance d220 values can be equal to 81 pixel one day and 83 pixel another one We suspect that this difference is due to slight different excitations of the objective lens In contrast these different excitations produce a negligible change in the correction displacement images because intrinsic deformations are mainly introduced by the projection lenses (as cited in [5]) and by the CCD camera Fig 3 illustrates the necessity of the correction when strain is analysed on the whole image Only when the phase is corrected the distance maps in the different materials are uniform It can be noticed that in Fig 3b the difference between d111Si and d111SiGe is about 0028 pixel that is to say 06pm Without the correction (Fig 3a) such differences are already observed in the SiGe layer 4 Some GPA Characteristics In order to better understand the characteristics of GPA we measure the precision in function of the number of pixel in the interplanar distance d220 The d220 lattice parameter of a given image was thus changed from 8179 pixels (original 2048x2048 image) to 20448 pixels (binned 512x512 image) (Fig 4) The relative precision ∆dd is as good in the two images even if the silicon lattice is difficult to see in Fig 3b Any peak finding algorithm would certainly fail when analyzing Fig 4b However acquiring experimental images where periods are about 2 pixels is rather tricky
Fig 4 a) Partial zoom of a 2Kx2K HRTEM image of Si observed along [001] b) Partial zoom of the same image but binned to a 512x512 image c-d) (220) interplanar distance maps obtained respectively from Fig 4a and Fig 4b Average values in the square rectangle and uncertainties ∆a (in pixel and pm) are given e-f) Power spectrum of Fig 4a and Fig 4b White circles indicate the position and size of the Gaussian mask The radius of the circle is equal to 3σ = 60 pixels
202 J-L Rouviere
We also check that GPA can handle large strain analysis if great care is taken in the definition of strain (Lagrange or Euler strain see [4]) The only restriction is that all the frequencies corresponding to the analysed distances are present in the reciprocal lattice mask Fig 5a is a plan view image of a stressed silicon wire of 3 microns width on top of a Si substrate covered with a thick oxide layer [6] The moireacute patterns are created by double diffraction in the wire and in the silicon substrate The stressed silicon wire is supposed to have the lattice parameter of a Si08Ge02 alloy in the plane of its interface with oxide [6] On Fig 5b it can be checked that for strain as high as a 100 GPA gives the same result as a project method The advantage of GPA is to give quickly and simply a 2D image of the strain
Fig 5 a) Moireacute plan view image of a stressed Si wire on top on a Si substrate covered with a thick SiO2 layer b) Strain analysis of the vertical projection of Fig 5a The top wavy curve is the average vertical projection of Fig 5a The grey solid curve is the strain profile obtained by GPA The curve with steps is the strain profile obtained by measuring the distances between adjacent minima
5 Conclusion We have assessed the precision of GPA and determined correction displacement images by analysing HRTEM experimental images of perfect Si crystals When averaging over large regions a very good precision is obtained This result is particularly interesting when long range stress in a crystalline substrate is analysed However such precision cannot be obtained near interfaces or in tiny nanocrystals Several interesting characteristics of GPA have been pointed out For instance it is not necessary to have large number of pixels in the analysed lattice fringe spacing 3 or 4 pixels per period is as good as using more pixels We recall that GPA can handle the measurement of large displacements References 1 Cleacutement L Rouviere J-L Cacho F and Pantel R this Proceedings volume 2 Takeda M and Suzuki J 1996 J Opt Soc Amer A13 1495 3 Hytch M Snoeck E and Kilaas R 1998 Ultramicroscopy 74 131 4 Rouviegravere J-L and Sarigiannidou E 2005 Ultramicroscopy 106 1 5 Hue F Johnson C L Lartigue-Korinek S Wang G Buseck P R and Hyumltch M J 2005 J Electron
Microsc 54 181 6 Beacutecheacute A Rouviegravere J-L Barbeacute J-C Andrieu F Eymery J Mermoux M and Rouchon D this
Proceedings volume
Cross Section High Resolution Imaging of Polymer-Based Materials D Delaportas P Aden C Muckle1 S Yeates2 R Treutlein3 S Haq4 and I Alexandroua Electrical Engineering amp Electronics University of Liverpool Liverpool L69 3GJ UK 1School of Biological Science University of Liverpool Liverpool L69 7ZB UK 2School of Chemistry University of Manchester Manchester M13 9PL UK 3HUECK FOLIEN GesmbH 4342 Baumgartenberg Austria 4Advanced Technology Centre BAE Systems Filton Bristol BS34 7QW UK Summary This paper describes a methodology for preparing cross sections of organic layers suitable for transmission electron microscopy (TEM) at high resolution Our principal aim is to prepare samples that are tough enough to allow the slicing into sub-150 nm sections We also need strong contrast at the organic layer area to make it identifiable during TEM Our approach is to deposit organic layers on flexible substrates and prepare thin cross sections using ultra-microtomy We sandwich the organic layer between two metal thin films in order to isolate it and improve contrast Our methodology is used to study the microstructure of polymernanotube composites allowing us to accurately measure the organic layer thickness determine nanotube dispersion and assess the effect of nanotube clustering on film structural stability 1 Introduction Even though organic electronics technology has improved impressively in the last decade [1-3] and large scale circuits are routinely built there has been little progress in methodologies for imaging the devices formed In organic devices wetting of successive layers is important in an effort to minimise potential barriers at junctions In an effort to improve device performance device parameters shrink continuously and soon methods for imaging cross sections of organic layers or multi-layers at high resolution will be needed Cross section methodologies should avoid distorting the sample structure and also strengthen the sample so that sections thin enough for transmission electron microscopy (TEM) can be prepared in short times and at low cost
We have examined the suitability of ultra-microtomy for the production of thin cross sections of organic layers The main challenge is to find substrates compatible with ultra-microtomy which also accentuates the contrast from the layer under examination In this work we have deposited low contrast polymer-nanotube composites on flexible substrates pre-coated with Al thin film In order to avoid buckling of the film under slicing using an ultra-microtome we embedded the sample in resin Mixing between resin and the organic layer was prevented by depositing a second Al thin film over the sample surface Locating our organic layer near Scherzer defocus is easy due to the presence of a metallic film above and below it The prepared samples allowed us to examine polymer adhesion on the nanotube surface the dispersion of nanotubes and its effect on the strength of the composite 2 Experimental The organic composites of interest were prepared by mixing solutions of P3HT polymer with carbon nano-particles both materials dispersed individually in Xylene The carbon nanoparticles were produced using an electric arc in water [45] P3HT was prepared in house at the Organic Materials Innovation Centre (OMIC) in Manchester Composite layers were deposited by drop casting onto 1x3 cm flexible substrates Two different kinds of substrates were tested (1) Resin blocks and (2) thin polymer sheets coated with a thin layer of Al (Hueck Folien) Thin cross sections for TEM were prepared using a Reichert Ultracut Ultra-microtome [6] All TEM work was carried out using a FEI 120kV Tecnai G 2 Spirit BioTWIN instrument
a Corresponding author e-mail ioannislivacuk
204 D Delaportas et al
3 Results and Discussion Our initial efforts concentrated on thin polymer-nanotube films encapsulated in resin The poor contrast between the resin and our featureless samples made it almost impossible to locate our material We therefore tested a flexible substrate (Hueck Folien GmbH amp Co) covered with a very thin layer of Al the latter used as a strong contrast agent
If the prepared samples are strengthened by encapsulation in resin they will be suitable for slicing using ultramicrotomy However the user would need to seek the strongly diffracting Al layer amidst several microns of featureless material (flexible substrate organic layer resin) a difficult task due to the existence of stress lines created during cutting Another issue is that the resin layer needs to be cured at high temperature (asymp60oC) During this process the polymernanotube composite will mix with the resin and structural information about the surface of the composite will not be reliable Therefore we have deposited a second Al layer on our composite film prior to resin encapsulation This approach presents two advantages Intermixing between resin and composite is avoided and the pattern of the sample becomes more distinctive Instead of one thin line with strong contrast the user seeks two strongly diffracting almost parallel lines The distance between them is constant and equal to the thickness of the composite film Figure 1 shows the importance of resin encapsulation A 50wt polymer-nanotube composite was drop cast on the Hueck Folien substrate and a 150nm layer of Al was then evaporated on top Figure 1a shows a section of the sample without resin encapsulation Moving from the left bottom corner of the image diagonally upwards we can distinguish the Hueck Folien flexible substrate coated with Al the polymer nanotube composite and finally the top evaporated Al layer Figure 1b on the other hand shows a section from the same sample prepared by encapsulating the structure of Fig 1a in resin before cutting Moving downward on the image we see the flexible substrate the Al layer
Fig 1 a) Medium thickness section (110nm) of the sample without encapsulation in resin b) 90nm section of the same sample encapsulated in resin Stress inducedcompression of the composite layer is clearly avoided byencapsulation in resin
Fig 2 With our methodology 90 nm appears to be the optimum cross section thickness When we attempted60 nm sections the sample buckled under cutting stresses
Cross Section High Resolution Imaging of Polymer-Based Materials 205
the polymer-nanotube composite and the resin Even though both sections represent the same composite layer its thickness appears very different The absence of resin in sample (a) allows the cut-induced stress to shrink the composite layer Comparing images Fig 1a and Fig 1b we see that the Al layer appears flat in Fig 1b showing that cut-induced stress is less when the sample is encapsulated in resin The film thickness calculated from images similar to that shown in Fig 1b was about 1 microm a reasonable value for drop cast samples Finally when we attempted to prepare thinner sections (60 nm) the sections were too thin to withstand the cut-induced stresses Figure 2 shows that the sample was damaged during cutting We have found that for our materials the optimum section thickness is 90 nm
As soon as we established our sample preparation methodology we used the produced sections to investigate the structural properties of our P3HTnanotube composites It is widely accepted that the toughness and stability of polymers improve markedly when they are mixed with carbon nanotubes [78] Mechanical fortification of polymers by nanotubes results from strong covalent bonds between the two materials and uniform dispersion of the nanotubes is required for best results However our
nanotube powder includes wide clusters which are usually not dispersed even after prolonged ultrasonic agitation Figure 3a shows one such nanotube agglomerate proving that unfortunately blending of the nanotube powder with polymer does not improve dispersion of the agglomerates Even though the interaction of polymer with the nanotubes at the periphery of the clusters might still be strong the absence of polymer in the interior of clusters means that the cohesion of the material in such areas is poor Therefore Fig 3b depicts a frequently observed situation the composite has split right through the nanotube agglomerate Images at higher magnification show that the nanotubes at the centre of the composite are not covered with polymer proving that indeed polymer does not penetrate into the heart of the agglomerate However when one images nanotubes at the edges of agglomerates the nanotubes appear wrapped in polymer Figure 4 shows two cases of nanotubes clearly wrapped in polymer It is interesting to note that even though the composite has split the nanotubes protruding from the film are still wrapped in polymer This shows that wherever polymer
Fig 3 Structurally nanotube agglomerates appear to be the weakest points of the composite a) nanotube cluster b) composite incision into a nanotube cluster
206 D Delaportas et al
has penetrated the composite the adhesion on carbon nanotubes is very strong 4 Conclusions
Here we have presented a methodology for preparing cross sections of organic layers for imaging at high resolution using TEM The right choice of substrate material and encapsulation with thin metallic layers ensures that the layers of interest can be protected and kept intact during the process Encapsulation of the final structure in resin seems necessary if one wants to minimise structural deterioration during sectioning Importantly the enclosure of the material under examination between two metallic layers improves contrast around the examined material Our approach constitutes a method that allows the examination of a large number of samples within a couple of days Putting our methodology to use we have successfully examined P3HT nanotube composites Our preliminary results show that wherever the two materials mix P3HT adheres well on the nanotubes a perquisite for creating polymer-nanotube functional materials References 1 Shaw J M and Seidler P F 2001 IBM J Res amp Dev 45 3 2 Chua L L et al 2005 Nature 434 194 3 Kymakis E Alexandrou I and Amaratunga G A J 2002 Synthetic Met 127 59 4 Sano N Wang H Chhowalla M Alexandrou I et al 2001 Nature 414 506 5 Alexandrou I Wang H Sano N and Amaratunga G A J 2004 J Chem Phys 120 1055 6 Park G S 1999 Surf Coat Tech 115 52 7 McCarthy B et al 2002 J Phys Chem B 106 2210 8 Lahiff E Leahy R Coleman J N and Blau W J 2006 Carbon 44 1525
Fig 4 Carbon nanotubes imaged around a hole in the sample Even though the bulk of polymer has retracted the nanotube is still wrapped in polymer proving good adhesion between polymer and nanotubes
Direct Observation of Carbon Nanotube Growth by Environmental Transmission Electron Microscopy H Yoshida T Uchiyama and S Takeda Department of Physics Graduate School of Science Osaka University 1-1 Machikaneyama Toyonaka Osaka 560-0043 Japan CREST Japan Science and Technology Agency Kawaguchi Saitama 332-0012 Japan Summary We have succeeded in direct observations of the growth of a multi-walled carbon nanotube (MWNT) in an ethanol gas by environmental transmission electron microscopy A short MWNT has been grown by chemical vapor deposition from ethanol using Co as a catalyst The catalyst nanoparticle has lifted off the substrate and at the same time a MWNT has been grown After the interruption of the growth the MWNT has been gradually transformed to a carbon onion We consider that the transformation has been induced by knock-on displacement and Stone-Wales rearrangement 1 Introduction Recently various nanomaterials have been grown via solid-gas reactions For example carbon nanotubes (CNTs) [1 2] one of the most promising nanomaterials for future nanotechnology are grown from metal catalysts in source gases Controlled growth of their structure is required in order to apply their outstanding properties to future nanodevices This suggests that solid-gas reactions need to be understood at the atomic level In this respect transmission electron microscopy (TEM) using a gas-filled specimen chamber which is occasionally called environmental-TEM (ETEM) is one of the best techniques [3-5] In this paper we show in situ observations of the growth of a multi-walled CNT (MWNT) and transformation from the MWNT to a carbon onion 2 Experimental Procedure A Si disk 3 mm in diameter was taken from a Si wafer The centre of the Si disk was mechanically thinned using an ultrasonic drill and then chemically perforated in a mixed solution of HFHNO3=16 The edge of the hole was very thin and transparent to fast electrons After that the surface of the Si disk was oxidized by heating in the air at 1000 ˚C for 2 hours The surface oxide layer was necessary to prevent silicidation of the catalyst A Co thin film about 01 nm thick was deposited on the one side of the disk
The specimen was set in a TEM heating holder and inserted in the newly designed ETEM instrument (FEI Tecnai F20 equipped with E-cell) in Osaka University operated at 120 kV After that the specimen was heated to 650 ˚C and then ethanol gas was introduced for the ETEM as a source gas of CNTs The ETEM pressure was 01 mbar Successive TEM images were recorded using a CCD camera with a rate of 1 frame per 035 s 3 Results and Discussion 31 Growth of MWNT We show an in situ observation of MWNT growth by ETEM in Fig 1 Before the growth the shape of the catalyst nanoparticle is a sphere (Fig 1a) Then the shape changes into an elongated
210 H Yoshida et al
shape (Figs 1b to 1d) At a certain moment the catalyst nanoparticle lifts off the substrate and contracts to a spherical shape (Figs 1e and 1f) At the same time a MWNT grows Similar observation of the growth of a MWNT have been reported by Helveg et al [4] though their experimental conditions were different from our conditions for example they used a mixture of methane and H2 as a source gas and Ni as a catalyst
The shape of the catalyst nanoparticle changes drastically during the growth of the MWNT There are several possible states of the catalyst nanoparticle First the catalyst nanoparticle is molten during the growth The melting point of Co is 1495 ˚C and the equilibrium eutectic temperature of the Co-C alloy is about 1320 ˚C [6] These temperatures are higher than the growth temperature in this experiment ie 600 ˚C It is well known that the melting points of materials depend on their size [7] As the size becomes smaller the melting point becomes lower Considering the size effect it is very likely that the equilibrium eutectic temperature of the Co-C alloy with nanometre size is reduced to below 1000 ˚C Actually the melting point of Co nanoparticles of 30 nm diameter is reduced to 600 ˚C in a methane ambient [8] Thus it may be possible to melt the catalyst nanoparticle at 600˚C in the ethanol ambient Second the catalyst nanoparticle may be solid It is well known that the shape and the structure of particles fluctuate
Fig 1 Successive TEM images showing the growth process of a MWNT The time lapse from (a) is shown in the bottom left of each image
Direct Observation of Carbon Nanotube Growth by ETEM 211
when the size of particles is very small [9 10] The other possibility is that the catalyst nanoparticle is partially molten After the growth diffraction contrast can be observed frequently as shown in Figs 1g to 1i and therefore the catalyst nanoparticle is solid This diffraction contrast is observed at various positions This means that the orientation of the crystal relative to the beam changes The most probable reason is that the catalyst nanoparticle rotates Another possibility is that the atomic arrangements change Moreover it is possible that the catalyst repeats melting and recrystallizing rapidly In order to clarify the state of the catalyst nanoparticle more detailed observations are necessary 32 Transformation from MWNT to Carbon Onion We continued to observe the short MWNT shown in Fig 1 Then the MWNT shrank gradually and finally transformed to a carbon onion [11] as shown in Fig 2 At the first stage the number of graphitic layers is unclear as shown in Fig 2a After a certain moment the graphitic layers become observable clearly as shown in Fig 2b We consider that the amorphous carbon on the MWNT was graphitized Since more amorphous carbon adhered around the root the number of graphitic layers is larger at the root The MWNT which consists of 3 to 5 layers transforms to a carbon onion with 5 shells
We discuss the mechanism of the transformation briefly Closed-cage structures such as carbon onions and fullerene can be formed by replacing some six-membered rings with five- or seven-membered rings geometrically In this experiment ETEM was carried out at 120 kV By such intense electron irradiation C atoms are ejected from the CNT by knock-on displacement [12 13] Molecular dynamics simulations [12] show that high-membered rings are formed in single-walled CNTs by the ejection of C atoms and then such unstable rings disappear while leaving five- six- and seven-membered rings by the Stone-Wales rearrangement [14] The same phenomena probably occur in the short MWNTs Consequently the MWNTs shrink and transform to a carbon onions Ugarte has reported that soot containing tubular or polyhedral graphitic particles is deformed to carbon onions by intense electron beam irradiation (at an electron energy of 300 keV)[15] Though his experiment was performed in vacuum it seems that the observation region was C rich since many graphitic particles
Fig 2 Successive TEM images showing the transformation process of a MWNT into a carbon onion The time lapse from (a) is shown in bottom left of each image
212 H Yoshida et al
existed and were irradiated by the intense electron beam Similarly our experimental condition was C rich ie ethanol gas of 01 mbar We speculate that such a C rich environment and electron irradiation contribute to the transformation Acknowledgements The authors are indebted to Prof Yoshikazu Homma who suggested this study to us This work was supported by CREST-JST References 1 Iijima S 1991 Nature 354 56 2 Iijima S and Ichihashi T 1993 Nature 363 603 3 Yoshida H and Takeda S 2005 Phys Rev B 72 195428 4 Helveg S Lόpez-Cartes C Sehested J Hansen P L Clausen B S Rostrup-Nielsen J R Ablid-
Pedersen F and Noslashrskov J K 2004 Nature 427 426 5 Sharma R and Iqbal Z 2004 Appl Phys Lett 84 990 6 Massalski T B 1986 Binary Alloy Phase Diagrams (American Society for Metals) 7 Buffat P and Borel J-P 1976 Phys Rev A 13 2287 8 Homma Y Kobayashi Y Ogino T Takagi D Ito R Jung Y J and Ajayan P M 2003 J Phys
Chem B 107 12161 9 Iijima S and Ichihashi T 1986 Phys Rev Lett 56 616 10 Smith D J Petford-Long A K Wallenberg L R and Bovin J-O 1986 Science 233 872 11 Iijima S 1980 J Cryst Growth 50 675 12 Ajayan P M Ravikumar V and Charlier J-C 1998 Phys Rev Lett 81 1437 13 Smith B W and Luzzi D E 2001 J Appl Phys 90 3509 14 Stone A J and Wales D J 1986 Chem Phys Lett 128 501 15 Ugarte D 1992 Nature 359 707
Band-Gap Modification Induced in HgTe by Dimensional Constraint in Carbon Nanotubes Effect of Nanotube Diameter on Microstructure J Sloan R Carter1 A Vlandas1 R R Meyer1 Z Liu2 K Suenaga2 P J D Lindan3 G Lin3 J Harding4 E Flahaut5 C Giusca S R P Silva J L Hutchison1 and A I Kirkland1 Advanced Technology Institute School of Electronics and Physical Sciences University of Surrey Guildford GU2 7XH UK 1 Department of Materials University of Oxford Oxford OX1 3PH UK 2 AIST Research Centre for Advanced Carbon Materials Tsukuba Ibaraki 3058565 Japan 3 School of Physical Sciences University of Kent Canterbury CT2 7NR UK 4 Department of Engineering and Materials Mappin Street Sheffield S1 3JD UK 5 Centre Interuniversitaire de Recherche drsquoIngeacutenierie sur les Mateacuteriaux Universiteacute Paul Sabatier
UMR CNRS 5085 Bacirct 2Rl 31062 Toulouse Cedex 9 France Summary A new tubular form of HgTe grown in narrow single walled carbon nanotubes is described with Hg and Te in reduced coordination Two unique projections obtained by HRTEM from two separate crystal fragments enabled reconstruction of the atomic arrangement of the new form DFT confirmed the stability of the new structure and that it has a modified band gap transforming HgTe from a semimetal to a semiconductor (band gap +13eV) HRTEM shows that as the nanotube diameter increases the new form is no longer obtained and for diameters of 16-2 nm disordered HgTe is obtained for diameters gt2 nm sphalerite HgTe is obtained 1 Introduction The synthesis of dimensionally restricted semiconductor materials in particular quantum dots quantum wires and quantum wells has been an intense area of research over the past decade For semiconducting particles precise control over particle size and dimensionality is directly correlated with the control over the band gap as can be shown by the effective mass approximation which has been used for predicting this for nanocrystals down to the 2 to 3 nm particle size range Similarly the conduction properties of metallic nanowires may be controlled by the precise number of atomic columns that constitute the nanowire Kondo and co-workers demonstrated that gold nanowires suspended between electrodes mounted in the pole piece of a high-resolution transmission electron microscope (HRTEM) exhibit quantized conduction properties according to shell thickness [1] Recently we have shown that a wide variety of inorganic solids form 1D crystals within single walled carbon nanotubes (SWNTs) that can be integral numbers of atomic layers in thickness regulated precisely by the pore diameter of the encapsulating nanotube [2] Here we describe a SWNT-encapsulated 1D crystal structure which is atomically regulated and which also displays a wholly novel structure and coordination scheme [3] The determination of the 3D structure of two 1D crystals formed within SWNTs of approximately equal diameter from a pair of restored images obtained from two encapsulated and twisted HgTe 1D crystals is described These two crystals can be related to each other by tilt and rotation symmetry operations We further describe the effect of nanotube diameter on crystallinity showing the effect of crystallisation in both wider single walled carbon nanotubes and also double-walled carbon nanotubes
The starting material for this experiment is HgTe or coloradoite (Fig 1 (I)) which adopts predominantly the F 4 3m sphalerite structure in the bulk although the P3121 cinnabar form is obtained under pressure The ambient pressure phase is a diamond-like structure with 50 of the
214 J Sloan et al
atom positions being occupied by Hg in a strictly alternating fashion with the remaining 50 Te atoms resulting in a net tetrahedral coordination for both elements while the latter structure resembles distorted rocksalt
Fig 1 I ndash bulk coloradoite form of HgTe in which both Hg and Te exist in tetrahedral coordination II a) End on view of lsquoTubularrsquo 2-layer Hg4Te4 motif corresponding to the new form of HgTe (θ) tilt angle of motif relative to the electron beam φ angle of orientation of motif with respect to the electron beam γ Te-Hg-Te internal bond angle b) simulation of short sections of motif for two fixed values of θ variable φ and γ = 70˚ c) exit wave reconstruction of first HgTe crystal d) and e) corresponding simulation and model corresponding to (c) f) exit wave reconstruction of first HgTe crystal g) and h) corresponding simulation and model corresponding to (c) III DFT optimised HgTe fragment for new tubular form
2 Experimental HgTe was introduced into SWNTs by capillarity utilizing a high-yield filling procedure [4] and then examined in a 300kV JEOL 3000F HRTEM at 600000times magnification The phase of the complex exit plane wavefunction was subsequently reconstructed from a 20-image through focal series obtained from a SWNT containing a 1D HgTe nanocrystal according to an established procedure [56] This enabled the overall image resolution to be improved from beyond the conventional point resolution (ca 016 nm for our instrument) to the information limit (ie 01 nm) Further images were obtained on a Cs-corrected (CEOS) JEOL 2010F microscope in which C3 was tuned to 0001 mm 3 Results and Discussion 31 Imaging and Simulation of HgTe in SWNTs We were able to produce numerous restored phase images of 1D HgTe 1D crystals such as the two reproduced in Fig 1(II) From one of these images it was possible to derive the Hg4Te4 tubular motif of the new structural form (Fig 1 IIa) in which we define the orientation of the 1D crystals as imaged with respect to their angle of tilt with respect to the electron beam (θ) and also the relative orientation angle (φ) of the motif with respect to the electron beam Exhaustive image simulation revealed that an optimum Te-Hg-Te intralayer angle (γ = 70˚) could successfully reproduce the image contrast for both imaged fragments starting from a common motif and taking into account standard Hg-Te bond distances This was possible even taking into account the small
Band-Gap Modification Induced in HgTe by Dimensional Constraint 215
amount of twist observed in each 1D crystal In Fig 1 IIb a tableau of simulations is reproduced for two fixed values of θ and different values of φ In this way the microstructures of both fragments could be derived and simulated as shown in Figs 1 IIc-h The two experimental crystal fragments are found to be related by two symmetry operations (ie a tilt and a rotation) but belong to the same structure In Fig 1 III we see the DFT optimised version of the structure in side-on view which reveals clearly the new coordination scheme for Hg (ie trigonal planar) and Te (ie half-octahedral)
In the new 1D HgTe crystal (Fig 1 III) the predicted net coordination of Hg is three Te atoms in a nearly coplanar configuration with Te-Hg-Te bond angles of ca 127˚ These are bonds coplanar as the Hg atoms reside on the faces of the HgTe 1D crystal By contrast there are two Hg-Te-Hg bond angles of ca 91˚ and one of 106˚ as the Te atoms lie on the edges of the 1D crystal This model and these bonding angles can be rationalised in chemical bonding terms by comparing the bonding of bulk diamond-like HgTe with bonding likely to be found in this 1D crystal In the neutral elements the electron configurations are Hg [Xe] 5d106s2 and Te [Kr] 4d10 5s2 5p4 In the bulk structure Te therefore gives 2e to Hg and both Hg and Te promote one s electron to p so that both have 4 half-filled sp3 orbitals giving rise to the strong tetrahedral covalent bonds In the confined geometry of the nanotube both the Hg and Te atoms have only three nearest neighbours and sp3 hybridization would therefore lead to one dangling bond per atom A more likely scenario would have Te donating 1e to Hg with the result that Te would have one filled s orbital and three half-filled p orbitals Hg would promote one s electron to p therefore forming three half-filled sp2 orbitals This bonding scheme would facilitate co-planar bonding for Hg within the 1D crystal facets and also permit orthogonal bonding for Te at the edges of the crystal (cf Fig 2) DFT confirms this model and reveals a new band gap for the new tubular form of ca +13 eV which contrasts strongly with the band structure of bulk sphalerite HgTe which is a semimetal with a band gap of -03 eV
32 Crystallisation of HgTe in Wider SWNTs and in DWNTs As shown in the previous section there is a strong link between the local structure of HgTe and the resulting physical properties of the material With this in mind we have attempted to survey the variation in microstructure of the HgTe as a function of nanotube diameter SWNTs show a natural variation in diameter size according to the method of synthesis Further we can also introduce HgTe into double walled carbon nanotubes (ie DWNTs) which in general have a wider internal diameter than SWNTs
In discrete and narrow sim1-14 nm SWNTs ordered HgTe crystals are obtained (Fig 2a and b) which conform to the same microstructure as in Fig 1 (II) above In wider diameter (gtsim14-2 nm) SWNTs disordered HgTe crystals are obtained (Fig 2c and d) In the widest SWNTs (diameter gtsim2nm) we sometimes observe crystalline HgTe (Fig 2e) The ordered form observed in Fig 2e resembles more closely the bulk form of sphalerite HgTe This material will presumably have similar electronic properties to the bulk material
Similar crystallisation effects are seen in DWNTs In the moderately wide DWNT (internal diameter ~16 nm) in Fig 2f - h HRTEM reveals a partially crystallised microstructure (a) If each dark spot (b) constitutes a Hg-Te-Hg column or similar (b and c) then we can build up an overall picture of the local microstructure Fig 2g and f and then simulate (c) The resulting microstructure (Fig 2f) appears as a domain-like structure in which there are regions of distorted rocksalt (ie similar to the cinnabar form) but in which there is relatively little long-range order So far the ordered form of HgTe seen in the 2 nm diameter SWNTs (Fig 2e) was not observed The reason for this is unclear It is likely that the disordered metastable form of HgTe will have an intermediate band gap relative to the bulk and tubular forms of HgTe and this is the subject of further work
216 J Sloan et al
Fig 2 a) and b) single and bundled SWNTs filled with crystalline lsquotubularrsquo HgTe conforming to the new version of HgTe formed in sim14 nm diameter tubules c) and d) disordered HgTe crystals observed in wider SWNTs e) crystalline HgTe formed within a 2 nm diameter SWNT f) disordered HgTe observed in a sim165 nm (internal) diameter DWNT g) column plot h) multislice simulation i) and j) schematic space-filling and ball-and-stick representations of obtained microstructure
4 Conclusions Within SWNTs with a diameter of sim1-14 nm a new tubular form of HgTe is observed for which it has been possible to construct a structure model by the application of exit plane reconstruction image simulation and DFT simulations The stability of this new form appears to be closely linked to the diameter of the encapsulating nanotubes and in either wider SWNTs or DWNTs disordered or more bulk like forms of HgTe are observed References 1 Duan X Niu C Sahi V Chen J Parce J W Empedocles S and Goldman J L 2003 Nature 425
274 2 Sloan J Kirkland A I Hutchison J L Green M L H 2002 Chem Commun 2002 1319 3 Carter R Sloan J Kirkland AI Meyer R Lindan P J D Lin G Green M L H Vlandas A
Hutchison J L Harding J 2006 Phys Rev Lett 96 215501 4 Sloan J Wright D M Woo H G Bailey S R Brown G York A P E Coleman K S Hutchison
J L Green M L H 1999 Chem Commun 699 5 Coene W Janssen G Op de Beeck M and Van Dyck D 1992 Phys Rev Lett 69 3743 6 Kirkland A I and Meyer R 2004 Microsc Microanal 10 401
Gold Catalyzed Silicon Nanowires Defects in the Wires and Gold on the Wires M I den Hertog J L Rouviere F Dhalluin1 2 P Gentile P Ferret2 C Ternon1 and T Baron1
CEA-Grenoble DRFMCSP2MLEMMA GEM-minatec 17 rue des Martyrs 38054 Grenoble Cedex 9 France 1 LTM CNRS UMR-5129 17 rue des Martyrs 38054 Grenoble Cedex 9 France 2 CEA-Grenoble LETIDOPT 17 rue des Martyrs 38054 Grenoble Cedex 9 France Summary Silicon nanowires were grown by the vapour-liquid-solid (VLS) mechanism using gold as the catalyst and silane as the precursor Although the crystalline quality of the wires is very high sometimes defects can be observed Some examples are shown Gold clusters were observed on the lateral sides of the wires by means of scanning transmission electron microscopy (STEM) energy dispersive X-ray (EDX) analysis and scanning electron microscopy (SEM) images An approximate calculation shows that the nanowire sidewalls are covered by one monolayer of gold during growth De-wetting of the monolayer after growth results in a homogenous distribution of gold clusters on the lateral surfaces of the wires 1 Introduction Silicon nanowires (SiNWs) have been actively studied over the last decade as they have held the promise of becoming key building blocks in future electronic and opto-electronic devices They are compatible with silicon technology and could be most elegantly grown directly on their final position in a device on a wafer However successful integration of nanowires in devices will depend ultimately on the degree of control that can be obtained over structure and physical properties Nanowires were for a long time regarded as perfect crystals with straight sidewalls Only recent publications have shown that faceting is actually a rather common phenomenon This can be caused by regular twinning [1] or by the absence of a vertical low energy plane [2] Defects in the nanowires or traces of (gold) catalyst on the nanowire sidewall can change its physical properties The wires studied here generally contained few defects Because the observation of a defect was limited to incidents we will characterize these defects but are unable to provide a more general model
In the second part of this paper we show evidence of gold rich clusters on the lateral surfaces of silicon nanowires and characterize these clusters Convincing indirect evidence was presented by Hannon et al [3] proving the presence of gold on lateral surfaces of silicon nanowires Furthermore Werner et al [4] showed gold clusters on silicon wires grown by molecular beam epitaxy (MBE) However the wires described in these publications were all grown under ultra high vacuum conditions partially to allow in situ observation the wires presented in this work are not grown under UHV Pan et al [5] reported the presence of gold-rich precipitates on boron doped silicon nanowires These precipitates seem to be preferentially present on the highly doped regions of the wires The gold precipitates are explained by instabilities at the liquidsolid interface caused by the addition of the dopant gas flow Since we regard only undoped samples this explanation is insufficient Our experiments do not provide evidence that gold also contributes to the faceting as suggested by Ross et al [2] we just note that gold is unambiguously present on the lateral faces of all observed nanowires
218 M I den Hertog et al
2 Experimental Details SiNWs were grown by chemical vapor deposition via the VLS process on a (111) Si substrate at temperatures between 450 degC and 650 degC in a low pressure chemical vapor deposition (LPCVD) reactor at a base pressure held constant at 20 mbar Gold was used as the catalyst and silane (SiH4) as the Si source Hydrogen (H2) was used as a carrier gas Small pieces of 10 mm times 10 mm substrates were first deoxidized in a HF solution 2 nm of gold were then deposited on these surfaces at a vacuum pressure of 10-6 Pa The substrates were then loaded in the reactor and annealed under a H2 flow in order to form nanocatalysts by de-wetting of the Au layer After cooling down the substrate to the growth temperature SiH4 was introduced Wires were grown at different flow rates 10 15 and 25 sccm The SiNWs were observed by SEM in a ZEISS ultrascan microscope equipped with an in-line detector STEM images in combination with EDX measurements were realized on a FEI TITAN microscope working at 300kV High resolution and conventional transmission electron microscopy images were realised on a JEOL 4000FX equipped with a GATAN 2Kx2K CCD camera For (S)TEM observations SiNWs were broken by ultrasound in a propanol solution and deposited on holey carbon grids from the propanol suspension or were directly deposited on the grid For TEM observations in cross section a slice of the sample was mechanically polished Polishing was finished by argon ion milling 3 Results 31 Defects Generally the crystalline quality of the wires is high and few defects can be observed Large wires (radius r gt 20 nm) grow along lt111gt directions [6] and can sometimes contain twins near the base Fig 1a or at a kink Fig 1d In Fig 1a a weak beam image of a wire is shown with a series of horizontal twin faults at the base In Fig 1b a high resolution zoom is shown The three equivalent lt111gt directions are indicated for both grains family I of equivalent lt111gt directions in grey and family II of equivalent lt111gt directions in black In Fig 1c a SEM top view image is shown Normally only 3 upward equivalent lt111gt directions should be observed The fact that 6 directions are observed can be explained by the presence of a twin at the base of the wire Fig 1a which flips the first 3 equivalent lt111gt directions (family I indicated by white arrows in Fig 1b) to another set of 3 equivalent upward lt111gt directions (family II indicated by grey arrows in Fig 1b) In Fig 1d a typical example of a kinked nanowire is shown The kink is caused by a Σ = 3 twin grain boundary on a lt111gt plane After the kink growth continues on a different lt111gt plane A reconstruction of the lattice shown in Fig 1e demonstrates the kink is caused by a twin fault The 3 equivalent lt111gt directions are shown in grey In Fig 1f a conventional two beam image with a stacking fault on an inclined 111 plane is shown This was very seldom observed
Faceting caused by a regular series of twin faults was shown recently to be a quite common phenomenon for GaP wires [1] Twin planes have been observed in silicon nanowires made by laser ablation [7 8] To our knowledge a series of horizontal twins has not been observed before in silicon nanowires controllably grown in the lt111gt direction following the epitaxial orientation of the substrate We suppose the kinks observed here are caused by instabilities at the beginning of growth caused by a change in partial pressure of silane (under our growth condition it takes five minutes to change the gas composition of the growth chamber) Clearly the energy barrier of twin nucleation [1] is sufficiently high in silicon that under our growth conditions twinning is unlikely to happen if we have reached steady state growth One kink was observed without a grain boundary In this case the kink was caused by growth along one of the three inclined lt111gt direction
Smaller wires (r lt 20 nm) sometimes contain a vertical defect A vertical twin in a [112] oriented nanowire and an ldquoincommensurateradic2rdquo Si(100)Si(0-11) domain in a [011] oriented nanowire were incidentally observed (not shown)
Gold Catalyzed Silicon Nanowires Defects in the Wires and Gold on the Wire 219
Fig 1 a) TEM weak beam image having horizontal (111) twin faults at its base b) HRTEM image zooming on the fault of Fig 1a The upward nearly horizontal 111 plane normals are represented by arrows when they are in the plane by triangles when the normal points out of the plane and by dotted lines when it points into the plane I corresponds to the upper grain II to the lower one c) SEM top view image showing a few kinked wires The arrows indicate the 6 possible lt111gt directions of growth after the kink Family I is in grey surrounded by white Family II is in black d) Conventionnal two beam TEM image of a kinked wire e) Atomic model of the 111 Σ = 3 fault at the kink f) Conventionnal two beam TEM images of a wire having a stacking fault on an inclined 111 plane
32 Gold Clusters on the Wire Sidewall In Fig 2 STEM images of a Silicon nanowire and EDX measurements on the same wire are shown Brighter regions can be observed on the wire and facets can be distinguished An arrow indicates where the EDX spectra has been taken The EDX measurements on different parts of the wire show that the brighter particles are gold rich clusters Analysis of the clusters reveals an average diameter of 3-4 nm and a thickness of 2 nm being approximately 4 to 5 monolayers of gold The clusters are
present under a native SiO2 layer (not visible in STEM) These clusters can be observed in SEM as well (not shown) STEM and SEM images show that approximately 20 of the wire surface is covered by gold clusters This implies that during growth the lateral faces of the wire will be covered by approximately one monolayer of gold this is in good agreement with the value found by Hannon et al [3] De-wetting of the monolayer occurs after growth resulting in observed clusters Fig 2 EDX spectra and STEM images of a silicon nanowire An arrow indicates where the EDX spectrum has been taken The brighter particles are unambiguously gold rich clusters as three typical gold lines appear in the EDX spectrum around 2200 eV
220 M I den Hertog et al
Fig 3 High resolution TEM image showing sawtooth faceting [2] Zooming in on a small area allows identification of alternating (-111) and (-311) planes using vector calculation as shown The viewing direction is [0-11] the scale bar is 10 nm
In Fig 3 a high resolution TEM image of the wire sidewall faceting is shown as also observed by [2] Vector calculation allows identifying the alternating planes as shown
The nanowires exhibit tapering angles corresponding to wetting of the lateral surfaces by one monolayer of gold Tapering angles are approximately 03-04deg for wires with a diameter around 150 nm As our wires generally have a larger diameter than as described by Hannon et al [3] the tapering angle is generally smaller and wires can grow longer 4 Discussion It was already suggested by several authors [2 9] that the presence of oxygen traces during growth could inhibit the diffusion of gold over the nanowire surface and substrate This assumption was proved only very recently by Kodambaka et al [10] by showing that only a slight amount of oxygen effectively inhibited tapering of the wires The present results seem to be specific to the Au-catalyzed VLS growth system without the presence of oxygen As we do not work under UHV conditions we cannot exclude the possibility of traces of oxygen present during growth however it is clear that the amount is insufficient to block the diffusion of gold over the wire surface 5 Conclusion We have shown some examples of defects that can incidentally be observed in silicon nanowires As defects were rare a detailed analysis of their formation mechanism was not possible
We conclude that the nanowire sidewall is always covered by a monolayer of gold during growth under the studied growth conditions Adapted SEM and STEM equipment proved to be valuable tools to detect the presence of gold This implies a constraint on the nanowire length and a tapered shape as the volume of the catalyst particle decreases during growth Furthermore the presence of gold on the sidewalls of nanowires will undoubtedly alter their physical properties References 1 Johansson J Karlsson L S Svensson P T Martensson T Wacaser B A Deppert K Samuelson L
and Seifert W 2006 Nature Mater 5 574 2 Ross F M Tersoff J and Reuter M C 2005 Phys Rev Lett 95 146104 3 Hannon J B Kodambaka S Ross F M and Tromp R M 2006 Nature 440 69 4 Werner P Zakharov N D Gerth G Schubert L and Goumlsele U 2006 Int J Mat Res 97 1008 5 Pan L Lew K Redwing J M and Dickey E C 2005 J Crystal Growth 277 428 6 Schmidt V Senz S and Goumlsele U 2005 Nanolett 5 931 7 Zhou G W and Zhang Z 1998 Appl Phys Lett 73 677 8 Zhou G W Zhang Z and Yu D 1999 J Crystal Growth 197 129 9 Goumlsele U 2006 Nature 4402 34 10 Kodambaka S Hannon J B Tromp R M and Ross F M 2006 Nano Letters
Electron Microscopy Analysis of AlGaNGaN Nanowires Grown by Catalyst-Assisted Molecular Beam Epitaxy L Lari R T Murray M Gass1 T J Bullough and P R Chalker C Chegraveze2 L Geelhaar2 and H Riechert2 Department of Engineering University of Liverpool Liverpool L69 3GH UK 1 SuperSTEM Laboratory CLRC Daresbury Daresbury WA4 4AD UK 2 Qimonda D-81730 Munich and NaMLab D-01099 Dresden Germany Summary Scanning transmission electron microscopy has been used to investigate the composition of nickel seeds which promote the columnar growth of AlGaN GaN nanowires deposited by molecular beam epitaxy (MBE) on sapphire The nickel distribution along the nanowires was investigated by both X-ray and electron-energy-loss spectroscopy Gallium was observed in nickel seeds at the nanowires growth tips No aluminium was detected and a minimal presence of nitrogen was observed in the nickel seeds which exhibit a nickel oxide surface attributed to oxidation following removal from the MBE growth system 1 Introduction Semiconductor nanowires are quasi-one-dimensional structures which can be tailored to exhibit unique electrical and optical properties Extensive research activity has been devoted to the synthesis of gallium nitride-based nanowires as GaN has become a technologically important large band gap semiconductor [1] In particular AlXGa1-XN GaN semiconductor structures are being developed for applications in optoelectronics [2] and high frequency devices such as heterostructure field-effect transistors [3]
Catalyst-assisted mechanisms of growth such as the vapour-liquid-solid (VLS) phase [4] and vapour-solid-solid (VSS) phase [5] processes have been widely used to explain the nanowire growth habits arising from various growth processes [6-9] and a wide range of different catalysts [9]
In this paper we have used the chemical resolution of an aberration-corrected scanning transmission electron microscope to investigate the composition after growth of nickel particles used to promote the growth of AlGaN GaN nanowires 2 Experimental Details Two nanowire samples grown by MBE here labelled A and B were investigated The nominal structures were respectively AlGaN and GaN layers alternating along the nanowire growth axes for sample A and uniform Al02Ga08N for sample B The nanowires were deposited on Al2O3 (0001) substrates treated with an annealed thin nickel film used to promote the columnar growth of nanowires The details of the growth process are described elsewhere [10]
The analyses were performed by a VG HB601UX scanning transmission electron microscope with a cold-field emission gun (FEG-STEM) operating at 100 kV equipped with a windowless Si(Li) energy-dispersive X-ray (EDX) spectrometer (Oxford Instrument) Samples were also examined using an aberration-corrected STEM at the SuperSTEM Laboratory This instrument is based on a 100 keV VG HB501 with a cold-field emission source equipped with a Gatan Enfina parallel channel electron energy loss spectroscopy (EELS) system and a Nion Mark II spherical aberration corrector The angular range of the high angle annular dark field (HAADF) detector is from 70 to 210 mrad
222 L Lari et al
3 Results and Discussion The presence of seed particles at the growth tip of the nanowires is indicative of either a VLS or VSS phase growth mechanism Fig 1a - 5a show the nickel seeds found at the tips of both samples
Electron energy loss spectroscopy point analysis (not shown) of the seed in Fig 1a exhibited both oxygen K edge and nickel L23 edges Lattice fringes are clearly visible within the seed particle Fast Fourier Transform analysis of the bright field image as in Fig 1b shows that the fringe spacing is (211plusmn002) Aring This value closely matches 20972 Aring the inter-planar spacing of the (200) plane for NiO ([Bunsenite FM3-M]) [11] The data does not conclusively confirm the stoichiometric NiO phase as the fringes could also arise from Ni-Ga alloys with lattice spacing close to 211 Aring
Further investigation using EDX analysis yielded spectra from the tip of different NWs exhibiting intense Ni and Ga signals The data shown in Fig 2b were quantified using the thin film approximation which gives a value of about 1 for the Ni Ga atomic ratio within the seed area whilst in NW body the Ni signal disappears into the background In Figs 3a 4a and 5a HAADF images show nanowire tips The resolution is limited by the short tilting range of the sample holder in the microscope In each case the nanowire (0001) axes were aligned within 1ordm perpendicular to the electron beam which is confirmed by the transverse lattice fringes Semi-quantitative distributions of elements present in the droplets are shown in the graphs of Fig 3b 4b and 5b EELS spectra were analysed after background subtraction using a Gatan Digital Micrograph fitting routine assuming a single power law decay The EELS edges were integrated choosing spectral windows accordingly to Liu and Brown [12] In all cases the N signal decreases from its value in the AlGaN NW to become negligible throughout the Ni seed This is attributed to the relatively poor solubility of N in Ni In fact the most important compounds of N-Ni systems are Ni3N which decomposes at temperatures above 600 ˚C into Ni and N2 (unless under very high N2 pressures) and Ni4N which decomposes into Ni and Ni3N at temperatures above 250 ˚C [13] These are values well below the growth temperature
Fig 2 a) Sample B STEM BF image with marks of EDX point analysis positions b) Continuous line EDX spectrum from position 1 dotted line spectrum from position 2 (spectra normalized at the Cu peak artifact from the TEM grid) 70 75 80 85 90 95
0
1
2
GaCu
Ni
Inte
nsity
(au
)
Energy (KeV)
20 nm
1
2
a) b) 12
Fig 1 a) Bright field image with lattice resolution of Ni based seed at the tip of a nanowire of sample A in the inset the FFT of the image b) Inverse FFT of the seed region using the selected spots in the FFT
211Aring
b) a)
EMA of AlGaNGaN Nanowires Grown by Catalyst-Assisted MBE 223
Fig 3 a) Sample A HAADF image with EELS line scan mark (02 nm spatial resolution 05 eV energy resolution 20-690 eV energy range) b) Energy loss integrated signals along the line scan corresponding to Ni M23 O K N K and Ga M23 edges (Al edge L23 not detected)
Fig 4 a) Sample B HAADF image with EELS line scan mark (016 nm spatial resolution 07 eV energy resolution range 310-1250 eV) b) Energy loss integrated signals along the line scan corresponding to Ni L23 O K N K and Ga L3 edges (Al edges outside of the energy range)
The nickel distribution is well defined by atomic number contrast in the brighter regions in the
HAADF images which is also reflected in the line scan graphs Gallium remains at about half of the value with respect to that in the nanowire body for seeds in Fig 3 and 4 Oxygen reaches its maximum in the extreme tip of the droplet were nickel and gallium fall to half of the value with respect to their maxima These data indicate that the droplet has an oxide shell which could have been formed after growth and exposure to the ambient The observed elemental distributions in the seed of Fig 5a show a different behaviour (Fig 5b) The Ni and O profiles closely correlate and no metallic core is evident This behaviour found in a relatively small seed was attributed to the fact that the smaller volume surface ratio permits a complete oxidation 4 Conclusions Scanning transmission electron microscopy has been used to explore the composition of nickel catalyst seeds formed during the growth of AlGaN GaN nanowires on sapphire substrates The distribution of nickel catalyst within the nanowires has been characterised by EDX and EELS analyses
0 2 4 6 8 10 12 14
0
1
Inte
grat
ed E
ELS
edg
es (a
u)
Position (nm)
Ni O Ga N
a) b)
0 2 4 6 8 10 12
0
1
Inte
grat
ed E
ELS
edg
es (a
u)
Position (nm)
Ni O Ga N
b) a)
224 L Lari et al
Fig 5 a) Sample B HAADF image with EELS line scan mark (02 nm spatial resolution 07 eV energy resolution range 310-1250 eV) b) Energy loss integrated signals along the line scan corresponding to Ni L23 O K N K and Ga L3 edges (Al edges outside of the energy range)
Gallium was observed in nickel seeds at the growth tips of the nanowires where nitrogen
presence was minimal The seeds also exhibited a gallium doped nickel oxide surface which was attributed to oxidation following removal from the MBE growth system Acknowledgements This work was supported by EU Marie Curie RTN contract MRTN-CT-2004-005583 (PARSEM) and the IST project NODE 015783 We wish to acknowledge EPSRC for the access to SuperSTEM facilities and the use of the EPSRCs Chemical Database Service at Daresbury References 1 Nitride Semiconductors - Handbook on Materials and Devices 2003 eds Ruterana P Albrecht
M and Neugebauer J (Wiley-VCH Berlin) 2 Johnson J C Heon J C Knutsen K P Schaller R D Yang P D and Saykally R J 2002 Nat
Mater 1 106 3 Huang Y Duan X Cui YX and Lieber C M 2002 Nano Lett 2 101 4 Wagner R S and Ellis W C 1964 Appl Phys Lett 4 89 5 Dick K A Deppert K Maringrtensson T Mandl B Samuelson L and Seifert W 2005 Nano Lett 5
761 6 Wang X Song J Li P Ryou J H Dupuis R D Summers C J and Wang Z L 2005 J Am Chem
Soc 127 7920 7 Zhou X T Wang N Lai H L Kim M H Peng Y Bello I Wong N B Lee C S and Lee S T
1999 Appl Phys Lett74 3942 8 Kim T Y Lee S H Mo Y H Shim H W Nahm K S Suh E K Yang J W Lim K Y and Park
G S 2003 J Crystal Growth 257 97 9 Chen C C Yeh C C Chen C H Yu M Y Liu H L Wu J J Chen K H Chen L C Peng J Y and
Chen Y F 2001 J Am Chem Soc 123 2791 10 Geelhaar L et al (2007) to be published 11 Taylor D 1984 lsquoThe United Kingdom Chemical Database Servicersquo Trans Brit Ceram Soc
83 5 12 Liu D R and Brown M 1987 J Microsc 147 37 13 Wriedt H A in Phase Diagrams of Binary Nickel Alloys edited by P Nash (ASM
International Materials Park OH 1991) pp 213ndash216
0 2 4 6 8 10 12
0
1
Inte
grat
ed E
ELS
edg
es (a
u)
Position (nm)
Ni O Ga N
a) b)
Epitaxial Growth of Single Crystalline GaN Nanowires on (0001) Al2O3 Th Kehagias Ph Komninou G P Dimitrakopulos S-L Sahonta C Chegraveze1 L Geelhaar1 H Riechert1 and Th Karakostas Department of Physics Aristotle University of Thessaloniki GR-54124 Thessaloniki Greece 1 Qimonda D-81730 Munich and NaMLab D-01099 Dresden Germany Summary Well-aligned single crystalline GaN nanowires were epitaxially grown on Al2O3 by molecular beam epitaxy Controlled growth of the nanowires is achieved by tuning the VIII ratio during growth Oxidised single crystalline catalyst droplets of a cubic symmetry are observed on the top surface of the nanowires Adaptation of the cubic lattice on the wurtzite tip is realized via the introduction of a dense network of misfit dislocations at the interface The 100 lattice spacing of the oxide droplets is found to be very close to its strain-free value indicating almost full relaxation by the misfit dislocation network 1 Introduction One-dimensional GaN nanowires (NWs) have recently received a lot of interest due to their potential applications in high quality laser diodes UV light emitting diodes (LEDs) and other photonic nanodevices [1-5] Bottom-up growth methods seem to be much more cost effective and easier for the growth of one-dimensional nanostructures than top-down fabrication techniques Therefore growth techniques that have been used to produce GaN NWs such as metal-organic chemical vapour deposition (MOCVD) hydride vapour phase epitaxy (HVPE) or chemical vapour transport (CVT) and lately molecular beam epitaxy (MBE) are widely spread [6-9] NW preparation is usually based on the vapour-liquid-solid (VLS) growth mechanism mediated by evaporation or sputtering of a catalytic metallic layer (Au Ni Fe) on the substrate Growth of GaN NWs on Si without the presence of a metal catalyst layer has been also reported lately
In this study we report on the catalytic growth of GaN NWs by plasma-assisted MBE on Al2O3 (0001) substrates by employing conventional transmission electron microscopy (TEM) and high-resolution TEM (HRTEM) The crystal properties of GaN NWs and the post-growth structure of the catalyst layer are investigated 2 Experimental A 03 nm-thick layer of Ni was ex-situ sputtered onto the sapphire surface and annealed in the MBE chamber for 15 min at 750degC yielding tiny droplets GaN NWs were then grown initially under N-rich conditions and oriented along the [0001] (axial) growth direction whereas under initial Ga-rich conditions a compact layer of GaN was grown despite the presence of Ni After 300 nm of N-rich growth the VIII-ratio was changed to Ga-rich conditions and NWs were grown mostly in a radial (lateral) manner and thus were thickened near the tip Therefore controlled growth of the NWs can be achieved by adjusting the VIII ratio during growth
In order to determine the type of GaN growth NWs were not harvested from the substrate but were prepared by the sandwich technique followed by the standard mechanical thinning and ion milling processes to reach electron transparency TEM and HRTEM observations were performed in a 200kV JEOL JEM2011 (019 nm point resolution Cs = 05 mm) electron microscope
226 Th Kehagias et al
3 Results TEM observations revealed the single crystalline nature of the GaN NWs which exhibited the wurtzite structure (Fig 1) The length of the NWs varied from 300 to 500 nm and their thicknesses were fairly uniform of the order of 40-50 nm which could reach 60-80 nm at the top of the NWs Several basal stacking faults were detected in most of the NWs deteriorating their crystal quality No other linear or extended crystal defects were observed within the NWs
Fig 1 TEM micrograph showing wurtzite GaN NWs grown on Al2O3 by MBE viewed along the [11 2 0] direction The NWs are well-aligned along the [0001] growth (axial) direction Thickening of the NWsrsquo diameter is observed near their tip due to the transition from N-rich to Ga-rich conditions during growth Basal stacking faults are the only crystal defect observed in the NWs
Electron diffraction analysis and direct imaging in high-resolution mode showed the epitaxial
growth of GaN on Al2O3 where [0001]GaN[0001]Al2O3 (1 1 00)GaN(2 1 1 0)Al2O3 (Fig 2) Small deviations of the order of 1o-3o from the exact axial direction are observed for some NWs
Fig 2 HRTEM micrograph of a single GaN NWndashsubstrate interface illustrating their epitaxial relationship viewed along the [11 2 0] direction The corresponding fast Fourier transform confirms the relationship found by the electron diffraction analysis
On the top surface of the NWs single crystalline droplets 5-20 nm in diameter were observed
(Fig 3) Two sets of equally spaced lattice planes at exactly 90o to each other are resolved in the droplets This suggests either a cubic or a tetragonal symmetry of the droplet lattice We have first examined the possibility that the droplets are the Ni catalyst seeds since pure Ni possesses an fcc lattice High-resolution direct measurements of the observed lattice spacing based on the well-known c lattice constant of Al2O3 resulted in a 0208plusmn0001 nm value that excludes the presence of pure Ni The observed lattice spacing value suits better either NiO which has an fcc lattice with d200=02088 nm or a mixed Ni-Ga oxide ie NiGa2O4 which has a bcc lattice and d400=02065 nm It appears that oxidation of the catalyst occurred prior to the placement of the sample in the MBE chamber and crystallisation took place during high temperature growth
Epitaxial Growth of Single Crystalline GaN Nanowires on (0001) Al2O3 227
Fig 3 HRTEM images of the tip of a NW where a single crystalline droplet is observed viewed along the [11 2 0] direction The magnified part of the droplet illustrates two sets of 100 lattice planes of a cubic structure that was identified to be either NiO or a mixed Ni-Ga oxide
A [001]NiO[0001]GaN (010)NiO(1 1 00)GaN orientation relationship was determined between the cubic droplet and the wurtzite GaN lattices This orientation induces a high misfit strain due to the large differences of the in-plane lattice spacing of the two lattices Misfit strain is effectively accommodated by a network of misfit dislocations (MDs) at the interface plane The projected edge component of the MDs can be visualized as terminating lattice fringes by Fourier analysis using the corresponding spatial frequencies of the two lattices ie 010 NiO 1 1 00 GaN (Fig 4a) Furthermore the exact location of the cores of MDs can be revealed by the dislocation density tensor which is defined as a = curl (e) where e is the tensor of the dislocation strain field [10] The dislocation density tensor is calculated in two dimensions from experimental HRTEM images utilising the geometric phase analysis (Fig 4b) [11]
Fig 4 A magnified part of the dropletGaN interface a) Fourier image of a part of the interface showing the position of the edge component of 5 MDs b) two-dimensional plot of the calculated dislocation density tensor of the same area depicting the exact location of MD cores a rather rough dropletGaN interface is revealed and a 081 nm average MD spacing is measured
The integrity of the experimental observations on the misfit relaxation of the two structures is
strengthened by the structural interfacial model shown in Fig 5 which illustrates the viability of the observed orientation relationship between the two lattices Simulated HRTEM images based on the structural model exhibit remarkable similarity to the experimental images
228 Th Kehagias et al
Fig 5 Structural model of the dropletGaN interface along [100]NiO[11 2 0]GaN showing three MDs and the corresponding simulated HRTEM image
4 Conclusions Single crystalline GaN NWs were epitaxially grown on (0001) Al2O3 by MBE Axial or radial growth of the NWs can be obtained by tuning the VIII ratio during the growth process Oxidised single crystalline metallic droplets are observed at the tips of the NWs that were identified as cubic NiO or mixed Ni-Ga oxide having a 0208plusmn0001 nm 100 lattice spacing Adaptation of the oxide and GaN lattices is realized by the introduction of a dense network of MDs in the interfacial plane which permits almost full relaxation of the misfit strain The exact location of MDs and their cores is obtained by means of Fourier analysis and the calculated dislocation density tensor specifying a 081 nm average MD spacing Structural modelling of the dropletGaN interface and the resulting HRTEM simulated images soundly verified the experimental analysis Acknowledgement This work was supported by EU Marie Curie RTN contract MRTN-CT-2004-005583 (PARSEM) References 1 Xia Y Yang P Sun Y Wu Y Mayers B Gates B Yin Y Kim F and Yan H 2003 Adv Mater
15 353 2 Hernaacutendez-Veacutelez M 2006 Thin Solid Films 495 51 3 Greytak A B Barrelet C J Li Y and Lieber C M 2005 Appl Phys Lett 87 151103 4 Cha H Y Wu H Q Chandrashekhar M Choi Y C Chae S Koley G and Spencer M G 2006
Nanotechnology 17 1264 5 Pauzauskie P J Sirbuly D J and Yang P D 2006 Phys Rev Lett 96 143903 6 Seryogin G Shalish I Moberlychan W and Narayanamurti V 2005 Nanotechnology 16 2342 7 Kipshidze G Yavich B Chandolu A Yun J Kuryatkov V Ahmad I Aurongzeb D Holtz M
and Temkin H 2005 Appl Phys Lett 86 033104 8 Bertnessa K A Roshkoa A Sanforda N A Barkera J M Davydov A V 2006 J Cryst Growth
287 522 9 Cerutti L Ristić J Fernaacutendez-Garrido S Calleja E Trampert A Ploog K H Lazic S and Calleja
J M 2006 Appl Phys Lett 88 213114 10 Nye J F 1953 Acta Met 1 153 11 Hyumltch M J Snoeck E and Kilaas R 1998 Ultramicroscopy 74 131
Structural Characterisation of GaP lt111gtB Nanowires by HRTEM L S Karlsson J Johansson1 C P T Svensson2 T Maringrtensson1 B A Wacaser1 J-O Malm K Deppert1 W Seifert1 L Samuelson1 and L R Wallenberg
Polymer amp Materials Chemistry Lund University P O Box 124 SE-221 00 Lund Sweden 1 Solid State Physics Lund University P O Box 118 SE-221 00 Lund Sweden 2 QuNano AB Stora Fiskaregatan 13E SE-222 24 Lund Sweden Summary GaP lt111gtB nanowires are dominated by (111) twins orthogonal to the growth direction and show well-developed 111 side-facets Based on this a 3D-model has been constructed with a cross-section of an octahedron used as a building block The twins can be of ortho- or para type ie by 60deg about the growth axis or 180deg in the twin plane The segment thickness variation follows an exponential distribution with a clear dependence on growth temperature Multislice simulations show different features of the twin types that are useful for further characterisation 1 Introduction Nanowires of semiconductor materials show promising properties for applications within life science physics photonics and electronics [1] To obtain optimal properties the quality of the crystal structure is of importance and imperfections like stacking faults and twin planes need to be avoided This is especially true when it comes to miniaturisation of transistors and memory devices Repeated stacking faults are often observed for nanowires of GaAs [2] InAs [2] and InP [3] in the lt111gtB growth directions Unfortunately this is also one of the most favourable directions for epitaxial growth To circumvent the formation of imperfections one must understand the mechanisms of growth for the nanowires We have earlier shown that the growth direction is of importance for GaAs [4] where lt111gtA nanowires exhibit single crystalline zinc blende structure and lt111gtB show partly periodic (111) twinning The cross-section of the GaAs lt111gtA is triangular with 1 1 2 facets and that of the lt111gtB hexagonal with 11 2 and 1 1 2 facets 2 Structural Characterisation In this study we present a crystal model for GaP lt111gtB nanowires with periodic twinning in the zinc blende structure Our model is based on HRTEM images of three samples grown at 440 470 and 500degC prepared by metal-organic vapour phase epitaxy (MOVPE) [5] with size-selected Au aerosol nanoparticles [6] as growth activation sites The metal-organic precursors used were trimethylgallium and phosphine PH3 Before growth is initiated the nanoparticle covered substrate is annealed at 650degC in PH3 atmosphere to remove residual oxides and contaminants without degrading the substrate The temperature is then ramped up to the chosen growth temperature
The TEM characterization was performed using a JEOL 3000F FEG-TEM with a point resolution of 016 nm in conventional mode Two viewing directions lt 1 10gt and lt11 2 gt achieved by using a double-tilt holder were used to construct the model When viewed in lt 1 10gt the nanowires exhibit edges with ripples (Fig 1b) where the trenches are 141deg ie coinciding with
230 L S Karlsson et al
(111) twinning Subsequently when viewed in lt11 2 gt the twinning planes and ripples completely align and the nanowires appear defect free with sharp edges (Fig 1d) This can only be true if all the stable faces are structurally related as 111 A 3D-model [7] based on hexagonal segments of alternating (111)A and (111)B facets explains these phenomena (Fig 2)
Depending on the type of twin [8] ortho- (Ga-P) or para- (Ga-Ga or P-P) the distribution of the termination of the nanowire facets can be changed (Fig 2) However the probability of forming a para twin is low since the Ga-P bond is energetically favourable
Fig 1 bd) HRTEM images of a GaP lt111gtB nanowire (a) The wire exhibits 141deg trenches in lt 1 10gt in accordance with (111) twinning The respective segments light (L) and dark (D) share a common (111) plane (c) In lt11 2 gt (d) the same wire appears defect free (e) First published in [7]
Fig 2 3D-model (a-c) of a nanowire exhibiting repeated (111) twinning The twin type ortho (d) or para (e) determines the distribution of 111A and 111B facets
Structural Characterisation of GaP lt111gtB Nanowires by HRTEM 231
3 Statistical Analysis The segment thickness along single nanowires was determined from HRTEM images for a number of (111) Ga-P layers It was found that the average thickness of the segments decreases with growth temperature (Fig 3) The segment thickness distribution of single nanowires showed exponential behaviour and could be fitted to 83 50 and 33 monolayers (ML) for growth temperatures of 440 470 and 500degC respectively [7] Hence the probability of forming a twin plane increases with temperature From the Arrhenius behaviour of this probability we could estimate the sizes of the critical nuclei of the twin and the normal planes We assume that growth occurs in a layer-by-layer fashion with 1 ML thick nuclei of half circular shape and critical radii r and r
T for normal and twin nuclei respectively The data gave a normal critical nucleus corresponding to r = 15 nm (43 Ga-P units) and a twin critical nucleus of r
T = 30 nm (164 Ga-P units) As the twin plane formation is less favoured energetically the twin critical nucleus will be larger
Fig 3 a) Variation in segment thickness along individual nanowires viewed in lt 1 10gt 1 ML = 1 Ga-P (111) b) The resulting histograms show exponential trends with average segment thickness decreasing with increasing growth temperature [7] However there is no dependence on wire radius r
4 Simulations Multislice simulations of the three different twin types (Fig 4) indicate that the para twins (P-P or Ga-Ga) are fully symmetrical over the twin plane the only difference being the bond length [8] Contrary to that the ortho twin type exhibits an out-of-phase relation similar to an anti-phase boundary due to the relative displacement of the Ga-P pairs However it is seldom straight forward to directly use this criterion to distinguish the predominant twin type from the HRTEM images as noise and misorientation largely affect the obtainable resolution Image reconstruction of a focal series or use of an aberration-corrected TEM could assist in obtaining even higher resolution and work is on-going in this direction It should be noted that previous STM studies [9] of cleaved embedded nanowires indicate that the twin operation is of the ortho type ie maintaining the epitaxial Ga-P bonding over the twin
232 L S Karlsson et al
Fig 4 Multi-slice simulations in lt 1 10gt of 20 nm nanowires models The para twins (Ga-Ga 0252 nm and P-P 022 nm) are completely symmetric over the twin plane while the ortho twins show an out-of-phase relation due to the relative position of the Ga-P pairs
References 1 Samuelson L Thelander C Bjoumlrk M T Borgstroumlm M Deppert K Dick K A Hansen A E
Maringrtensson T Panev N Persson A I Seifert W Skoumlld N Larsson M W and Wallenberg L R 2004 Physica E 25 313
2 Hiruma K Yazawa M Katsuyama T Ogawa K Haraguchi K Koguchi M and Kakibayashi H 1995 J Appl Phys 77 447
3 Bhunia S Kawamura T Fujikawa S Nakashima H Furukawa K Torimitsu K and Watanabe Y 2004 Thin Solid Films 244 464
4 Wacaser B A Deppert K Karlsson L S Samuelson L and Seifert W 2006 J Crystal Growth 287 504
5 Borgstroumlm M Deppert K Samuelson L and Seifert W 2004 J Crystal Growth 260 18 6 Magnusson M H Deppert K Malm J-O Bovin J-O and Samuelson L 1999 J Nanopart Res 1
243 7 Johansson J Karlsson L S Svensson C P T Maringrtensson T Wacaser B A Deppert K
Samuelson L and Seifert W 2006 Nature Mater 5 574 8 Cohen D McKernan S and Carter C B 1999 Microsc Microanal 5 173 9 Mikkelsen A Skoumlld N Ouattara L Borgstroumlm M Andersen J N Samuelson L Seifert W and
Lundgren E 2004 Nature Mater 3 519
Structural and Chemical Properties of ZnTe Nanowires Grown on GaAs H Kirmse W Neumann S Kret1 P Dłużewski1 E Janik1 G Karczewski1 and T Wojtowicz1 Humboldt-Universitaumlt zu Berlin Institut fuumlr Physik AG Kristallographie Newtonstraszlige 15 D-12489 Berlin Germany 1 Institute of Physics PAS Al Lotnikoacutew 3246 02-668 Warsaw Poland Summary ZnTe nanowires produced by molecular beam epitaxy via the vapourliquidsolid mechanism were studied by transmission electron microscopy The wires grew along the lang111rang directions pointing out of the (001)-oriented GaAs substrate The length of the wires amounted to some microns depending on the growth time The mean diameter ranged between 30 and 60 nm depending on the size of the gold droplet The majority of the wires exhibited stacking faults with only a few 111 monolayers sequence perpendicular to the wire axis as revealed by high-resolution TEM Analysing the sidewall of the nanowires an enrichment of oxygen was detected by electron energy loss spectroscopy The gold spheres at the tips of nanowires additionally contained gallium and tellurium The gallium was incorporated during the initial formation of the eutectic droplets at the GaAs substrate 1 Introduction The growth of one-dimensional compound semiconductor structures attracted a lot of attention during the last few years [1] The basis of technology for the growth of nanowires (NWs) was inspired by the old idea of catalytic growth of silicon whiskers of micrometre dimensions proposed and elaborated by Wagner and Ellis in 1965 [2] This method is known as the vapor-liquid-solid (VLS) mechanism and uses a catalyst in the shape of nanoparticles There are several reports on NWs of different II-VI semiconductor compounds such as selenides and sulfides As for tellurides some reports on CdTe [3] and ZnTe [4] were presented where the NWs were obtained by chemical methods Our first results on ZnTe NWs grown by MBE on (001)-oriented GaAs with gold as catalyser have been recently presented [5] where the initial structural investigations prove the high perfection of the crystalline structure of the NWs which grow in lang111rang directions However the composition of the wire surface as well as of the gold particle was not studied so far 2 Experimental The growth of ZnTe NWs was performed in an EPI 620 MBE system equipped with solid-source Zn Cd Mg Mn and Te effusion cells We used epi-ready GaAs substrates oriented 2deg off the principal orientation Before the growth of NWs a thin layer of Au was deposited in a separate MBE (Riber) chamber dedicated for growth of metal-based structures Gold was deposited at 200 degC without prior removal of the surface oxides The substrate with Au layer was then transferred through air to the II-VI MBE growth chamber and heated in two steps first to about 580 degC and then to 550 degC where it was kept for 10 min The changes in the RHEED pattern provided information about the processes of formation of eutectic liquid alloy AuGa (with the eutectic temperature of 350 degC) on the substrate surface the desorption of GaAs oxides (at about ~580 degC) and finally the formation of Au droplets [5] The following MBE growth parameters were thoroughly investigated during NW growth the substrate temperature (380 degC - 440 degC) the impinging flux ratios ZnTe and growth time
234 H Kirmse et al
The samples were prepared for transmission electron microscopy (TEM) studies by harvesting the nanowires from the substrate on a holey carbon film supported by a copper grid TEM investigations were performed with a JEOL 2200FS (200 kV) equipped with an in-column energy filter Both diffraction contrast imaging and high-resolution TEM imaging at a spatial resolution of 019 nm were applied for structural analysis of the nanowires For the characterization of the surface of the wires electron energy loss spectroscopy (EELS) in scanning TEM spectrum mode was utilized A diameter of the electron probe of 07 nm was chosen for the experiments being the compromise with respect to spatial resolution and to the intensity ratio of signal and background The chemical composition of the gold droplets was inspected by means of energy-dispersive X-ray spectroscopy (EDXS)
Fig 1 High-resolution TEM a) Overview image showing two wires at a carbon film support The region marked by arrow was inspected by HRTEM b) HRTEM image showing stacking faults within the ZnTe nanowire The lattice fringes seen in the sidewall correspond to ZnO The supporting carbon film causes the background c) Magnified view of the edge of the wire The atomic structure of ZnTe (symbolized by black and white dots) is resolved for one of the two twin domains Lines mark the stacking fault planes
3 Structural Analysis of the ZnTe Nanowires The analysis of the atomic structure of the ZnTe nanowires was done by high-resolution TEM In Fig 1 a sequence of images acquired at increased magnification is given Figure 1a is an overview showing two harvested ZnTe nanowires The central one has a length of about 500 nm Since the mean length of the nanowires amounts to a few microns not the complete nanowire was separated from the GaAs substrate The lower part of the wire (upper right of Fig 1a) exhibits asymmetric shape One sidewall is comparably flat where the opposite one appears facetted The facets result from lateral deposition of ZnTe subsequently to the growth of an initial cylindrically shaped wire as predefined by the Au sphere When approaching the tip of the wire where the gold is seen as a dark sphere the sidewall is flat on both sides Here the lateral deposition did not start yet Inspecting this region at an atomic scale numerous stacking faults are visible (cf Fig 1b) The
Structural and Chemical Properties of ZnTe Nanowires Grown on GaAs 235
distance between the stacking fault planes varies between two and about ten monolayers In the area close to the edge (see magnified view of Fig 1c) the Zn-Te dumbbells are resolved for one of the two twin domains (cf black and white dots marking the atom positions) The distance between Zn and Te in this projection is 015 nm being below the spatial resolution of 019 nm of the microscope The visibility of this dumbbell structure is due to the oscillations of the contrast transfer function for a field-emission gun microscope Carefully inspecting the sidewall of the nanowire an sim3 nm thick layer is found with sets of lattice planes different from those of ZnTe The distances of several fringes were measured as denoted in Fig 1b The values only agree with the lattice fringe distances tabulated for hexagonal ZnO This oxide can form during treatment of the wires subsequent to the growth experiment
a)
c)
b) Fig 2 Electron energy loss spectra recorded at the centre (C) and the surface (S) of a ZnTe nanowire
a) Te-M45 and O-K ionisation edge At the surface an enrichment of oxygen is found
b) Zn-L23 ionisation edge c) STEM dark-field image with
markers of positions of analysis 4 Chemical Analysis of the ZnTe Nanowires The chemical characterization was performed by EELS In Fig 2 the spectra acquired at the surface (S) and the centre (C) of a nanowire are given Due to the thickness gradient the integral intensity of the spectrum at the sidewall is lower compared to the centre of the wire The O-K edge at an energy loss of 532 eV (cf Fig 2a) shows a distinct higher peak for the surface compared to the centre This behaviour can be explained by a shell-like structure with a surface layer containing oxygen The O-K peak is detected in the spectrum of the centre as well but with lower intensity The intensity of the Te-M45 peak is increased At the centre the oxygen-containing layer is distributed on top and bottom of the nanowire as sketched in the inset of Fig 2a Consequently some oxygen has to be found there but with reduced intensity compared to Te present within the wire The peak ratio TeO at the centre is about 10 whereas it is about 15 at the surface The formation of zinc oxide was concluded from the lattice plane distances of Fig 1b) Hence zinc should be detectable within the surface layer In Fig 2b two spectra are given acquired at the positions C and S as for Fig 2a but here the energy loss interval between 950 and 1200 eV is registered including the Zn-L23 edge at 1020 eV Due to its high energy loss the Zn peak seen in the spectrum of the centre is not very prominent For the surface of the nanowire the Zn peak is decreased by a factor of 2 but it is not as much as for the Te peak (factor
236 H Kirmse et al
of about 3) at this position This means that besides oxygen also zinc is enriched in the surface layer Hence the formation of ZnO at surface of the ZnTe nanowire is verified also by a chemically sensitive method 5 Chemical Peculiarities of the Gold Droplets The ZnTe nanowires are assumed to grow via a vapourliquidsolid (VLS) process where the evaporated atomic species are dissolved in a liquid phase and transported to the growing crystal For a better understanding of this process the gold droplets at the top of wires were studied by EDXS with respect to their chemical composition The spectrum shown in Fig 3a was collected for the electron probe positioned at the central region of the sphere Gold is found as expected but in addition gallium as well The gallium is due to a dissolution process during the heating of gold directly on GaAs for the formation of eutectic droplets prior to the growth of ZnTe
Fig 3 Energy-dispersive X-ray spectroscopy of the gold droplet Left central region - the copper peak is due to fluorescence effect from the supporting grid Right surface region - increased content of Ga and Te is detected The spectrum of the surface of the droplet (see Fig 3b) shows an increased gallium peak ie
gallium is enriched at the surface of the gold droplet after solidification The content of zinc in both the volume and the surface of the droplet is low hinting to complete consumption of zinc after closing the shutters of the MBE sources Consequently the amount of zinc provided for the VLS process limits the growth of the nanowires Acknowledgements The research was partially supported by the Ministry of Science and Higher Education (Poland) through grant N507 030 310735 and by the Network New materials and sensors for optoelectronics information technology energetic applications and medicine References 1 Samuelson L at al 2004 Physica E 25 313 2 Wagner R S and Ellis W C 1964 Appl Phys Lett 4 89 3 Sochinskii N V Silveira J P Briones F Saucedo E Herrero C M Fornaro L Bermudez V and
Dieguez E J 2005 J Cryst Growth 275 1331 4 Liang Li Youwen Yang Xiaohu Huang Guanghai Li and Lide Zhang 2005 J Phys Chem B
109 12394 5 Janik E Sadowski J Dłużewski P Kret S Baczewski L T Petroutchik A Łusakowska E
Wroacutebel J Zaleszczyk W Karczewski G and Wojtowicz T 2006 Appl Phys Lett 89 133114
TEM Characterization of ZnO Nanorods R Divakar J Basu and C B Carter Chemical Engineering amp Materials Science University of Minnesota Amundson Hall 421 Washington Ave SE Minneapolis MN 55455 USA Summary ZnO nanostructures constitute an important component of certain nanostructured devices In most configurations aligned growth of the ZnO nanorods is required Aqueous-solution synthesis is used to synthesize oriented ZnO nanorods on a ZnO thin film prepared by pulsed-laser deposition The synthesis processes have been adapted to TEM-ready sapphire substrates to enable simultaneous crystallographic microchemical and high-resolution studies in the TEM Issues related to the growth mechanism and incorporated defects are addressed 1 Introduction ZnO is an important wide band-gap semiconductor that has applications in the nanorod form as sensors [12] piezoelectric transducers for optical devices and for solar cells [3] A number of synthesis routes have been used for the synthesis of ZnO nanorods including catalyst-assisted vapor transport hydrothermal synthesis and pulsed-laser deposition (PLD) Of these the hydrothermal reaction of Zn(NO3)2 and hexamethylenetetramine carried out in aqueous solutions at atmospheric pressure and below the boiling point of water offers the best control over the nanorod morphology and is also amenable to various substrates and device geometries Rods of a few tens of nanometers diameter and several micron long can be grown in relatively short times Despite the large number of publications on ZnO-nanorod synthesis by this route the growth mechanism is not properly understood In this paper we address some of these issues by a transmission electron microscope (TEM) study of ZnO nanocrystals and nanorods grown in a geometry that is particularly suited for in situ studies 2 Experimental Sapphire TEM specimens were prepared in the conventional way Sapphire substrates were cleaned in aqua regia and annealed at 1400ordmC for 12 h prior to thin-film deposition PLD films were synthesized using a Lambda Physik LPX 210i (KrF 248 nm) system with a laser energy of ~200 mJpulse at the target The substrate temperature was 750ordmC while the number of laser pulses was varied between 2500 and 10000 at a repetition rate of 5 Hz Deposition was carried out under an oxygen pressure of 20 mTorr Hydrothermal synthesis of ZnO nanorods on these films was carried out by the method reported earlier [4] Substrates with the PLD ZnO films were suspended face-down in the aqueous bath with a custom designed Teflon holder TEM studies were carried out on a Tecnai G2 F30 equipped with EDS and STEM HAADF detectors operated at 300 kV Scanning electron microscope (SEM) studies were carried out in a Hitachi S-900 FESEM The in situ heating stage experiments were carried out in a Tecnai T12 TEM operated at 120 kV 3 Results The ZnO nanorods were hydrothermally grown on various substrates including TEM carbon grids At the initial stages of growth hexagonal platelets are formed on the substrate (Fig 1a) and then the kinetically favored growth along the [0001] direction leads to the nanorod morphology
238 R Divakar J Basu and C B Carter
(Fig 1b) The nanorods appear to grow from the centre in two opposite directions and the [0001] growth direction of the nanorods can be confirmed from the diffraction pattern (Fig 1b (inset)) The (0001) surface of wurtzite ZnO is polar it is expected that the polarity of the nanorods would be different at the two ends The dark-field images of a nanorod with positive and negative 0002 reflections are given in Figs 1c and 1d Polarity reversal of the nanorod across the central section can be confirmed from the complementarity of the images The minor deviation in complementarity can be attributed to the change in extinction length thickness and the difference in the deviation parameter during dark-field imaging
Fig 1 a) Hexagonal platelets formed at the initial stage of nanorod formation b) Hydrothermally grown ZnO nanorod The diffraction pattern (inset) shows that the growth direction is [0001] c) and d) Complementary contrast in the dark-field images of the nanorod with non-centrosymmetric positive and negative 0002 reflections proving polarity inversion
The ZnO films that were synthesized on c-plane sapphire with 2500 pulses in the PLD showed
a c-plane orientation in the XRD Figure 2a is an SEM image showing a continuous film on a bulk c-plane-oriented sapphire substrate with nanorods embedded between the grains Such nanorods are rare with respect to number density and were formed directly in the PLD Also the size of the nanorods grown directly by PLD is very small compared with those that can be grown in a similar time by hydrothermal synthesis ZnO film synthesized under identical conditions in the PLD on a
a b
c d
TEM Characterization of ZnO Nanorods 239
TEM-ready substrate shows (Fig 2b) the thin-film to be continuous and composed of faceted grains of 30-40 nm size with irregular polygonal cross sections The corresponding diffraction pattern in Fig 2c shows rings which index to wurzite ZnO and spots from the sapphire The ZnO 0002 ring is missing indicating the complete c-plane orientation of the grains Along the edges where the substrate was thicker short 20-40 nm nanorods were seen (Fig 2d) to have formed similar to that seen in Fig 2a from the edge of the ZnO thin-film
Fig 2 ZnO thin-film on c-plane sapphire substrate synthesized by PLD (2500 pulses 750degC) a) Secondary electron image of film on bulk substrate b-d) ZnO thin-film on c-plane sapphire TEM sample b) Bright-field image c) SAD pattern 0002 ring from ZnO is missing indicating the c-axis orientation of the film and d) nanorod growth at the edge of the TEM sample
Thicker films grown by PLD with 10000 pulses at 750degC showed a continuous film with larger
grains and did not show a predominance of (0001) orientation of grains as detected by XRD When these were subjected to hydrothermal growth conditions some of the grains were seen to have grown nanowires on them while others showed faceted surfaces of irregular hexagonal shape (Fig 3a) The relatively smaller number density of the nanorods on the thin-film can be attributed to the smaller number of suitably oriented grains On the c-Al2O3 TEM sample near-perfect hexagonal grains were seen to grow after the hydrothermal synthesis This assembly of aligned ZnO nanorods on PLD-deposited ZnO thin films onto a TEM-ready substrate was subjected to
a b
c d
240 R Divakar J Basu and C B Carter
in situ heating in the TEM It was observed that at around a temperature of 600-700 degC ZnO tends to react with the sapphire substrate leading to spinel formation (Fig 3b)
Fig 3 a) SEM image of aligned ZnO nanorods which can be seen on the PLD film after hydrothermal synthesis b) TEM image indicating that the nanorods react with the substrate to form spinel at 700 degC during the in situ heating experiment
4 Discussion For aligned growth of ZnO nanorods on various substrates ZnO nanocrystals are seeded on the substrate by thermal decomposition of organic zinc salts Often a reaction layer is formed at the ZnO nanorod-seeded substrate interface degrading the functional properties The PLD-deposition of thin film for subsequent growth of aligned ZnO nanorods is a novel technique in the sense that the thermal decomposition step can be avoided though the in situ heating stage experiment suggests that the assembly will lose its functionality beyond a substrate-dependent temperature due to an enhanced solid-state reaction at the nanorod-substrate interface ZnO nanorod growth by the hydrothermal synthesis route closely resembles single crystal growth The seed nucleation and interplay of surface energies lead to this particular morphology Acknowledgements The authors would like to acknowledge funding from the 3M Heltzer Endowed Chair and partial financial support by the MRSEC Program of the NSF under Award Number DMR-0212302 References 1 Rout C S Hari Krishna S Vivekchand S R C Govindraj A and Rao C N R 2006 Chem Phys
Lett 418 586 2 Xu J Chen Y Li Y and Shen J 2005 J Mater Sci 40 (Supp 2) 2919 3 Leschkies K S Divakar R Basu J Enache-Pommer E Boercker J E Carter C B Kortshagen U
R Norris D J and Aydil E S Submitted for publication 4 Divakar R Basu J and Carter C B 2006 Microsc Microanal 12 (Supp2) 698CD
a b
Semiconducting Oxide Single Nanowire Cathodoluminescence Spectroscopy L Lazzarini G Salviati M Zha and D Calestani IMEM-CNR Parco Area delle Scienze 37A 43010 Fontanini-Parma Italy Summary Cathodoluminescence nanoscale spectroscopy performed before and after in-situ electron beam irradiation and ex-situ annealing experiments on single SnO2 and In2O3 nanowires and nanobelts is presented A broad and composite yellow-green band is revealed on both SnO2 and In2O3 single nanoribbons A correlation between oxygen vacancies and emissions at 580 nm and 460 nm in SnO2 and In2O3 respectively is found No near band edge emission is revealed in both the materials As for SnO2 this result is assigned to a major role for surface effects with respect to bulk properties 1 Introduction The development of new materials whose dimensions are below the de Broglie wavelength of electrons has given impetus to characterization techniques able to study the transport and optical properties on nanometre scale In particular metal oxides represent a class of materials with properties covering a range from metals to semiconductors and insulators which are appealing for chemical sensing [1] 4d metal oxide SnO2 and In2O3 are prototype transparent conductive solids When obtained in the form of nanowires (NWs) or nanobelts (NBs) due to the favourable surface to volume ratio they find application as gas sensors as the size becomes smaller than twice the Debye length the crystals are depleted and the sensor sensitivity is greatly increased Both of the oxides have been demonstrated to have good sensing for CO methane ethanol hydrogen hydrogen sulphide and oxidizing gases such as O3 or NO2 Many papers agree on a major role for oxygen vacancies (VO) regarding sensitivity selectivity and stability of the sensing devices [2 and references therein] however controversial results on the correlation between optical emissions and VO are still present in the literature
Here we present scanning electron microscope cathodoluminescence (SEM-CL) spectroscopy performed in-situ on single SnO2 and In2O3 NWs and NBs before and after electron beam irradiation We show that monitoring of the CL emission variations under irradiation is an alternative and reliable approach to study of the effect of conventional post-growth oxygen thermal treatments on the Vo behaviour
In addition to non resonant excitation conditions submicron pan- and mono-chromatic imaging nanometric in-plane [3] and depth-resolved spectroscopy [4] the CL technique also allows us to change the injected carrier density from 108 to 1012 cm-2 in a single experiment [5] to perform power dependent optical spectroscopy on nanostructures If used on single nanobelts it can reveal different point defect concentrations due to different growth conditions and can reveal anticorrelation of the integrated intensities of different emissions [6] Taking advantage of these peculiarities in this paper CL has been employed between 10 K and 300 K for
i studying the optical emissions of single nanobelts with different thicknesses and shapes ii comparing the shape variation of the CL bands before and after in-situ irradiation procedures as a function of the irradiation time and injected power
When performed at low magnification and at low injection power CL gives the same information as obtained by PL spectroscopy The only difference is the linewidth which is larger for CL because of the stronger phonon coupling due to the highly energetic impinging
242 L Lazzarini et al
electrons (the injected carriers have much larger kinetic energy than the host lattice thermal energy) 2 Experimental SnO2 and In2O3 NWs were obtained in a home-made tubular furnace which can be heated up to 1200degC (1300deg for In2O3) with a suitable gradient profile and in which different gaseous ambients can be used during the growth The NWs were grown on different commercial substrates (Al2O3 SiO2 Si) through a vapour-liquid-solid process Starting with an SnO powder source (that dissociates into Sn and SnO2 at high temperature) with the optimum treatment temperature and gas pressures (patent pending) SnO2 NWs have been obtained with n-type conductivity (n asymp 1017 cm-3) and a tetragonal Rutile-like structure More detailed information on the growth conditions can be found elsewhere in Refs 7 and 8 Cubic (a=10118 Aring) n-type (n asymp 3 1017 cm-3) indium oxide NWs have been grown starting from a 6N pure metallic In source with a timetemperature controlled vapour transport and oxidation process without the use of catalysts Some of the SnO2 and In2O3 specimens have been treated in an oxygen-rich atmosphere at 1000degC for 24h Both types of nanoribbons did not show any other spurious phases
A commercial MonoCL2 system from Gatan using a multi alkali halide photomultiplier detector attached to a 360 Stereoscan Cambridge SEM has been used for high power injection CL spectroscopy and monochromatic imaging in order to study the correlation between complexes of point defects and shape and energy position of the CL spectra 3 Results and Discussion To the best of our knowledge no other authors but the present ones have shown SEM-CL spectroscopy on single SnO2 and In2O3 NWs and NBs the majority of the reported results in the literature concerning the study of average optical emissions obtained by conventional PL spectroscopy Figure 1 shows two typical SEM images of the SnO2 and In2O3 NW and NB tangles
Fig 1 Comparison between SEM micrographs of SnO2 (left) and In2O3 (right) NWs and NBs
Literature data [9-11] report on broad PL optical bands from SnO2 NBs in the visible wavelength range from 400 nm to 600 nm assigned to nanocrystals inside each NB or to Sn or O vacancies occurring during the growth which can induce trapped states in the band gap [12] Other authors [13] show two distinct PL emissions at 400 and 430 nm which are ascribed to Sn interstitials or dangling bonds and to oxygen vacancies respectively No evidence of near band edge (NBE) PL emission at 320-340 nm is however reported
Our previous CL results [7] show that the main emission coming from single SnO2 NWs and NBs is a broad band centred at about 580 nm with a shoulder at about 460 nm at RT independently
5microm 5microm
Semiconducting Oxide Single Nanowire Cathodoluminescence Spectroscopy 243
on the substrate kind and growth conditions Theoretical work in the literature suggests the emission at 580 nm should be ascribed to VO andor tin interstitials (Sni) These two intrinsic point defects tend to form spontaneously having in most cases a very low formation energy [14] and result in the n-type character of the sample conductivity A faint NBE CL emission has been found only in NBs larger than 1000 nm This result is consistent with a major role of the surface with respect to volume properties and with a pinning of the Fermi level and of acceptor-like surface states in n-type samples as well as on the presence of a space charge layer [15] It has been recently shown how a depleted layer of ~ 300 nm from the surface at which excitons start giving the NBE emission in SnO2 NWs can be estimated [7] The appearance of a NBE transition only in wires and belts with a thickness larger than 1 microm is coherent with this picture
Concerning In2O3 several data about the optical properties are present in the literature mainly deduced from absorption or photo-luminescence spectra measured at room temperature on both thin films and nanostructures [16-23] While bulk In2O3 should not emit light at room temperature [24] more or less intense emission in the UV-visible region has been observed in In2O3 films or nanostructures Although this is considered in many papers the nature of the unexpected emission is not yet clear As for SnO2 in our In2O3 NWs we have found a broad CL band peaked at about 580 nm (see below) No band edge emission has been revealed 31 In-situ e-Beam Irradiation and CL Spectroscopy To better understand the influence of oxygen vacancies on the CL spectra two different experiments have been done The first aimed to reduce the VO concentration was a post growth annealing of the samples in an oxygen rich atmosphere The spectra shown in Fig 2 indicate that upon this annealing the 580 nm emission is noticeably reduced From Gaussian deconvolution procedures the integrated intensity ratio between the emissions at 460 and 580 nm changes from 14 to 120 before and after oxygen treatment respectively
The second experiment aimed to increase the VO concentration was the controlled e-beam irradiation of a single NW inside the SEM The CL spectra collected on the same NW before and after electron beam irradiation (Fig 3) show a net increase of the integrated intensity of the emission peaked at 580 nm supporting the assumption that the emission is related to VO
As a matter of fact it has been demonstrated [37] that the main effect of irradiation at least in SnO is not a knock-on damage but rather the formation of electron induced structural transformation (radiolytic processes) with the characteristic formation of V0 This must be even more likely in the case of SnO2 where an energy inexpensive transformation can lead to the formation of V0 [14]
300 400 500 600 700 800-2000
0
2000
4000
6000
8000
10000
12000
14000
16000
CL Eb=25 keV T=300 K Ib=45 nA25000 x t=400 nm
CL
Inte
nsity
(au
)
Wavelenght (nm)
as grown
annealed
Fig 2 CL spectra on the same SnO2 NB before and after thermal treatments in oxygen rich atmosphere
244 L Lazzarini et al
0 100 200 300 400 50010000
15000
20000
25000
30000
35000
40000
CL
Inte
nsity
(au
)
time (sec)
SnO2 nanobelt 50x 500 nm L=5 micromEb=20 keV Ib= 500 nA T=300 K 10000 xA emission at 580 nm B emission at 460 nm
A
B
This theoretical assumption is supported by our experimental results on the time evolution of the CL bands as shown in Fig 4 Here after an initial increase of the band peaking at 560 nm a continuous slow downward trend is shown due to NB structural damage induced by the electron beam irradiation On the contrary as expected the intensity of the emission at 460 nm after a first decrease remains constant in time
As mentioned before the same experiments have been carried out on In2O3 samples in
order to understand the nature of the bands forming the CL spectra Figure 5 reports on the CL spectra before and after ex-situ thermal treatments at 1000 degC for 24 h in an oxygen rich atmosphere A typical broad band centred at 590 nm (also observed in PL studies not shown here) was found in the as grown NWs After the oxygen treatment contrary to the SnO2 the total integrated intensity of the CL band increases suggesting however a connection with VO When CL spectroscopy after e-beam irradiation inside the SEM was performed the barycentre of the band in Fig 3 blue shifted and a shoulder peaked at 480 nm came out clearly induced by the irradiation procedure
Figure 6 presents the comparison between two CL spectra obtained at 77 K before and after e-beam irradiation on a 250 nm thick In2O3 NB at Ib=2 microA for 20 minutes keeping constant the beam energy and current In addition to the band at about 590 nm the larger integrated intensities of the emission at 480 nm after 20 minutes of irradiation is apparent The effect of the e-beam on the NW can be considered to be the opposite with respect to the annealing procedures in an oxygen atmosphere reported in Fig 2 This means that the integrated intensity increase observed in Fig 5 could lead to incorrectly ascribing the 590 nm emission to VO Actually only the transition at 480 nm is influenced by the irradiation procedure and by the consequent increased VO concentration By plotting the CL intensities vs time no changes in the peak value of the 480 nm band has been
300 400 500 600 700 800
18000
20000
22000
24000
26000
28000
30000
32000
34000
after irradiation
CL
Inte
nsity
(au
)Wavelenght (nm)
before irradiation
CL T=300 K Eb=25 keV Ibirr=1 microA Φ=900 nm
Fig 3 CL spectra on the same SnO2 NW before and after electron beam irradiation inside the SEM
Fig 4 CL intensity time evolution of bands B and C in an SnO2 NB under e-beam irradiation inside the SEM