Microelectronics Microelectronics - high innovation ... · Institute for Reliability and...

27
Microelectronics Microelectronics - high innovation potential in the future  von Dr. Thomas Gessner - Professor for Microtechnology and Director of the Center of Microtechnologies (ZfM) at the Chemnitz University of Technology  Head of the Department Micro Devices and Equipment (Branch- Lab Chemnitz) of t he Fraunhofer Institute for Reliability and Microintegration   Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz New Products To serve the information and communication society of the future, new computer systems and powerful portable mobile products need to be developed. Future requirements will be defined by products specially designed to suit the needs of the globally acting individual, who has access to the information and assistance he needs to reach a maximum of work efficiency and simultaneously satisfy his personal needs at any point on earth. Such development aims towards global, universal ability to communicate and universal individual access to information. To meet these challenges new microprocessor and dynamic random access memory (DRAM) circuits as well as smart systems which include integrated circuits, sensors and actuators must be applied. The implementation of 1 GHz microprocessors are currently underway in a number of design organizations for introduction in the market place in the next 3 years. The generation of 1 Gbit DRAMs has also been developed by the continuous shrinking of device dimensions. Over the past decade the field of MEMS (Microelectromechanical Systems) and Microsystem Technology has quietly developed from the university research level into the aggressive market - focussed business enabler that is currently emerging. The exact definition of MEMS is much debated however, depending on whether we are talking about pressure sensors, ink-jet nozzles, acclerometers, free space optics or microscanner or biomedical analysis sys tems, as theis integration wit h micr oelectronics, MEMS or Microsystems are finding increased acceptance in all market are as. The field of telecommunications and automotive applications are some of the recent "hot topic" areas throughout the world. Within the area of microelectronics the 300 mm wafer technologies will offer a new opportunity to meet the requirements of cost efficienct chip production. The realization of the visions of future system integration puts high demands on the development of data processing, energy supply and packaging as well as interconnection technologies.  

Transcript of Microelectronics Microelectronics - high innovation ... · Institute for Reliability and...

Page 1: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

Microelectronics

Microelectronics - high innovation potential in the future von Dr. Thomas Gessner - Professor for Microtechnology and Director of the Center ofMicrotechnologies (ZfM) at the Chemnitz University of Technology Head of the Department Micro Devices and Equipment (Branch- Lab Chemnitz) of t he FraunhoferInstitute for Reliability and Microintegration

Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

New Products To serve the information and communication society of the future, new computer systems and powerful portablemobile products need to be developed. Future requirements will be defined by products specially designed to suit the needs of the globally acting individual,who has access to the information and assistance he needs to reach a maximum of work efficiency and simultaneouslysatisfy his personal needs at any point on earth. Such development aims towards global, universal ability tocommunicate and universal individual access to information.

To meet these challenges new microprocessor and dynamic random access memory (DRAM) circuits as well as smartsystems which include integrated circuits, sensors and actuators must be applied. The implementation of 1 GHzmicroprocessors are currently underway in a number of design organizations for introduction in the market place in thenext 3 years. The generation of 1 Gbit DRAMs has also been developed by the continuous shrinking of devicedimensions.

Over the past decade the field of MEMS (Microelectromechanical Systems) and Microsystem Technology has quietlydeveloped from the university research level into the aggressive market ­ focussed business enabler that is currentlyemerging. The exact definition of MEMS is much debated however, depending on whether we are talking aboutpressure sensors, ink­jet nozzles, acclerometers, free space optics or microscanner or biomedical analysis sys tems, astheis integration wit h micr oelectronics, MEMS or Microsystems are finding increased acceptance in all market are as.The field of telecommunications and automotive applications are some of the recent "hot topic" areas throughout theworld.

Within the area of microelectronics the 300 mm wafer technologies will offer a new opportunity to meet therequirements of cost efficienct chip production. The realization of the visions of future system integration puts highdemands on the development of data processing, energy supply and packaging as well as interconnection technologies.

Page 2: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

TEM cross section of an aerogel film with 67% porosity showing a uniform pore size distribution

Material Revolution in metallization technology Revolutionary process changes are underway in interconnect processing technology necessitated by integrated circuitscaling requirements. Changes in the types of materials, integrated processing approach and interconnect architecturewill be required in order to achieve the performance enhancements offered by advanced devices. Interconnection timedelay and cross­talk are major limiting factors of any future interconnect technology.

Aluminium as an interconnect material in combination with silicate glasses as insulators have been exclusively used forIC metallization during the last few decades. Now, at the shrinking point of devices beyond the 0.35µm generation, theinterconnect RC delay significantly limits, for example the microprocessor clock speed. Furthermore, beyond the0.25µm device generation, current densities can induce electromigration failures especially in lower interconnect levels.In order to resolve this situation a "material revolution" in semiconductor fabrication is in progress world ­wide. Copperas an alternative interconnect material in combination with special low permittivity insulating materials (so called "lowk dielectrics") offers the new quality required. Consequently IBM, quickly followed by Motorola and TexasInstruments announced aggressive plans to put Cu into production.

The Center of Microtechnologies (ZfM) at the Chemnitz University of Technology has been dealing with these newmaterials within German and European research projects since 1993. Currently, the ZfM is working in the projectsFOKUM and DAMASCENE together with European IC manufacturers (Siemens, Philips, STM) and well­knownmicroelectronics research centres in France (GRESSI), Belgium (IMEC) and Ireland (NMRC). The special R&Dobjectives of the ZfM are: chemical vapour deposition (CVD) of Cu films, ultra­thin and reliable barriers against Cudiffusion, patterning of Cu by using dry etching and fluoropolymer and porous silica thin films as "low k dielectrics".

The investigation of ultra thin barriers will be carried out as a part of a co­operation between Universities, ResearchInstituts, SIMEC/Dresden, AMD/Dresden as well as equipment manufacturers within the Competence Center (Dresden/Chemnitz) „ Ultra thin functional films“ wh ich was established in Saxony 1998. Within the European DAMASCENEproject, for example, the CVD of very thin coherent Cu films ( 50 nm) as a starting material ( seed layer) for hole andtrench filling by Cu electroplating and a new procedure for the deposition of porous dielectric material (aerogel ) withthe potentially lowest dielectric constant (k) of solid materials are under development. Beside the European Cu research activities the ZfM is also in partnership with some North American companies. Oneproject deals with the modification of an Applied Materials tool for Cu etching and the development of a commerciallyapplicable process.

Page 3: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

Into the 300mm Future

AMD i n Dresden: An Investment in the Future

Founded in 1969, AMD is a global supplier of integrated circuits for the personal and networked computer andcommunications markets. The company is headquartered in Sunnyvale, California with manufacturing facilities in theUS, Asia, Japan and soon in Germany as well. 1998 revenues were $2.5 billion. AMD is a producer of microprocessors,flash memories, programmable logic devices and products for communications and networking applications. In the early 1990s, the company realized the need to expand its manufacturing capacity. In addition, AMD wanted tobuild up its European presence as quickly as possible. After the United States, Europe is AMD's second most importantmarket, accounting for approx. 1/3 of the revenue. Upon thorough investigation of possible sites for its Europeaninvestment, AMD announced in December of 1995 that it would build a wafer production facility for the AMD K7microprocessor and a design center for telecommunication products in Dresden. The total investment is $1,9 billion.1600 to 1800 qualified jobs will be created by the year 2001/2002. 200 of those jobs will be in the Dresden DesignCenter (DDC).

Four factors led to AMD's decision:

First. Financial incentives. It has become customary that governments around the world will attract high techcompanies by means of financial incentives. Saxony and Germany are no exception. It is generally known that AMDreceived substantial grants­in­aid totalling DM 800 million, reflecting in part a 'pioneer bonus' for overcominginfrastructure deficits. To be very clear: Without such incentives AMD would not have come to Dresden. However, tobe just as clear, AMD did not go to Saxony just because of subsidies. In such a capital­intensive industry, subsidies arenecessary but not sufficient. At least of equal importance are the following factors.

Second. Qualified labor. The success of AMD's investment depends on how successful the company is in recruitingqualified and motivated employees. Dresden, which has been the East German center of microelectronics for a longtime, offers this opportunity like no other region in Europe. AMD has hired 660 German employees to date. 70 percentof them are Saxons, 85 percent from former East Germany. In this context, another figure is of importance: 20 percentof AMD's workers were unemployed before they joined the company.

Third. Universities. Silicon Valley and other dynamic centers of the Electronics industry have shown that rapidtechnological and economic progress is based on a sound education infrastructure. Silicon Valley owes its existence tothe achievements of the Universities Stanford and Berkeley. Fortunately, Saxony offers a superb educationinfrastructure. As ironic as it sounds, Dresden profits from the strategic decision of the state­ and party leadership of thelong­gone GDR, to create regional research centers. Saxony specialized in microelectronics, Thuringia inoptoelectronics, to give just two examples. That's how the Technical Universities of Dresden and Chemnitz­Zwickau,the College of Technology and Business (HTW, Dresden) and other institutions were able to become world classcenters of excellence in the field of microelectronics. It should not be forgotten that that the first and only one­megabit­chip of the Eastern Bloc was developed in Dresden. Indeed, AMD would not have come to Saxony without itsuniversities and other institutions of higher learning.

Fourth. Good cooperation with politics, administration and population. Dresden and Saxony offer the righteconomical­political climate. AMD did not loose a single day because of delays in administrative permits. Quite theopposite: AMD was able to implement its ambitious project schedule because politics and administration cooperatedconstructively.

Without qualification, AMD's experiences in Dresden and Saxony are positive. Politicians and administrators perceivethemselves as partners in our project and act accordingly.

Page 4: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

It is nothing unusual for an American high tech company to invest billions of Dollars outside the United States. If thoseinvestments occur in the UK, Ireland or the Netherlands, it goes without comment. If it happens in Germany, let aloneEast Germany, it gets noticed right away. "What does AMD know about Germany that we don't", asked an Americanbusinessman when he heard that AMD was about to invest almost $ 2 billion in Dresden. Indeed, why did AMD decidein favor of East Germany, of Saxony?

"Quo vadis" ... Siemens Microelectronics Center

During the first phase of its existence ­ after foundation on 6th June, 1994 ­ the Siemens Microelectronics Center wasmainly focussed on the construction and ramp up of the fab and its corresponding organizations.

This phase was completed very successfully in meeting the milestone of "16 Mbit Series release" followed by volumeproduction ramp in the middle of 1996.

After that, the production capacity was further increased, using leading edge 0.25 µm technology integrated ­ forDRAM and Logic products. Then the organization started to optimize the production processes for further productivityimprovements.

Today the Siemens Microelectronics Center Dresden acts as a reference site of Siemens Semiconductors operating a200 mm wafer line for 16, 64 and 256 Mbit DRAMs as well as advanced Logic products. The integrated developmentand pilot line is capable of process structures ranging from 0.25 to 0.15 µm. The Dresden site now is the point ofdeparture for the emergence of a virtually global factory ­ the "Fab Cluster". All the activities in the Dresden, Essonnes,Hsinchu and Richmond plants are fine­tuned with information from this facility. The back­end operations in Dresden,Porto, Richmond and Malacca are likewise interconnected.

Since early 1998 two new exciting projects started their operations at the Dresden site:

• "SEMICONDUCTOR300", a joint venture between Siemens and Motorola and • "Fab of the Future", a concept and design team between Siemens and a variety of industry partners.

Page 5: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

Both projects are targeting the successful development and ramp up of the first 300 mm factory ­ a major step towardshigher productivity. With this, two new challenging activities and an ongoing stable 200 mm operation Dresden, takesthe opportunity to stay a step ahead in semiconductor manufacturing.

Dresden - The Gateway To Semiconductor Manufacturing In The 21st Century SEMICONDUCTOR300, the Siemens­Motorola Joint Venture in Dresden, lays the groundwork for the transition tothe next generation of semiconductor manufacturing. The first step has been realized by developing the technology for producing integrated circuits (ICs) on 300 mmdiameter silicon wafers, using an existing 0.25 µm process technology in the world's first fully­integrated pilot line. OnFebruary 11, 1999 the first product, a 64 Mb DRAM, has been announced on 300 mm wafers. The technology advance from 200 mm to 300 mm silicon wafers will allow an increase in productivity of 30 percent.Approximately 2.5 times as many chips can be produced on a 300 mm silicon wafer compared to 200 mm. Moreimportant to IC manufacturers, is the opportunity for reduction of cost per square centimeter on the processed 300 mmsilicon wafers. Research and development expenditures of more than one billion D­Mark have to be provided by the two parentcompanies. Funding will be given by the German Federal Ministry for Research as well as by the State of Saxony.SEMICONDUCTOR300 is a pilot line with about 2000 square meters of class 1 cleanroom. The state­of­the­artSiemens production on 200 mm wafers located in the same building enables a direct comparison with the new 300 mmtools and production processes. It will be essential to optimize the entire production process on 300 mm wafers at alevel near that of volume manufacturing to obtain useful and reliable test results.

The challenge is not limited only to the development of the tools and processes for production of the larger diameterwafers. Simultaneously, it will be necessary to prepare the transition to smaller IC device structures (below 0.25 µm)!For future manufacturing facilities it is essential to develop new concepts of automation, transport, computer integratedmanufacturing (CIM), and cleanroom technology.

The Economic Challenge The need for increasingly faster and more powerful integrated circuit devices, which must be offered at continuouslylower prices, is forcing chip manufacturers to increase productivity. This can be achieved primarily by increasing theintegration density (reducing the device feature sizes) of the chips. However, the potential for reducing the dimensional size of ICs is exploited every five to eight years. The increasedchip size requires the use of larger wafers to further increase productivity.

Chips per wafer

Page 6: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

Investments for a future 300 mm manufacturing line (approximately 2 billion USD) will be profitable only if thetechnology provides a breakthrough in productivity. This will be the primary benchmark for determining the success ofthe SEMICONDUCTOR300 team.

For the chip producers Motorola and Siemens, and for the equipment industry, Dresden will be the most important testsite in the coming years.

Cleanroom technology: The front opening unified pod (FOUP) wafer carrier concept could revolutionize themanufacturing technology!

FOUP

Environmental protection: As the size of the wafers increase, the production processes require additional chemicals. Itwill be necessary to find intelligent and innovative solutions to prevent further increase of these quantities.

Process control: Test and dummy wafers are not cheap, and in many processes (photolithography, etching andpolishing) it is necessary to use production­like wafers to fine tune the equipment and achieve the desired processresults. In order to save the quantity of test and dummy wafers required for 300 mm process control and monitoring,procedures need to be significantly reduced.

The Team The SEMICONDUCTOR300 team, driven by excellence and inspiration, will gain momentum from the diversity ofindividual cultures and backgrounds.

Families will also have to adapt to the new surroundings by establishing new homes and schools for their children. Andthis is just as great of a challenge as the economic and technical dimensions of SEMICONDUCTOR300 mission.

The team is a group of exceptional work station owners, technicians, engineers, scientists, and managers. They comefrom Munich, Dresden, Regensburg, in Germany, from Austin, Phoenix, and East Fishkill, in the USA, from Corbeil­Essones and Toulouse, in France, and from East Kilbride and South Queenferry, in the UK. Each individual is anexceptional expert in his field and has innovative ideas regarding the technical solutions for his project.

Wacker Siltronic AG in Saxony The Most Modern 6-inch Wafer Plant in the World is Based in Freiberg

Page 7: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

From polycrystalline silicon to crucible­pulled monocrystallinesilicon ingots for the production of hyperpure silicon wafers (Wacker)

History Wacker's plant in Freiberg already has a 40­year history, dating back to April 1957, when, as VEB SpurenmetalleFreiberg, it was set up to supply the East German economy with hyperpure materials and germanium. In 1963, itcommenced silicon crystal production. From 1970 on, it was able to supply the entire East German electroniccomponents industry with germanium and silicon wafers.

In 1990, the VEB was privatized by the Treuhandanstalt to form the Freiberger Elektronikwerkstoffe Produktions­ undVertriebsgesellschaft mbH. Its efforts to adapt to market conditions led to restructuring: in 1994, the solar silicondivision became BAYER Solar GmbH Freiberg and, in 1995, the gallium arsenide division was split off as FreibergerCompound Materials GmbH. August 1995 saw Wacker Siltronic GmbH sign the contract to take over the Freibergsilicon activities.

Present The takeover was a turning point: The Freiberg plant, an established producer of basic semiconductor materials, began its journey into the nextmillennium; and the Wacker Group committed itself actively to Saxony's high­tech region: Dresden ­ Freiberg ­Chemnitz. Since 1996, DM 226 million have been spent on adapting Freiberg to global market conditions. Subsidies granted bythe Free State of Saxony for investment and R&D have spurred on progress significantly. Indeed, Freiberg's customershave confirmed the success of upgrading measures in their audits of the plant.

Upgrading is complemented by a powerful and thoroughly tested management system for quality, safety andenvironmental protection:

Oct. 1995 ­ DIN ISO 9001 certification Dec. 1997 ­ DIN ISO 14001 certification Sep 98 ­ Member of Saxony's Environ­ mental Alliance Feb 99 ­ Safety audit (SCC)

In Saxony, Wacker Siltronic AG is appreciated as a quality supplier for: 125­mm wafers ­ Zentrum für Mikroelektronik Dresden GmbH 200­mm wafers ­ Simec Dresden GmbH 200­mm wafers ­ AMD Saxony Manufacturing GmbH 300­mm wafers ­ Simec­Motorola, joint venture

On the global front, the plant supplies customers based mainly in Japan, Taiwan and the USA.

Page 8: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

Polishing room for 6­inch wafer production (Korb)

Growth by the year 2000 Oct. 1995

• 281 employees • DM 45 m in sales

End of 1998

• DM 226 m invested (1996­1998) • 425 employees • DM 85.5 m in sales

End of 2000 (forecast)

• DM 90 m invested (1999­2000) • Approx. 500 employees • Approx. DM 150 m in sales

View of the new 200­mm crystal­pulling hall in Freiberg (Korb)

An additional DM 100 m is being invested in Saxony's high­tech region: to build a leading­edge 200­mm facility formonocrystalline silicon ingots. Test production starts in June 1999. In the next millennium, Freiberg will furtherstrengthen its position as a materials producer for high­tech processes. There are interesting challenges on the horizonand Wacker Siltronic is fully committed to meeting them.

Into the 300mm Future: A World First from SUSS Since Karl Suss Dresden was started in 1990 as an independent sister of the Karl Suss Group, its turnover hascontinuously increased to over DM 30 Mill. at the end of last year. Nearly 80% of this comes from export.

SUSS' complete probing solutions can meet virtually any analytical testing requirements in either R&D or productionsituations: from measurements on wafers or substrates to measurements on flat panel displays, multi chip modules andpackaged devices, meeting advanced requirements in the field of failure analysis, parameter extraction & test, devicecharacterization and wafer level reliability.

Always at the forefront of innovation, Karl Suss Dresdenis experts work with the industry, technical universities, andrenowned research bodies such as the Fraunhofer Institute to ensure that innovative ideas rapidly become fact. One ofthe most recent innovations is the worlds first 300mm Prober, the SUSS PA300. It is of primary importance that duringthe development of new integrated circuits any failures are immediately recognized, so that problem areas can beidentified and redesigned before production gets underway.

Page 9: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

This radically reduces production costs and maximizes output. The rapid miniaturizing of ICis means that the ProbeSystems must continuously evolve in order to keep up. The introduction of 300mm wafers into production howeverdemanded a completely new generation of probers as not only did the wafer grow by 100mm, but the structures to betested still continue to decrease in size. The size of the wafer demands a massively stable probe station in order to avoiddamage. It can be configured to measure from the fA level to 220GHz and can be upgraded to a fully automatic systemfor MEMS production.

The world's first 300mm Probe system

Microjoining and Advanced Technologies

Fibre Bragg Gratings for Novel Fibre- Optic Sensors A novel fibre optic strain and temperature sensor, the Bragg grating sensor, works almost calibration free andallows to monitor strain and temperature in structures during their whole life time. There are no influences of electrical and magnetical fields on the sensor signals.

Outline Fibre Bragg gratings are novel fibre­optic components and can be used besides fibre optic communications for strainand temperature sensing. Bragg gratings are imprinted in an optical fibre by a holographic process. These optical gratings provide a wavelength­coded information subject to strain or temperature of the fibre. Due to their small dimensions fibre Bragg grating sensors do not irritate structures. Therefore these sensors are suitablefor embedding directly in materials, for example concrete and composites.

Applications The long­term measurement of small strains is an important part of the continuous monitoring of civil structures. Thereis an enormous demand on robust micro­sensors that are stable for at least 25 years. In some applications sensors withno electrical and magnetical interferences are required. Bragg grating sensors meet these requirements.

Page 10: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

Cooperation Dresden University of Technology built up a technology for fabricating Bragg gratings. In cooperation with HTWKLeipzig (Dept. Civil Engineering) and Telegärtner Gerätebau GmbH first field tests were performed and aninterrogating technique for the wavelength­coded sensor signals was developed (this project is supported by EFREmeans of the European Community and by means of the Federal State Saxony). Advanced Optics Solutions (AOS ­ a spin off of Dresden University) starts now to commercialise the sensor technologyand will fabricate the sensors.

Sensors For the most common applications special designed carriers for Bragg grating sensors are useful, but also Embeddingof bare fibre Bragg grating sensors in concrete and composites was studied. These sensor­carriers can be prefabricatedand easily placed at a building or embedded in materials. Sensor carriers protect the Bragg gratings even under a harshenvironment and can be also designed temperature­compensated by an adept choice of materials. We use specialdesigned sensors to measure temperature, strain or displacement.

Sensor Carrier for measuring strains in reinforced concretebuildings

Field tests For first field tests we embedded the sensors in a prestressed concrete bridge under construction. This 72­meter longbridge spans the motorway A4 near Dresden. A pedestrian bridge with a new reinforcement system (so­called concretebars) was instrumented additionally to Bragg grating sensors in the concrete bars with fibre Bragg grating cracksensors, temperature sensors and strain sensors. We also embedded common electrical strain gauges, vibrating wirestrain gauges and thermocouples for comparing the results. Special displacement sensors monitor expansion joints at a dam during the filling process of the reservoir. Our interrogating technique for the wavelength­coded sensor signals is capable to monitor both very fast (up to 1kHz)and long term changes of the sensor signals.

Placing of the concrete at a motorway bridge instrumented with fibreBragg grating sensors

Advanced Technologies for ULSI Semiconductors and Microsystems The chair of semiconductor technology is a center of competence for plasma aided technologies in the field of highintegrated microelectronics and microsystems. Another part of the research work is the fabrication and characterization of silicon thin films for photovoltaicapplication and copier techniques.

Page 11: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

Institute of Semiconductor Technology and Microsystems

Plasma enhanced technologies are very important for developing and fabrication of large scale integrated (ULSI)circuits, microsystems and other innovative products in electronic industry. The fabrication of pattern smaller than 0,18µm is one of the greatest challenges in microelectronics in the next years. The reliability of the technology and of theproduct has to be increased even when the feature size is decreased.

At the chair of semiconductor technology about 25 scientists are dealing with interconnection systems. The researchwork includes the investigation of interlayer dielectrics, metal wiring, via metallization and passivation of integratedcircuits and electronic components. The improvement of the plasma enhanced technologies gives a novel stimulus tomicrosystem techniques (fabrication of intellegent sensors and actuators) and to bio­medical applications.

A multitude of research results has been published in the area of high temperature stable and ultrathin diffusionbarriers, substitution of aluminum by copper and patterning of metal lines by chemical mechanical polishing (CMP).The passivation of microelectronic components and microsystems with plasma enhanced thin SiON films has beenfurther investigated for 3D systems like capacitance accelerators. A highly anisotropic etching process for silicon hasbeen applied for the fabrication of deep structures with an aspect ratio >25. This plasma enhanced technology opens upnew perspectives in e.g. microfluidic and bio­medical systems.

Deposition and characterization of amorphous, nanocrystalline and microcrystalline silicon thin films represent anotherbasic research area. These films have been applied as photoconductors in copier and print techniques and inphotovoltaic application. The high rate VHF­PECVD deposi­tion process has been developed. Characterization andmodeling of photoelectric sensors and thin film components complete the activities in this field.

The research work based on a multitude research projects, which are sponsored by the government and by variousgerman foundations and associations like DFG, Volkswagenstiftung and Stiftung Industrieforschung. The aim of theseresearch projects is the support of small enterprises of Dresden county. The research results should be transferedimmediately to the fabrication of microelectronic and microsystem components. Furthermore, a close link exists to theDresden semiconductor manufacturers SIMEC and AMD, to Siemens AG Munich, to Daimler­Chrysler and to variousresearch institutes of the Fraunhofer Gesellschaft and to the universities in Chemnitz and Hannover.

Page 12: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

View of a fabrication tool for thin film deposition and patterning locatedin the clean room

Tools for Chemical Mechanical Polishing of copper and low­k polymerdielectrics

Advanced Copper Metallization for ULSI and Power Electronics Application We are at the beginning of a broad material and technology change in microelectronics. The substitution ofthe alumina lines by copper is a first step. New deposition and patterning technologies will be developed toachieve smaller and more reliable metallic lines (< 18 µm) and higher switching frequencies (> 1GHz).

Copper lines (without interlayer dielectric) of an IBM ASIC SA­27

A distinct increase in the performance of microprocessor and memory chips is expected with the use of copper as a newinterconnection material. The number of materials used in microelectronics increases contineously. Furthermore, thematerial change is accompanied by the development of new technologies for deposition and patterning. The main differences between the conventional A1 wiring and the copper metallization are:

• dual damascene technique for fabrication of copper vias and lines • introduction of wet chemical copper deposition techniques (electroplating) • introduction of chemical mechanical polishing (CMP) for copper line patterning • development of new Ta or W based ultrathin and high stable diffusion barriers • introduction of new interlayer dielectrics with a low dielectric constant (k<=2) • development of new or modified techniques for chip interconnection

In the case of power electronics the use of copper has to increase the reliability of the components. A drastic reductionof area and metal levels are the aims for smart power circuits.

A complete copper damascene technology was developed. Copper multilayer interconnection systems for IC's can beprocessed including wire and flip chip bonding.

Page 13: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

The following investigations form the main parts of the research work at the chair:

• fabrication and characterization of ultrathin (10...20 nm) Ta based diffusion barriers • deposition of copper using

* long­throw magnetron sputtering and copper reflow * electroplating * high current pulsed arc evaporation (HCA)

• chemical mechanical polishing of copper, diffusion barrier and interlayer dielectric • passivation of copper lines with PE­CVD SiN and SiO • deposition and integration of low­k polymer interlayer dielectrics, for example BCB, SiLK • flip­chip­bumping and bonding

Scheme of a two­layer copper wiring with a polymer interlayer dielectric

The aim of the described research activities is to establish reliable copper wiring technologies and to characterizepossible failures. Furthermore, new principles for copper and barrier deposition will be performed. Results of twodifferent deposition techniques are shown in the following figures.

Side view of a electroplated copper test structure with BCB as aninterlayer dielectric (after CMP)

Side view of a test structure with copper lines deposited with HCAevaporation (after CMP)

Bumping for Flip Chip Applikation

Page 14: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

Flip chip bonding of integrated circuits decreases considerably the bonding time and the substrate area needed forchip interconnection. Further, a significant increase of the chip performance can be achieved (COB, MCM, CSP etc.)due to the very short connection between the circuit and the substrate.

The chair of semiconductor technology has 15 years of experience in chip bumping.

The basic principle consists of the deposition of solder or other material onto the bond pads of intergrated circuits.Then, the circuit can be bonded face down to a substrate.The bonding process can be realized either by soldering or bythermocompression bonding. For flip chip soldering there is an actual need of lead tin solder bumps. In the case ofthermocompression bonding gold, copper or nickel bumps must be used.

In contrary to the well known IBM C4 technology process there are five various bumping techniques using a resistmask on 6 inch wafers. Normally, solder bumps are fabricated with an eutectic lead tin composition. For highersoldering temperatures the lead content will be increased. Copper, gold and nickel bumps can also be produced byelectroplating. The under bump metallization (UBM) will be deposited with PVD techniques in all bumpingtechnologies. The process flow above shows a hybrid technology with lift off patterning the UBM and electroplatingthe solder.

Page 15: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

Advanced Silicon Etching (ASE) Advanced Silicon Etching has achieved a broad application in fabrication of microsystems due to the dominantlyanisotropic silicon etch process.

Contrary to wet chemical silicon etching the new enhanced silicon etch process is able to fabricate small pattern with avery high aspect ratio (ratio between pattern height and width) independend on the orientation of the silicon crystal.The further development of this process is focused on microfluidic application (pumps, channels, valves). Capacitanceaccelerators and specific actuators are other applications. The Advanced Silicon Etching based on a flourine etchchemistry enables patterning of silicon substrates effectively. The excellent anisotropy of the etching process can beachieved because the process consists of sequentially alternating side wall passivation and etching. During thedeposition step a passivation layer of [CF2] is formed on the surface. During the etching step the polymer film on the

bottom of the patterns is removed and etching reactions of silicon with fluorine take place. By varying the parametersof these two steps a high anisotropy of etching process can be achieved for a wird range of pattern sizes.

Summary of important experimental parameters:

• etching rate 2...6 µm/min • selectivity against photoresist, SiO2 > 50 : 1

• aspect ratio > 25 • wafer diameter 4...6 inch

The etching equipment is available for small enterprises to test new technologies and products fabricated with ASE.

System-Adapted Pyroelectric Infrared Detectors The Institute for Solid­State Electronics has been gathering experience in the field of pyroelectric single­element andmultielement detectors for the infrared spectral range for more than 15 years. On the one hand this is true for the widerange of fundamental research and on the other hand for the development of a complete flexible sensor technology withrespect to application­optimised components with a high signal­to­noise ratio. Preferred pyroelectric materials areLiNbO3 and LiTaO3 or special thin films on the basis of PZT and PVDF.

The advantages of these detectors are:

• operation at room temperature • high signal­to­noise ratio • homogenous spectral responsivity in the range 0.8..25µm • rugged, cost­effective components of long­term stability

Page 16: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

In co­operation with the DIAS Angewandte Sensorik GmbH, a Dresden enterprise specialised in the field of appliedsensor technology, the basis was laid for the development and manufacture of a wide range of infrared detectors. Thisbasis comprises simulation tools, particular sensor techniques (ion­beam etching, packaging), low­noise read­outcircuits and a comprehensive process­controlling measurement technology as well as a sensor measurementtechnology.

Essential sensor types are:

• single­element and dual detectors with high specific detectivity • multispectral detectors with up to twelve spectral channels • linear arrays with up to 256 elements in the 50 µm pitch • two­dimensional arrays with 128 elements

Pyroelectric arrays

In most cases the responsive elements' geometry can be chosen freely. The choice of the infrared window as well as ofthe absorbing layer on the responsive elements is a tool to optimise the spectral detector responsivity. The multi­element detectors are equipped with an integrated CMOS multiplexer. With the utilization of special sensor modules,which are equipped with the chopper, a low­noise signal processing with digitisation and a computer interface thedetectors can be reliably applied even by less­experienced detector users after short time.

Typical applications of pyroelectric single­element and multi­element detectors are:

• non­contact temperature measurement (pyrometry) • gas analysis/ environmental measurement technology • spectrometry • security technique/movement switcher • process control.

Microsystems on a single Chip During the last years the enormous progress in semiconductor technologies made it possible today to integrate entireelectronic systems on a single chip. AMI’ s mission is to provide customer with the best total solution using its expertisein digital and mixed­signal ASICs, standard products and a broad range of foundry processes.

At the European design center of AMI in Dresden microsystems on basis of most advanced CMOS­technology aredeveloped to meet the most different requirements such as:

• low (>1V) and high Voltage (50V) • low (nA) current up to high current (until 1A) • complex digital cells (up to 1 Mio gates) • precise analog cells (e.g. ADC, DAC of all classes) • non­volatile memories (EEPROM) • high frequencies (up to GHz)

Due to system integration on a single chip first of all cost saving system solutions evolve, which furthermore prove ahigher reliability and show smaller dimensions in comparison to conventional solutions.

For economic success within the semiconductor industry "time­to­market" is very crucial. AMI Dresden in cooperation

Page 17: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

with the IMS of FhG and the University of Technology Dresden is working on methods to reduce development spansdrastically and to secure first­pass success of designs transferred into the production process.

ZMD - an expert in custom solutions ZMD was one of the first to focus its expertise on the market for mixed­signal ICs, where com­prehensive designknow­how and flexibility in manufacturing are highly valued. We offer specialized products in the memory marketwith particular emphasis on non­volatile SRAMs. Mixed­signal ICs ­ advanced expertise and experience that counts Mixed­signal products call for excellent parternship when working together with customers. This is exemplified byindividual support and service, as well as the greatest possible flexibility. These guiding principles enable us to developintelligent ideas in close contact with our customers. Fast and direct communication is ensured through regional designcenters and our subsidiary ZMD­America.

ZACMOS ­ modular technology meets all requirements The three technology families in our ZACMOS 0.6/0.8 µm process make it possible to provide complex application­specific solutions for almost all sectors of the electronics industry.

Single battery solutions for hand­held equipment ­ with power supplies down to 0.9 V ­ based on C6Btechnology. EEPROMS can be combined with digital and analog functions.

Digital High Performance and inexpensive mixed­signal applications based on C6L technology. C6A technology includes a wide range of analog devices, allowing complex analog circuits to be designed

with up to 40V capability.

Memory products, non­volatile power The 256 Kbit nvSRAM from ZMD is currently the highest­density monolithic shadow­nvSRAM in the world. Ournewest development is CapStore ­ the world's first nvSRAM with integrated capacitor. This product is socketcompatible with standard battery backed nvSRAMS, but with none of their associated problems.

DAS GmbH Dresden - Environmental expert Waste Gas Abatement Specialist Customer Services

• Expert technical consultation and CoO analysis • Installation and start­up support • On­site training of operators /maintenance personnel • Customised service contracts • 24hr / 7days customer service readiness

Efficiency evaluations and emission monitoring

Company Profile DAS GmbH Dresden was founded in 1991 after the historic reunification of Germany. We are a successful smallenterprise with 35 employees working at our company headquarters. Continuous innovation, leading­edge abatement

Page 18: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

technology, and close partnerships with major chip manufactures are the basis of our international business success.

The DAS company mission is to offer waste gas abatement solutions for semiconductor manufactures, customised toprocess requirements with complete customer support. The DAS advantage is the superior design of our abatementsystems based on in­depth understanding of semiconductor manufacturing processes.

Our service philosophy aims at the customer's full satisfaction with his DAS equipment during its lifetime.

The quality and experience offered by DAS is proven in Europe's first 300 mm SIEMENS/MOTOROLA pilot line tobe built in Dresden and in over 300 major industrial installations world­wide.

Products and Services

ESCAPE MKII ­ Environmentally Safe Cleaning And Protecting Equipment System for Point­of­Use (PoU) abatement of all semiconductor manufacturing waste gases, which are environmentallyharmful, toxic and contaminated process exhaust pipes. Combination of incineration, scrubbing and monitoring in asingle unit.

ESCAPERAINBURN Waste gas abatement system especially adapted for process applications generating high flow silane, e. g. LPCVD

ESCAPE Combi ESCAPE system coupled with a dry­absorption cartridge system for the alternating abatement of arsine containingwaste gases and other type waste gases (e. g. silane) from LPCVD processes

ESCAPE Synergy Combination of two ESCAPE techniques (one Rainburn and one dry burner reactor) in one unit, enabling theabatement of both high flows silane and etch gases .

VAS ­ Vacuum Abatement System PoU waste gas abatement installation; the concept is based on a combination of Vacuum­Abatement­Monitoringtechnologies integrated in one unit, which are required behind the process equipment in the semiconductor industry.

ESCAPE NET

• Software for centralised monitoring and control from one workstation for operational, maintenance and costmanagement of networked ESCAPE and/or VAS systems

Basic system ESCAPE MK II

Page 19: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

Conductive adhesives in microjoining technology The company KSW Microtec was started in 1994, from a viewpoint of the application and further advancement ofthe Flip Chip Technology. As Europe's first service supplier of Polymer Flip Chip technology KSW Microtec offers anadvanced technology in microelectronic packaging and assembly. The company has close operation with internationalmanufacturers and guarantees the customers an optimal solution. The good reputation, which KSW Microtec enjoyswith its fastidious customers points to its efficiency.

contactles Smartcard inlay

The KSW Microtec GmbH is using conductive adhesives not longer for bonding the die with the substrate but also formounting and underfilling of flip chips and SMDs.

The polymer bumping method is a low cost, efficient procedure for the handling of whole wafer and large­scaleproduction. The attainable electrical resistance of the PFC joint in association with Pd metallization lies between 30 and50 m/Ω. Reliability investigations acknowledge the long­term stability of the contacts. The important advantages of theuse of conductive adhesives are the low temperature load and the large spectrum of possible materials available. Theflip chip assembly is possible on a large range of rigid carriers like printed circuit board material, glass, ceramics, Si aswell as flexible substrates like Dycostrate, PC, PET, ABS and PVC. The KSW Microtec GmbH is using conductivepolymer paste for track printing, too. After printing the chip is assembled on the PET foil and an underfiller betweenchip and substrate fills the gap and protects the chip against environmental influences. An other example for usingconductive adhesives is the packaging of transponder IC for contactless smart cards, which contain a microantenna thatemits a proximity RF signal to communicate with the reader device.

Controller Chip on Polyester substrate in combination with screen­printed polymer tracks

The KSW Microtec GmbH is also offering wafer plating and bumping services. After cleaning, the wafer is subjectedto the electroless plating of Ni/Au or Pd, giving rise to a highly stable point.

Compared with the solder technology the broad range of adhesives, the small temperature load and the lower curingperiods are the most important advantages for using conductive adhesives in the SMT.

Page 20: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

Our services:

• Interconnection technology • Flip chip assembly • Wafer plating and bumping • Smart card packaging • Stencil­ / screen­printing • Chip on board / flex • Process development • Prototyping • Process qualification

Photomasks for Microlithography Photomasks written by e­beam­technology are made at Photronics MZD for more than 30 years.

In June 1997 Photronics Inc. acquired MZD Maskenzentrum für Mikrostrukturierung Dresden GmbH. Investments ininfrastructure, tools and personel have resulted in a network of European facilities in the U.K., Switzerland andGermany that are ready to serve the technologically demanding needs of Photronics' European customers. Theequipment installed at Photronics MZD enables the processing of 0.35 micron technology. PMZD writes the Chromium masks using variable vector shaped e­beam writers. The newest tool is the ZBA31H withaddress grid 20 nm. Customer data will be transfered into ZBA format using software MGS from Fraunhofer IMSDresden. Due to the advantages of the ZBA31H PMZD offers new products:

• masks with smoothed curved edges thanks to the 20 nm writing grid and the smoothing writing strategy • overlay between masks below 60 nm • larger exposure fields for ample 165x165 mm2 on a 7'' mask.

Manufacturing and Equipment

Customer-specific Products and Special Equipment for Clean Rooms by ROTTER

Page 21: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

Work in clean and ultraclean rooms characterizes the microelectronic industry, scientific and industrial laboratories,and medical facilities as well. In these arenas production too requires modern, individual solutions for development,fabrication, and packaging, which a serial vendor can deliver only with great difficulty, if at all. At the same timeproduction conditions in clean rooms place the greatest demands on the quality of material and processing contained inthe articles manufactured. With its array of services and products ROTTER fulfills just such customer requirements andwishes.

Single­Source, Complete Solutions ROTTER's range of service includes the development, fabrication, delivery, and installation of components for theoutfitting of and production in clean rooms. The complete train of events from the ideas leading to solution of theconstruction tasks through the design, statics, mechanics, electrical wiring, programming, and packaging is viewed as acomplete management project and is realized together with partners from within the firmÕs network.

A New Product Line EXOLAB is an industrial furnishing system conceived specially for application in clean rooms. The patented, modularframe system, developed in concert with specialized designers, offers technical and aesthetic features which are settingnew standards in the industry. The EXOLAB system recommends itself because of the following advantages:

• Base construction of stainless steel, • Exchangeability of materials in individual functional areas, • Complete absence of disturbances in vertical laminar flow due to the nearly full closure of the ceiling in the

frame structure, • Clear, appealing appearance due to the closed outer surfaces of all system components, • Optimal production and installation of furnishings due to constructive setup, and • Individual planning of furnishings through the high flexibility of the outfitting system.

The constructive characteristics and materials of the outfitting system offer the customer an excellent price­performance relationship. In its first phase the system consists of the shelving, transport wagon, cabinet, and work bench. In the second phase peripheral components required for processing techniques in clean room areas can be added easilyusing the modular, self­carrying features of the basic framework as an external skeleton. In this way the product palettecan be systematically expanded, depending on customer needs.

ROTTER's EXOLAB series is a modular industrial outfitting system for clean room and laboratory areas required to bekept in accordance with high standards of cleanliness. Out of the standardized individual elements in their building­block system, configurations for the most varied uses can be assembled. The electrically conductive stainless steelframework has an almost completely closed outer surface in the vertical column areas and, therefore, optimal propertieswith respect to transportation, cleaning, and provision for laminar flow. The combination of these advantages into acontinuous designer line makes EXOLAB a perfect union of function and aesthetics.

Page 22: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

Cluster and Tool Controller compatible to the SEMI standards Clusters are more and more accepted in recent years and have become a fix component in many fields of massproduction of the semiconductor industry. A cluster typically consists of modules of three different types: the transportmodules, the cassette modules and the material processing modules. Figure 1 shows a characteristical arrangement ofdifferent modules as usually applied by the semiconductor industry. Individual process and cassette modules are linkedelectronically by communication interfaces and mechanically by transport modules (robots) within the cluster.

Figure 1: Example of a simple cluster configuration

ABAKUS Software develops software solutions to control this specific kind of equipment. Its properties are:

• CTMC compatible functions for: ­ material movement and processing (SEMI E38/E40) ­ recipe management (SEMI E42) ­ error reporting and recovery (SEMI E41) ­ data an exception reporting (SEMI E53)

• automated state monitoring according to the ARAM Standard (SEMI E58) • SECS compatible interfaces for communication between the modules (SEMI E4/E5/E30) • open and modular software architecture • SQL­Database for configuration and state management (incl. wafer history) • graphical user­interface to attached modules • intelligent scheduling and batch management

ABAKUS Software consequently turns the "Cluster Tool Module Communication" Standard of SEMI (CTMC) intopractice in their cluster controller software development. This standard defines the basic controller architecture as wellas communication services taking automatic control of independent transport, process and cassette modules within acluster. Using its experiences ABAKUS Software developed its own communication interface SCI (SECSCommunication Interface) based on SEMI Standards. This tool with its SECS compatibility is capable not only toestablish error free communication between modules and cluster controller but to establish safe connections betweenseveral cluster modules for data transfer (see figure 2).

Page 23: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

Figure 2: Software architecture of the SECS CommunicationInterface (SCI)

OEM software applications which have been developed according to the SEMI Standards (GEM, CTMC) can beintegrated into the cluster controller without any additional effort like rewriting the source code. Due to the open andmodular software architecture, OEM software applications of third parties incompatible to the standard (like modulesoftware made externally) can be bound into the cluster controller by simple adaption. ABAKUS Software implementscontrollers for clusters using the widespread platform Microsoft Windows NT and the programming language C++.Currently there are about 54 cluster controllers by ABAKUS Software running in semiconductor fabs worldwide.

Automatic Tape Remover - ATR The Automatic Tape Remover ATR is a stand­alone system, to be used in the post processing of chipmanufacturing.

The elimination of foils from reusable frames is an often underestimated backend process comparing with other steps ofsemiconductor manufacturing. Automation in this area is non­usual up to now. Thus improvement of these processeswith semi­automatic coupling to pre­ and post pro­cessing is an actual task in the definition of the process lines forwafer production.

The ATR has the following features:

• Fully automatic dismantling machine for cleanrooms (class 1000) • Capability for frames for 150 mm and 200 mm as well as for 300 mm wafers (under development) • Frame loading from magazine • Automatic change of magazine for operation without interruption • Frame manipulation by multi­axis handling units • Electrically driven push­off device with adjustable sequence of movements • Sensor control of the push­off process • Foils are parcelled together to ease disposal • Identification of frame magazines and of frames via bar code readers • PC control with SECS interface • Production rate: 200 frames per hour.

Page 24: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

Comparing with the up­to­date manual process of frame cleaning / tape removing the ATR has the followingadvantages:

• full process automation • no manual handling • semi­automatic incorporation in the manufacturing of micro­electronic devices • saving of manpower • fast rewind of frames • no frame damage • adaptation to expected cleanroom class

The ATR for 200 / 150 mm wafers manufacturing has been in operation for years with good results in performance,function and reliability.

The Automatic Tape Remover ATR ­ Anequipment for the automatic removing of foils from re­useable frames in Microelectronic Manufacturing

Lot Distributation and Buffering System for Semiconductor Manufacturer - "Sea of Lots" The introduction of SMIF and FOUP minienviroment technology for the semiconductor fabs results in thedevelopment of a new lot distribution and buffering system without the need of expensive cleanroom footprint. As aconsequence of SMIF and FOUP technology the level above the cleanroom is now used only for filter­fan­units andcan now be used for distribution and buffering of lots. Clear seperation of functions leads to the following design

Upper level: lot distribution and buffering Medium level: production Lower level: media supply

Production is free from any unproductive function and wafers enter production level only in order to be processed. As a

Page 25: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

result stockers and WIP racks disappear from the cleanroom. As a further benefit of this system traveling time from tool to tool can be reduced to below 1 minute. Therefore WIPracks and short term buffers in the cleanroom will be obsolet. Due to simple hard­ and software design and the use of proved industrial components, the investmentcosts of "Sea ofLots" are significantly below such of conventional systems like conveyor or monorail for example. This leads to significant higher productivity and reduced costs of ownership.

Description of the system: Basic element is an array of fast moving (to 2,5 m/s) x/y/z drive robot to so called "cellequipment buffer" CEB. This CEB is located above the centerline between two bays, so it can cover both bays. Therobot is equiped with a gripper, which is handling the lots and puts them on to a vertical lift. The lots are lowered downtrough a flue in the ceiling right on to the loading port of each processing tool in the production level and vice versa.

The single CEB's are connected with fast moving interbay transport belt, so that the whole production area is coveredby the "Sea of Lots" system. Between the movements the lots are buffered in the center of the CEB near their next tool above the ceiling in two orthree rows. Up to 250 lots per CEB buffering capacity is available.

The system is controled by a MCS­ material control system­. Because per unit only one lot is moved with highspeed pertime, no routing is needed and the software design is in fact a standard warehouse software.

1.Benefits High transport speed and minimized traveling time below 2 minutes from tool to increase tool productivity.

2. The system eliminates stockers and WIP racks, so that each bay could accomodate another 1­2 process tools.

3. Installation and maintenace can be done without any disturbance of fab installation or production.

4. Low investment and running costs reduce costs of ownership.

5. Last but not least a complete turn key system of lot distribution, buffering and tracking including pods and lottravallers together with a well defined interface to MCS comprises an ideal supplement to SMIF and FOUPtechnology.

Industrial Service

Industrial service for microelectronics Our first activities for microelectronics date back to 1961 when the distinguished scientist Professor WernerHartmann founded the "Arbeitsstelle für Molekularelektronik". A high tech diagnostic and analysis center with topclass equipment and a team of experts has been developing.

In October 1990, the Institut Fresenius Gruppe Dresden was founded and incorporated the diagnostic departement ofthe Dresden microelectronics research center. Since 1990 we have been working for various customers from Germanyand other European Countries.

Page 26: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

In the last few years, the microelectronics industry has come back to Dresden. New chances for service has beenarisen. Today we go new ways of cooperation. Additional to the analytical service in our labs we solve our customer'sproblems inside the waferfabs (in house­outsourcing). Including the abilities of the entire Institut Fresenius, celebrating its 150th anniversary of foundation in 1998, and ourcompetent partners we provide a wide range of service. The Institut Fresenius acts as a General contractor for consulting, analytic, and expert opinions for:

• Site evaluation for waferfabs and other manufacturing plants; • Commissioning of manufacturing plants; • Supply and disposal of media, immissions; • Ecological survey­ analysis of emissions; • Testing of materials for manufacture; • Analytical care of technological development; • Failure analysis and analytical support for semiconductor manufactoring; • Analyses and measurements for the back end.

We offer:

• Complex problem solutions; • Industrial laboratories or check set­ups on site; • Routine tests; • Development services for physical an chemical analysis and measurement programs.

Controlling gas flow in t he future Until a few years ago, all mass flow controllers operated with an analogue input and output.

Within the past few years, digital mass flow controllers that can be operated with an analogue or digital input andoutput have been introduced to semiconductor industry. Digital mass flow controllers have the major advantage of aninternal CPU with memory to store multiple calibration curves with proportional integral derivative (PID) data and anexpanded number of calibration points. Additionally, flow accuracy and linearity are enhanced as they are a singleparameter that is a function of setpoint rather than full­scale, as in case of analogue mass flow controllers. Finally,digital signal processing provides diagnostic capability that can alert a user of an impending gas flow problem before acatastrophic process problem occurs. Digital mass flow controllers with piezoelectric control valves that are diaphragm­seated and with all gas­wetted surfaces electropolished to an Ra of 1 microinch have been available for several years.Field experience together with independent testing of these mass flow controllers has proven that there is negligiblegeneration of particles over hundreds of thousands of valve open­and­close cycles. These mass flow controllers havealready demonstrated that they are fully capable of satisfying all aspects of the stringent process control that will berequired for processing 300 mm wafers.

Page 27: Microelectronics Microelectronics - high innovation ... · Institute for Reliability and Microintegration Cu dry etch system "DPSCentura" installed by Applied Materials at TU Chemnitz

Impressum Herausgeber:

TU Dresden Forschungsförderung/Transfer TechnologieZentrumDresden GmbH BTI - Beratungsgesellschaft für Technologietransfer undInnovationsförderung mbH

Redaktion: Dipl.-Journ. Eva Wricke (TU Dresden) Tel.: 0351 - 463 4453, e-mail: [email protected]

Dr. Hans-Joachim Burghardt (TechnologieZentrumDresden) Tel.: 0351 - 871 8663, e-mail: [email protected]

Dipl.-Ing. Ute Kedzierski (BTI mbH) Tel.: 0351 - 871 7564, e-mail: [email protected]

Anschrift: Dresdner Transferbrief c/o TechnologieZentrumDresden mbH Gostritzer Straße 61-63 D-01217 Dresden

Tel.: 0351 - 871 8665 Fax: 0351 - 871 8734 e-mail: [email protected]

Gestaltung und Satz der gedruckten Ausgabe: progressmedia Verlag und Werbeagentur GmbH Lingnerallee 3 D-01069 Dresden

Tel.: 0351 - 485 9556 Fax: 0351 - 485 9558 e-mail: [email protected]