Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

94
“Design, Growth & Fabrication of In x Ga 1-x N (0 ≤ x ≤ 0.25) Based Solar Cell” A Dissertation submitted to Pt. Ravishankar Shukla University for partial fulfillment of the requirements of degree Master of Technology (Optoelectronics & Laser Technology) By RAJKUMAR SAHU School of Studies in Electronics & Photonics, Pt. Ravishankar Shukla University, Raipur, C.G.- 492010, India Under the Guidance of Mr. Sonachand Adhikari Scientist Optoelectronic Devices Group CSIR-Central Electronics Engineering Research Institute, Pilani Rajasthan – 333031, India July 2013- May 2014

Transcript of Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Page 1: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

“Design, Growth & Fabrication of InxGa1-xN

(0 ≤ x ≤ 0.25) Based Solar Cell”

A Dissertation submitted to Pt. Ravishankar Shukla University

for partial fulfillment of the requirements of degree

Master of Technology

(Optoelectronics & Laser Technology)

By

RAJKUMAR SAHU

School of Studies in Electronics & Photonics, Pt. Ravishankar Shukla University, Raipur, C.G.- 492010, India

Under the Guidance of

Mr. Sonachand Adhikari Scientist

Optoelectronic Devices Group CSIR-Central Electronics Engineering Research Institute, Pilani

Rajasthan – 333031, India

July 2013- May 2014

Page 2: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)
Page 3: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)
Page 4: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)
Page 5: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Abstract

InGaN has a tunable direct band gap starting from 0.7 to 3.42 eV and also high radiation

hardness, which makes it one of the most useful material systems especially for photovoltaic

application. Since, the growth and fabrication techniques of InGaN has not reached maturity

level, there are still issues to be addressed in design of device, high quality wafer growth and

fabrication.

For a solar cell, there are four important parameters: Short Circuit Current (Jsc), Open

Circuit Voltage (Voc), Fill Factor (FF) and Efficiency (ŋ), which helps in determining its

characteristics. These parameters depend on various intrinsic and extrinsic material properties

and the major role in a solar cell depends on the minority carriers and the resistance offered in

path of current flow (series and shunt resistance).

Silvaco-Atlas was used to simulate and optimize p-i-n structure. Effects of various

physical parameter such as doping, layer thickness, grid spacing are investigated to get high

efficiency p-i -n double hetero-junction GaN/InGaN solar cell. Simulation result shows that an

efficiency of 9.42% with fill factor as high as 88.73% can be achieved with indium content of

15%. Schottky Diode & Multi Quantum Well (MQW) structure solar cell has also been

simulated however, those structure did not show expected high-efficiency results.

i

Page 6: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

ACKNOWLEDGEMENTS

I would like to take this opportunity to acknowledge those who have provided the help

and guidance to complete my M.Tech. Their understanding and advice are an invaluable treasure

which I will never forget.

First, I would like to thank my supervisors, Mr. Sonachand Adhikari, CSIR-CEERI,

Pilani and Prof. Sanjay Tiwari, Pt. Ravishankar Shukla University, Raipur, for the support,

encouragement, constant guidance and constructive advices that they have given me throughout

all the stages of my research work. I also greatly appreciate the faith, they both have shown in

my abilities and capabilities.

I am grateful to Dr. Chandra Shekhar, Director, CSIR-CEERI, Pilani, for providing me

an opportunity to carry out most of the work reported in the thesis at CSIR-CEERI, Pilani.

I extend my sincere thanks to Dr. C. Dhanvantari, Group Leader, Optoelectronic

Devices Group, CSIR-CEERI, Pilani for giving me the opportunity to work in the field of

Optoelectronic devices.

I extend my sincere thanks to Dr. Suchandan Pal, Dr. Sumitra Singh, Shri S. Johri,

Mr. Kuldip Singh, & Mr. Ashok Chauhan, for their invaluable suggestion and support. I take

this unique opportunity to thank all the Scientific/Technical staff of Optoelectronics Devices

Group for making all the facilities available at time.

I extend my sincere thanks to Mr. Vinod Kumar Verma Technical Officer for his

constant support and help during the entire period of my project work.

I would like to extend my appreciation and thankfulness to my friends, and others who

are related directly or indirectly, for their tremendous co-operation and encouragement during

this work, without which the task would not have been possible.

I must also thank my parent Shri. Naba Kishore Sahu and Smt. Shanti Lata Sahu , my

younger sisters Miss. Rasmita, and Miss. Rajeshwari for their love, affection, and endless

support that has enabled me to reach this goal.

Finally, I would also like to express my deep sense of gratitude and respect towards all

those people who work for preserving nature and environment. Their works always inspire me to

work in this field. I also perceived that this is not the end of study in my life. I can understand

what kind of new life, and difficulties I have to face in near future. I also believe that I will

follow the same path but now with more curiosity and confidence.

Rajkumar Sahu

ii

Page 7: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

TABLE OF CONTENTS

ABSTRACT i

ACKNOWLEDGEMENT ii

LIST OF FIGURES vii

LIST OF TABLES x

1 INTRODUCTION 1-16

1.1 HISTORY OF SOLAR ENERGY 1

1.2 SOLAR ENERGY AND HIGH EFFICIANCY EFFORTS 3

1.3 III-NITRIDE MATERIAL SYSTEM 6

1.4 III-NITRIDE MATERIALS PROPERTIES 7

1.5 CHALLENGES IN INGAN MATERIAL SYSTEM 12

1.5.1 SUBSTRATE 12

1.5.2 PHASE SSEPARATION 12

1.5.3 POLARIZATION AND PIEZOELECTRIC CONSTANT 13

1.5.4 P-TYPE DOPING 14

1.5.5 ABSORPTION DEPTH AND DIFFUSION LENGTH 14

1.6 SUMMARY 15

REFERENCES 16

2 SOLAR CELL BASICS 19-29

2.1 THE PHOTOVOLTAIC EFFECT 19

2.2 SEMICONDUCTOR CONCEPT 20

2.2.1 EQUILIBRIUM 20

2.2.2 NON EQUILIBRIUM 21

2.3 Characteristic of Photovoltaic Cell 22

iii

Page 8: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

2.3.1 PHOTOCURRENT AND QUANTUM EFFICIENCY 22

2.3.2 DARK CURRENT AND OPEN CIRCUIT VOLTAGE 23

2.3.3 EFFICIENCY 25

2.3.4 PARASITIC RESISTANCES 27

2.4 SUMMARY 28

REFERENCES 29

3 INTRODUCTION TO SIMULATION SOFTWARE 30-44

3.1 SILVACO ATLAS 30

3.2 INPUT FILE STRUCTURE 31

3.3 STRUCTURE SPECIFICATION 33

3.3.1 MESH 33

3.3.2 REGION 34

3.3.3 ELECTRODE 34

3.3.4 DOPING 35

3.4 MATERIAL MODEL SPECIFICATION 35

3.4.1 MATERIALS 35

3.4.2 MODELS 36

3.4.3 CONTACT 36

3.4.4 INTERFACE 36

3.5 NUMERICAL METHOD SELECTION 37

3.6 SOLUTION SPECIFICATION 37

3.6.1 LOG 38

3.6.2 SOLVE 38

3.6.3 LOAD AND SAVE 38

3.7 RESULT ANALYSIS 38

3.8 BASIC EQUATIONS 39

3.9 FINITE ELEMENT ANALYSIS 40

3.10 ADDITIONAL MODELS 40

iv

Page 9: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

3.10.1 SHOCKLEY-READ-HALL RECOMBINATION 41

3.10.2 RADIATIVE RECOMBINATION 42

3.10.3 AUGER RECOMBINATION 42

3.11 SUMMARY 43

REFERENCES 44

4 DESIGN OF InGaN SOLAR CELL 45-53

4.1 INTRODUCTION 45

4.2 EARLIER DEVELOPMENTS 45

4.3 SIMULATION OF INGAN SOLAR CELL 46

4.3.1 OPTIMIZATION OF P-I-N STRUCTURE 47

4.3.2 P-I-N STRUCTURE WITH VARYING INDIUM COMPOSITION 51

4.4 SUMMARY 52

REFERENCES 53

5 GROWTH OF GaN FILMS BY MOCVD 54-67

5.1 EPITAXY 54

5.2 GROWTH TECHNIQUES 54

5.2.1 MOLECULAR BEAM EPITAXY (MBE) 55

5.2.2 METAL ORGANIC CHEMICAL VAPOR DEPSITION 55

5.3 METAL ORGANIC CHEMICAL VAPOR DEPSITION(MOCVD)

GROWTH TECHNIQUE 55

5.3.1 CONFIGURATION 57

5.3.2 SOURCES 58

5.4 CHARACTERIZATION TECHNIQUES 59

5.4.1 IN-SITU-CHARACTERIZATION 59

5.4.2 Atomic Force Microscopy Measurement 64

5.4.3 Photoluminescence Measurement 65

5.5 SUMMARY 66

REFERENCES 67

v

Page 10: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

6 FABRICATION OF GaN/InGaN SOLAR CELL 68-74 6.1 Device Processing Technology 68 6.2 MASK LAYOUT DESIGN 68

6.2.1 DIFFERENT DEVICE & TEST STRUCUURE 69

6.3 FABRICATION PROCESS OF GAN/INGAN SOLAR CELL 69

6.3.1 PHOTORESIST SPIN COAT 70

6.3.2 MESA ETCH 70

6.3.3 N-CONTACT METALLIZATION 71

6.3.4 CURRENT SPREADING LAYER 72

6.3.5 P-CONTACT METALLIZATION 73

6.4 SUMMARY 74

CONCLUSION & FUTURE WORK 75

APPENDIX A 76

APPENDIX B 78

vi

Page 11: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

List of Figures

Chapter 1

Fig. 1.1 Best research cell efficiencies 4

Fig. 1.2 Light transfer through a three-junction solar cell 5

Fig. 1.3 Solar radiation and energy bandgap with Ga fraction in In1-xGaxN 7 Fig. 1.4 (a) Unit cell for the hexagonal wurtzite structure

(b) Wigner-Seitz unit cell for the III-nitrides. 8

Fig. 1.5 Bandgap energy versus chemical bond length for III-Nitrides and

other Semiconductors. 9

Fig. 1.6 Energy bandgap (eV) as a function of lattice parameter (Å) for

wurtzite III Nitride alloys 10

Fig. 1.7 Schematic comparison of band structures of (a) an ideal material,

and (b) a phase separated material. 13

Chapter 2

Fig. 2.1. Comparison of the photoelectric effect (left), where uv light

liberates electrons from the surface of a metal, with

the photovoltaic effect in a solar cell (right). 19

Fig. 2.2. Quantum effciency of GaAs cell compared to the solar spectrum.

The vertical scale is in arbitrary units, for comparison 23

Fig. 2.3. Current-Voltage characteristic of ideal diode in the light

and the dark. 23

Fig. 2.4. Equivalent circuit of ideal solar cell. 25

Fig. 2.5. The current voltage (black) and power{voltage (grey)

characteristics of an ideal cell. Power density reaches a maximum

at a bias Vm, close to Voc. The maximum power density Jm* Vm is

given by the area of the inner rectangle. The outer rectangle has

area Jsc*Voc. If the fill factor were equal to 1, the current voltage

curve would follow the outer rectangle. 26

Fig. 2.6 Equivalent circuit including series and shunt resistances. 27

Fig. 2.7 .Effect of (a) increasing series and (b) reducing parallel

vii

Page 12: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

resistances. In each case the outer curve has Rs = 0 and

Rsh =. In each case the effect of the resistances is to reduce

the area of the maximum power rectangle compared to Jsc *Voc. 27

Chapter 3

Fig. 3.1 Atlas inputs and outputs 31

Fig. 3.2 Atlas command groups and primary statements 32

Fig. 3.3 Atlas mesh. 33

Fig. 3.4 Atlas region 34

Fig. 3.5 Atlas electrodes 35

Fig. 3.6 a) Indirect bandgap recombination

b) Direct bandgap recombination 41

Chapter 4

Fig. 4.1 p-i-n GaN/InGaN structure 47

Fig. 4.2 GaN/InGaN p-i-n structure 47

Fig. 4.3 Effect of changing p-GaN thickness with different p-doping

a.)Short Circuit Current Density b.) Efficiency

c.)Open Circuit Voltage d.) Fill Factor 48

Fig. 4.4 Effect of changing InGaN thickness on physical characteristic

of solar cell a.)Short Circuit Current Density

b.) Open Circuit Voltage 49

c.) Fill Factor d.) Efficiency 50

Fig. 4.5 Effect of changing n-GaN thickness on characteristic parameters

of solar cell a.)Short Circuit Current Density b.) Efficiency 50

Fig. 4.6 a.) Final Optimized structure of p-i-n GaN/InGaN double hetero

junction solar cell b.)I-V curve 51

Fig. 4.7 Effect of changing the In composition on various characteristic

parameter a.) Short Circuit Current density b.)Fill Factor 51

c.)Efficiency d.) Discontinuity in the valence band at the

hetero junction at high In content 52

viii

Page 13: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Chapter 5

Fig. 5.1 Schematic steps for MOCVD growth. 56

Fig. 5.2 Schematics diagram of a MOCVD system. 57

Fig. 5.3 Schematics diagram of MOCVD reactor 58

Fig. 5.4 Reflection from a 3-phase system. The shaded area shows multiple

internal reflections. 60

Fig. 5.5 Reflected intensity for a film with refractive index 2.4 on a

substrate with refractive index 1.7, and a probe wavelength of

635nm. An interference profile like this might be seen for GaN

growth on Sapphire, where the film has little absorption at

the probe wavelength. 61

Fig. 5.6 Theoretical reflected intensity for a film with refractive index 2.4

and k = 0.153, on a substrate with refractive index 1.7,

and a probe wavelength of 633nm. 62

Fig. 5.7 Schematic diagram of the in situ monitoring apparatus 63

Fig. 5.8 The typical trace of both reflectance intensity of the in situ monitor.64

Fig 5.9 AFM study of interrupted GaN growth runs 65

Fig. 5.10 A typical room temperature photoluminescence

scan for InGaN growth 66

Chapter 6

Fig. 6.1 Full Mask Layout design of InGaN Solar Cell 68

Fig. 6.2 Device with different dimension 69

Fig. 6.3 Process Flow chart of GaN/InGaN Solar Cell 69

Fig. 6.4 Sample after Mesa Etch 70

Fig. 6.5 Sample after n-contact lithography 71

Fig. 6.6 Resistance versus Contact separation from TLM 72

Fig. 6.7 Sample after current spreading lithography 72

Fig. 6.8 Sample after p-contact lithograph 73

ix

Page 14: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

List of Tables

Table 1.1 Properties of group III-nitrides. 11

Table 1.2 Lattice mismatch and thermal expansion coefficient mismatch

of GaN with common substrates 12

Table 4.1 Test p-i-n output characteristics 47

Table 4.2 Characteristics parameters of p-i-n solar cell 51

Table 5.1 Sources of MOCVD system 58

x

Page 15: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

INTRODUCTION

1.1 History of Solar Energy

The photovoltaic effect was first reported by Edmund Bequerel in 1839 when he

observed that the action of light on a silver coated platinum electrode immersed in electrolyte

produced an electric current. Forty years later the first solid state photovoltaic devices were

constructed by workers investigating the recently discovered photoconductivity of selenium.

In1876 William Adams and Richard Day found that a photocurrent could be produced in a

sample of selenium when contacted by two heated platinum contacts. The photovoltaic action of

the selenium differed from its photoconductive action in that a current was produced

spontaneously by the action of light. No external power supply was needed. In this early

photovoltaic device, a rectifying junction had been formed between the semiconductor and the

metal contact. In 1894, Charles Fritts prepared what was probably the first large area solar cell

by pressing a layer of selenium between gold and another metal. In the following years

photovoltaic effects were observed in copper-copper oxide thin film structures, in lead sulphide

and thallium sulphide. These early cells were thin film Schottky barrier devices, where a semi-

transparent layer of metal deposited on top of the semiconductor provided both the asymmetric

electronic junction, which is necessary for photovoltaic action, and access to the junction for the

incident light. The photovoltaic effect of structures like this was related to the existence of a

barrier to current flow at one of the semiconductor-metal interfaces (i.e., rectifying action) by

Goldman and Brodsky in 1914. Later, during the 1930s, the theory of metal-semiconductor

barrier layers was developed by Walter Schottky, Neville Mott and others.

However, it was not the photovoltaic properties of materials like selenium which excited

researchers, but the photoconductivity. The fact that the current produced was proportional to the

intensity of the incident light, and related to the wavelength in a definite way meant that

photoconductive materials were ideal for photographic light meters. The photovoltaic effect in

barrier structures was an added benefit, meaning that the light meter could operate without a

power supply. It was not until the 1950s, with the development of good quality silicon wafers for

Chapter 1

1

Page 16: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

applications in the new solid-state electronics, that potentially useful quantities of power were

produced by photovoltaic devices in crystalline silicon.

In the 1950s, the development of silicon electronics followed the discovery of a way to

manufacture p-n junctions in silicon. Naturally n type silicon wafers developed a p type skin

when exposed to the gas boron trichloride. Part of the skin could be etched away to give access

to the n type layer beneath. These p-n junction structures produced much better rectifying action

than Schottky barriers, and better photovoltaic behaviour. The first silicon solar cell was reported

by Chapin, Fuller and Pearson in 1954 and converted sunlight with an efficiency of 6%, six times

higher than the best previous attempt. That figure was to rise significantly over the following

years and decades but, at an estimated production cost of some $200 per Watt, these cells were

not seriously considered for power generation for several decades. Nevertheless, the early silicon

solar cell did introduce the possibility of power generation in remote locations where fuel could

not easily be delivered. The obvious application was to satellites where the requirement of

reliability and low weight made the cost of the cells unimportant and during the 1950s and 60s,

silicon solar cells were widely developed for applications in space.

Also in 1954, a cadmium sulphide p-n junction was produced with an efficiency of 6%,

and in the following years studies of p-n junction photovoltaic devices in gallium arsenide,

indium phosphide and cadmium telluride were stimulated by theoretical work indicating that

these materials would offer a higher efficiency. However, silicon remained and remains the

foremost photovoltaic material, benefiting from the advances of silicon technology for the

microelectronics industry.

In the 1970s the crisis in energy supply experienced by the oil-dependent western world

led to a sudden growth of interest in alternative sources of energy, and funding for research and

development in those areas. Photovoltaic was a subject of intense interest during this period, and

a range of strategies for producing photovoltaic devices and materials more cheaply and for

improving device efficiency were explored. Routes to lower cost included photo-electrochemical

junctions, and alternative materials such as polycrystalline silicon, amorphous silicon, other `thin

film' materials and organic conductors. Strategies for higher efficiency included tandem and

other multiple band gap designs. Although none of these led to widespread commercial

development, our understanding of the science of photovoltaics is mainly rooted in this period.

2

Page 17: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

During the 1990s, interest in photovoltaics expanded, along with growing awareness of

the need to secure sources of electricity alternative to fossil fuels. The trend coincides with the

widespread deregulation of the electricity markets and growing recognition of the viability of

decentralised power. During this period, the economics of photovoltaics improved primarily

through economies of scale. In the late 1990s the photovoltaic production expanded at a rate of

15-25% per annum, driving a reduction in cost. Photovoltaics first became competitive in

contexts where conventional electricity supply is most expensive, for instance, for remote low

power applications such as navigation, telecommunications, and rural electrification and for

enhancement of supply in grid-connected loads at peak use [Anderson, 2001]. As prices fall, new

markets are opened up. An important example is building integrated photovoltaic applications,

where the cost of the photovoltaic system is offset by the savings in building materials.

1.2 Solar Energy and High Efficiency Efforts

It is reported that 97.1% of world energy production is from fossil fuels and nuclear

power [1]. Oil, coal &natural gas are the major fossil energy sources from which high amount of

CO2 releases. CO2 is a greenhouse gas and considered to be the reason of the global warming.

On the other hand, nuclear power is not harmful for the atmosphere. But, the remnants of nuclear

reaction remain radioactive for many years and should be stored in particular chambers during

this time. Since world’s energy consumption increases rapidly, suffering from side effects of our

major energy sources is expected to increase unless renewable energy sources dominates the

area. It is reported that the potential of solar irradiation is at least 1000 times greater than that of

the summation of all other renewable energy sources which makes direct solar irradiation to be

the only global renewable energy source [2].

Solar cells or photovoltaic devices (PV) are designed to absorb sunlight and convert it

into usable electrical energy. The PV effect first discovered by A.E Becquerel and then Charles

Fritts produced first PV cell with only 1% efficiency in 1883. Bell Laboratories developed the

first modern PV cell using silicon p-n junction in 1954. Nowadays, the efficiency of crystalline

single junction silicon solar cells reaches up to 25% approaching to their theoretical limit of

33.7%. These efficiencies belong to sophisticated small scale laboratory production. Mass

produced and less expensive market modules produce lower efficiencies in between 15-20%.

3

Page 18: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

In 2010, large commercial arrays cost down to $3.40/watt, which was from $8.00/watt

back in 2004 [3]. First generation silicon solar cells are dominating the market; however, they

still cost more than desired. Other alternatives are shown in the Figure 1.1 below may have the

potential of yielding higher efficiencies at lower cost.

Fig. 1.1 Best research cell efficiencies

There are different structures used for solar cell fabrications such as bulk materials, thin

films, organic polymers and organic dyes. Although, majority of the commercially available

solar cells are made from relatively low-cost Silicon (Si) bulk crystal, even lower cost thin film,

organic-inorganic PV systems have been investigated to compete with fossil fuels and nuclear

energy. On the other hand, the researches towards high efficiency multi-junction solar cells are

also focus of interest in concentrated PVs and extraterrestrial applications. It can be seen that

almost all of the solar cell studies has become mature with an exception of organic solar cell

studies which are towards the realization of low cost solar cells. To date, the top most PV

4

Page 19: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

efficiency of 42.3% has been realized by three-junction InGaP/GaAs/Ge based devices under a

solar concentration of 406 suns. Higher efficiencies can be realized by using multiple band gaps

for solar cells. For a single junction solar cell, only the photon energies higher than the band gap

of the material is absorbed with an heat conversion of the excess energy of the photons above the

band gap energy and the rest of the photons with energy lower than the band gap can’t be

absorbed by the material. In the case of a multi-junction solar cell, introduction of additional

active regions with higher band gap materials to the top and lower ones to the bottom as

indicated in Figure 1.2. Higher energy photons are absorbed in high band gap window junction

and lower energy photos are transmitted to the next junction and this process is repeated for the

following junctions which

Fig. 1.2 Light transfer through a three-junction solar cell

decreases energy converted to heat and the number of the photons transmitted without absorbed

by a cell. Currently, the band gap of the InGaP window junction is ~1.8 eV however getting

higher efficiency solar cells requires using more than three junctions with the introduction of

higher band gap (>1.8eV) semiconductors for the top cell.

The most promising material system to obtain higher efficiencies is InGaN, whose band

gap energy can be tuned from 0.7 eV to 3.4 eV. Such an energy variation can cover most of the

solar spectrum. However, there are several drawbacks of InGaN alloys such as high lattice

mismatch between InN and GaN, high polarization charges at GaN/InGaN interface and low

carrier diffusion length [4]. First of all, due to the 11% lattice mismatch between InN and GaN,

the growth of high In content InGaN layers results in relaxed layers with high structural defects

which kills the minority carrier lifetime thus hinders light current generation. It is reported

5

Page 20: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

regarding to InGaP/GaAs/Ge triple junction solar cells that even a lattice mismatch as low as

0.01% can significantly reduce the current generation [5]. Secondly, the presence of high

piezoelectric polarization field across the active region of conventional Ga-polar solar cells has

been simulated and shown to decrease the carrier collection dramatically [6]. Lastly, due to low

carrier diffusion length in InGaN alloys (~200 nm), it is required to design pin structures to have

drift assisted carrier collection.

1.3 III-Nitride Material System

Group III nitrides, consisting of Indium Nitride (InN), Gallium Nitride (GaN) and

Aluminium Nitride (AlN) their alloys (such as InGaN, AlGaN and InAlN) are direct band-gap

(0.7∼6.2eV) semiconductors with band gap energies spanning the range from ultraviolet to infra-

red, making them promising for various electronic and optoelectronic applications. Most of the

research work thus far has focused upon GaN and its alloys, InGaN and AlGaN, because of their

specific applications in blue/green light emitting diodes (LEDs), Laser Diodes (LDs), Solar Cell

& high frequency electronic devices. InN has received less attention since it has been difficult to

grow good crystalline quality material due to the low dissociation temperature of InN and lack of

a lattice and thermal expansion coefficient matched substrate.

The value of the band-gap energy of InN has not yet been conclusively established and it

has been a topic of debate as well as one of the reasons for the increased interest in the material.

Initial films deposited by reactive sputtering and electron beam plasma techniques produced

polycrystalline InN. Photoluminescence (PL) emission was not observed from these films.

Consequently the band-gap energy was determined using optical absorption or transmission

measurements to be 1.89 eV[7]. Recently, InN grown by metalorganic chemical vapour

deposition (MOCVD) [8] and molecular beam epitaxy (MBE) [9] showed PL emission near 0.7

eV suggesting that the band-gap energy of InN is much smaller than the previously reported

values. There is still controversy about the exact value of the band-gap energy but the newly

predicted low band-gap energy makes InN a suitable candidate, when alloyed with GaN, for

making high efficiency solar cells since the band gap range of InxGa1-xN provides a near-perfect

match to the solar energy spectrum (Figure 1.3) with conversion efficiency predicted to be as

6

Page 21: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

high as 50% [10]. The 0.7 eV band-gap energy is also compatible with the wavelength range of

optical fibers providing another potential application for InN in high speed laser diodes and

photodiodes for optical communication. Also, the ability to grow good quality InN will

potentially help the growth of ternary nitrides such as InxGa1-xN with high In fraction (x > 0.20)

which are of interest for high brightness green LEDs and Laser diodes.

Fig. 1.3: Solar radiation and energy bandgap with Ga fraction in In1-xGaxN

1.4 III-Nitride Materials Properties

Like most semiconductors, the atom arrangement in the nitride semiconductors is

tetrahedrally co-ordinated; therefore each atomic site has the four nearest neighbours occupying

the vertices of a tetrahedron. The wurtzite crystal structure consists of two interpenetrating

hexagonal close packed sub-lattices. Each sub-lattice is shifted along the c-axis by 3/8 of the cell

height. GaN, AlN, or InN exhibits a stable hexagonal wurtzite crystal structure rather than a

meta-stable zinc-blende structure. In an ideal wurtzite structure, c/a ratio is 1.633. The deviation

7

Page 22: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

from the ideal c/a ratio increases as the electro negativity difference between group III atoms and

group V atoms increases [11]. The c/a ratio can also be correlated with the differences in the

electro negativity. AlN has 1.601 of c/a and GaN exhibits 1.627 while InN shows 1.612. The

difference is attributed to the creation of the dipole, resulting in polarization. The space group for

the hexagonal wurtzite structure is P63mc (C46ν). The P63mc space group is created by the

combination of a 63 screw-axis along the c-axis, a mirror parallel to the c-axis and a-axis, and a

glide plane along the c-axis. The unit cell of the wurtzite crystal structure and the Wigner-Seitz

unit cell are shown in Figure 1.4 (a) and (b), respectively. A dashed line indicates a tetrahedral

bonding.

The Nitrogen atom has the strongest electro negativity among the group V elements. The

large difference in electro negativity between nitrogen and group III elements adds a strong ionic

bonding component to the covalent bonding between Ga, Al, or In, resulting in a tightly bonded

crystal structure. In addition, the charge carriers in the valence band of III-nitrides are well

localized due to the strong electron affinity of the nitrogen atoms. These characteristics make III-

nitride semiconductors leading materials for high-power/high-temperature applications.

8

Page 23: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Fig. 1.4: (a) Unit cell for the hexagonal wurtzite structure (b) Wigner-Seitz unit cell for the III-nitrides.

Nitrogen atoms create a large ionic bonding component in the III-nitride materials; thus,

these atoms play an important role in forming a tightly bonded crystal structure. The bonding

energy of AlN is 11.5 eV/atom while that of GaN is 8.9 eV/atom. In comparison, InN shows 7.7

eV/atom of bonding energy to 6.5 eV/atom from GaAs. The tightly bonded structure with a

larger bonding energy contributes to a chemical bond length that is shorter in comparison to

other semiconductors. Combined with the wide bandgap, this characteristic makes III-nitrides

perfect candidate materials for many optoelectronic applications operating in hostile

environments. Figure 1.5 shows the values of chemical bond lengths depicted for various

semiconductors [12].

Fig. 1.5: Bandgap energy versus chemical bond length for III-Nitrides and other Semiconductors.

All of the III-Nitrides crystallize in stable wurtzite (hexagonal Bravais lattice) and zinc-blende

(face-centered cubic Bravais lattice) polytypes. In a wurtzite form, the bandgaps are all direct.

One of the advantages of the III-Nitride material system is that the bandgap can be tunable from

9

Page 24: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

6.2 eV (~ 200 nm) to 0.7 eV (~1771 nm) by alloying and forming hetero-structures with AlN and

InN, respectively. The fundamental bandgap energy of approximately 0.7 eV for wurtzite-

structure InN has been recently discovered [13-18] indicating InN is actually a narrow bandgap

compound semiconductor. It is quite different from the previously, widely accepted value of 1.9

eV (~ 653 nm). The recent discovery of wide bandgap energy for InN gives group III Nitride

semiconductors a big advantage for devices operated under various conditions. For example,

GaN alloyed with InN can be useful for devices for optical communications using long

wavelengths such as λ= 1.55 or 1.33 µm.

Fig. 1.6: Energy bandgap (eV) as a function of lattice parameter (Å) for wurtzite III Nitride alloys.

Figure 1.6 displays bandgap energy as a function of a lattice parameter for the wurtzite

III-Nitrides with Eg(AlN) = 6.2 eV, Eg(GaN) = 3.4 eV, and Eg(InN) = 0.7 eV. The zinc-blende

energy gaps are slightly lower and are directonly for GaN and InN. It is noted that the energy gap

of the InAlN ternary alloy covers a wide range of spectrum from the infrared for InN to the deep

ultraviolet for AlN. Hence, hetero-structures of wurtzite group III-Nitride alloys can be

incorporated into light emitters and detectors that operate in the entire range of the spectrum. The

10

Page 25: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

composition dependence of the bandgap for InxGa1-xN shown in Figure 1.4 can be described by

the standard bowing equation 1.1.[19]

EgInGaN(x) = Eg

InN(x) + EgGaN(1-x) – bx(1-x) (1)

For InGaN and AlGaN material systems, bowing parameters are found to be 1.43 eV and 1.0 eV,

respectively.Structural, electrical and thermal properties of III-Nitrides obtained from literature

are tabulated and provide in Table 1.1.[20-21]

Table 1.1. Properties of group III-nitrides

Properties GaN InN AlN

Crystal Structure Wurtzite Wurtzite Wurtzite

Melting Point (oC)[20] 2791 2146 3481

Thermal Conductivity(W/cm/C)[21] 1.3 0.8 2

Band Gap (eV @ 300K)[20] 3.4 0.7 6.2

Electron Mobility (cm2/V.s) [21] 900 4400 300

Hole Mobility (cm2/V.s) [21] 30 39 14

Specific Gravity (g/cc) [21] 6.1 - 1.95

Specific Heat ( J/gmC) [21] 0.49 0.32 0.6

Thermal Diffusivity (cm2/s) [21] 0.43 0.2 0.47

Lattice Constant ‘a’ (300K) [21] 0.3189 0.3533 0.3112

Lattice Constant ‘c’ (300K) [20] 0.5186 0.5760 0.4982

Dielectric Constant ‘ε0’[21] 9.5 8.4 8.5

11

Page 26: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

1.5 Challenges in InGaN Material System

1.5.1 Substrate

The III-nitrides typically crystallize in a wurtzite crystal structure, unlike Si, Ge, and

GaAs which crystallize in a diamond or zinc-blend structure. Sapphire is the most commonly

used substrate for the growth of wurtzite GaN. However, due to the large lattice and thermal

mismatches between sapphire and III-Nitrides (16% for GaN on sapphire and 29% for InN to

sapphire) and thermal mismatch (-34% for GaN on sapphire and -100% for InN on sapphire)

between sapphire and III-Nitrides, epitaxial films on sapphire result in high dislocation densities,

typically in the 107- 1010 cm-2 range. The dislocation densities and Thermal Expansion

Coefficient (TEC) mismatch is shown in Table 1.2. Other substrates are SiC and ZnO which

provide better lattice match. Figure 1.6 depicts band gap versus lattice constant values for

various semiconductor materials.

Table 1.2: Lattice mismatch and thermal expansion coefficient mismatch of GaN with common substrates

Substrate Lattice mismatch Thermal expansion

coefficient mismatch

Sapphire 16% -34%

SiC 3% +25%

ZnO 2% -14%

Si 17% +100%

1.5.2 Phase Separation

There exists of a solid phase miscibility gap in the InGaN alloy due to the large

difference in the lattice constants between GaN and InN, which is also the probable cause of

multiple phases and consequent multi-peak luminescence observed in the material [22-23]. The

equilibrium solubility of InN in the bulk GaN is approximately 6% at typical growth

temperatures used in MOCVD. However, the situation in thin InGaN films epitaxially deposited

on GaN virtual substrates is significantly different. Theoretical calculations [24] based on a

valence-force-field (VFF) model [25] predict that phase separation in InGaN

12

Page 27: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Fig. 1.7: Schematic comparison of band structures of (a) an ideal material, and (b) a phase separated material.

strongly depends not only on the temperature and In composition, but also on the strain state of

the InGaN films. Thus, one or more indium-rich phases come into existence in the InGaN alloy

layers during growth in an attempt to reach thermodynamic equilibrium during growth as shown

in Figure 1.7. Phase separation is usually identified as secondary peaks in addition to the primary

peak corresponding to the bulk material during photoluminescence and, while higher degrees of

phase separation are also identified via X-ray diffraction (XRD). In addition to acting as a

recombination channel, it can be correlated from quantum-well solar cells that the lower-band

gap phase separated material will also tend to pin down the Open-circuit voltage (VOC) of the

solar cell.

1.5.3 Polarization and Piezoelectric Constant

In addition to its band gap range, another unique feature of the III-nitrides is the strong

polarization or piezoelectric effects [26-27]. AlN, GaN and InN are all highly polar molecules,

such that at the interface between the materials, a large dipole may develop, which alters the

surface properties and induces an electric field in the bulk region between two surfaces. The

spontaneous polarization is particularly strong at AlN/GaN interfaces, and less so between GaN

and InN. In addition to electric fields induced by polarization, an electric field may also be

induced in the material by the piezoelectric fields, which are electric fields induced by strain in

the material. The piezoelectric coefficients are high in the III-nitrides, hence a substantial electric

field will develop in strained material.

13

Page 28: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

1.5.4 P-type doping

Achieving p-type conductivity in InGaN alloys is difficult due to a high background

concentration of electrons. By improving the structural quality of GaN, p-type GaN can be

achieved but one still has a limit of less than ~low to mid 1018 cm-3 hole concentration due to the

deep activation energy of acceptors in GaN, which is approximately 160meV for Mg in GaN,

leading to approximately 1% of the incorporated Mg contributing to the hole concentration at

room temperature [28]. With InGaN, it is expected that higher hole concentrations can be

achieved due to a lower activation energy than for GaN. For example, the activation energies of

Mg were 141 and 80 meV for 4% and 14% In mole fraction, respectively [29]. The

corresponding electrical hole concentrations are 5.3×1018 and 1.6×1019cm-3[29].

1.5.5 Absorption Depth and Diffusion Length

The absorption depth (1/α) and the diffusion length (L)are critical parameters in making

high efficiency solar cells. The absorption coefficient is high in all of the InGaN range, and

importantly increases rapidly near the band edge. The high absorption is a critical factor in

achieving high collection since the absorption depth must be shorter than the diffusion length for

high collection.

While the recombination properties of InGaN films are critical in determining the

performance of photovoltaic devices. The recombination processes in the III-nitrides are

controlled by several possible processes: exitonic recombination, radiative recombination, non-

radiative, and recombination controlled by localization of carriers caused by phase separation in

In-rich InGaN alloys. The reported values of band-to-band radiative recombination coefficient,

vary from 1 x 10-8 to 2.4 x 10-11 cm³/s, but are typically on the order 7 x 10-10 [30]. However,

films in general have a high non-radiative recombination component, and measured lifetimes are

typically in the range of several hundred ps to 2 nano sec [31-33]. The diffusion length depends

on both the minority carrier lifetime and the diffusion coefficient. The majority carrier mobility

for n-type material is measured as high as 845 cm²/Vs for thick epilayers, but only 5 for minority

carrier in n-type and majority holes in p-type [34] and on the order of 500 for thinner layers. The

low mobilities for minority carriers mean that most extracted diffusion lengths are between 0.2 to

0.8 μm, but several reports give measured diffusion lengths of over 1 μm, [32-33].

14

Page 29: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

1.6 Summary

Brief development history and great efforts to reach high efficiency solar cell is discussed

in chapter. Solar cell studies has become very mature with time and tending towards the low cost

solar cell but limiting efficiency motivates toward the study of new material which can be used

to make high efficiency solar cell. InGaN system fulfil all requirements to be considered as

promising material for photovoltaic application. Major growth related issues with InGaN

material is also highlighted.

15

Page 30: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

References:

1) International Energy Agency: http://www.iea.org

2) Francois Cellier, The Oil Drum europe.theoildrum.com/node/4002 (2008):

3) Renewable Energy Focus, www.renewableenergyfocus.com (Retrieved August 12, 2011)

4) K. Kumakura, T. Makimoto, T. Hashizume, T. Fukui and H. Hasegawa, J. Crys. Growth

298 (2007)

5) R. R. King, R. A. Sherif, C. M. Fetzer, and P. C. Colter, “Advances in High- Efficiency

Multi-junction Terrestrial Concentrator Cells and Receivers,” in Proc. Of NCPV and

Solar Program Review Meeting pp. 211(2003)

6) Z. Q. Li, M. Lestradet, Y. G. Xiao and S. Li, Phy. Status Solidi A, 1 (2010).

7) T. L. Tansley and C. P. Foley, Journal of Applied Physics 59,3241 (1986).

8) V. Y. Davydov, A. A. Klochikhin, R. P. Seisyan, V. V. Emtsev, S. V. Ivanov, F.

Bechstedt, J. Furthmuller, H. Harima, V. Mudryi, J. Aderhold, O. Semchinova, and J.

Graul, Physica Status Solidi B-Basic Research 229,R1-R3 (2002).

9) J. Wu, W. Walukiewicz, K. M.Yu, J. W. Ager, E. E. Haller, H. Lu, W. J. Schaff, Y. Saito,

and Y. Nanishi, Applied Physics Letters 80,3967-3969 (2002).

10) W. Walukiewicz, (2002).

11) M. Tanaka, S. Nakahata, K. Sogabe, H.Nakata, and M. Tabioka, Jpn. J. Appl. Phys. 36,

L1062 (1997).

12) S. Nakamura and S.F. Chichibu, Introduction to Nitride Semiconductor Blue Laser and

Light Emitting Diodes, pp. 105-150, Taylor & Francis, New York (2000).

13) V. Yu. Davydov, A. A. Klockikhin, R. P. Siesyan, V. V. Emtsev, S. V. Ivanov, F.

Bechstedt, J. Furthmuller, H. Harima, A.V. Mudryi, J. Aderhold, O. Semchinova, and J.

Graul, Phys. Stat. Sol. (b) 229, R1 (2002).

14) V. Yu. Davydov, A. A. Klockikhin, V. V. Emtsev, S. V. Ivanov, V. V. Vekshin, F.

Bechstedt, J. Furthmuller, H. Harima, A.V. Mudryi, A. Hashimoto, A. Yamamoto, J.

Aderhold, J. Graul, and E. E. Haller, Phys. Stat. Sol. (b) 230, R4 (2002).

15) M. Hori, K. Kano, T. Yamaguchi, Y Saito, T. Araki, Y. Nanishi, N. Teraguchi, and A.

Suzuki, Phys. Stat. Sol. (B) 234, 750 (2002).

16) J. Wu, W. Walukiewicz, K. M. Yu, J. W.Ager III, E. E. Haller, H. Lu, W. Schaff, Y.

Saito, and Y. Nanishi, Appl. Phys. Lett. 80, 3967 (2002).

16

Page 31: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

17) J. Wu, W. Walukiewicz, W. Shan, K. M.Yu, J. W. Ager III, E. E. Haller, H. Lu, and W.

Schaff, Phys. Rev. B 66, 201403 (2002).

18) J. Wu, W. Walukiewicz, K. M. Yu, J. W. Ager III, E. E. Haller, Hai Lu, and William J.

Schaff, Phys. Stat. Sol. (B) 240, 412 (2003).

19) J.Wu, W.Walukiewicz, K.M.Yu et al., Small band gap bowing in InxGa1-xN alloys,

Applied Physics Letters,vol.80,no.25,p.4741,(2002)

20) National Renewable Energy Laboratory. http://rredc.nrel.gov/solar/spectra/am1.5/.

(Retrieved May 2, 2011)

21) J.M. Olson et al, MOCVD Growth and Characterization of GaP on Si, Journal of Crystal

Growth, Vol.77, Issue 1-3, p.515-523, (1986).

22) I. Ho, and G. B. Stringfellow, “Solid phase Immiscibility in GaInN,” Appl. Phys. Lett.,

vol. 69, p. 2701, (1996).

23) S. Chichibu, T. Azuhata, T. Sota, and S. Nakamura, “Luminescence from Localized

States in InGaN Epilayers,” Appl. Phys. Lett., vol. 70, p. 2822, (1997).

24) V. A. Elyukhin, S. A. Nikishin, “Internal Strain Energy of AX3B1-X3N Ternary Solid

Solutions of Cubic Modification,” Semicond. Sci. Technol., vol. 11, p. 917-920, (1996).

25) I. H. Ho, G. B. String fellow, “Incomplete Solubility in Nitride Alloys,” Mater. Res. Soc.

Symp. Proc., vol. 449, p. 871-880, (1997).

26) F. Bernardini, and V. Fiorentini, Physical Review B,64, 8, 085207/1-7, (2001).

27) V. Fiorentini F. Bernardini, physica status solidi (b), 216, 1, p. 391-398, (1999).

28) T. Tanaka and A. Watanabe, H. Amano, Y.Kobayashi, I. Akasaki, S. Yamazaki and M.

Koike, Appl. Phys. Lett., 65, 5, pp. 593-594 (1994)

29) K. Kumakura, T. Makimoto and N. Kobayashi, Jpn. J. Appl. Phys. 39, 4B, pp L337-L339

(2000)

30) Y. Narukawa, S. Saijou, Y. Kawakami, S. Fujita, T.Mukai, and S. Nakamura, Applied

Physics Letters, 74, 4, p. 558-560, (1999).

31) A. Matoussi, et al, phys. stat. sol. (b) 240, 1, 160 –168 (2003).

32) Z.Z. Bandic´, P.M. Bridger, E.C. Piquette, and T.C.McGill, Journal of Applied Physics,

72, 24. 3166- 3168, (1998).

33) L. Chernyak, A. Osinsky, and A. Schulte, Solid-State Electronics, 45, 9, p 1687-702,

(2001).

17

Page 32: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

34) Z.P. Gaun, J Z Li, G Y Zhang, S X Jin, and X M Ding, Semicond. Sci. Technol., 15, 1,

51-54 (2000)

18

Page 33: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

SOLAR CELL BASICS

2.1 The Photovoltaic Effect

Solar photovoltaic energy conversion is a one-step conversion process which generates

electrical energy from light energy. The explanation relies on ideas from quantum theory. Light

is made up of packets of energy, called photons, whose energy depends only upon the frequency,

or colour, of the light. The energy of visible photons is sufficient to excite electrons, bound into

solids, up to higher energy levels where they are free to move. An extreme example of this is the

photoelectric effect, the celebrated experiment which was explained by Einstein in 1905, where

blue or ultraviolet light provides enough energy for electrons to escape completely from the

surface of a metal. Normally, when light is absorbed by matter, photons are given up to excite

electrons to higher energy states within the material, but the excited electrons quickly relax back

to their ground state. In a photovoltaic device, however, there is some built-in asymmetry which

pulls the excited electrons away before they can relax, and feeds them to an external circuit. The

extra energy of the excited electrons generates a potential difference, or electro-motive force

(e.m.f.). This force drives the electrons through a load in the external circuit to do electrical

work. The effectiveness of a photovoltaic device depends upon the choice of light absorbing

materials and the way in which they are connected to the external circuit. The following chapter

will deal with the underlying physical ideas and the basic device physics of solar cells.

Fig. 2.1. Comparison of the photoelectric effect (left), where uv light liberates electrons from the surface of

a metal, with the photovoltaic effect in a solar cell (right).

Chapter 2

19

Page 34: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

2.2 Semiconductor Concepts

Semiconductors are a family of solids in which there exists a moderate gap (up to a few

electron volts) in the distribution of allowed energy states. At T = 0 K in a pure material, this gap

separates one entirely filled band (valence band) from one that is entirely empty(conduction

band). For T > 0 K, a finite number of electronic states are occupied in the conduction band

(“free electrons”) and a finite number of states are unoccupied in the valence-band (“free holes”).

These free electrons and holes can gain kinetic energy since a quasi-continuum of higher or

lower states are available to them, respectively, and they are therefore able to respond to electric

fields and concentration gradients that allow for macroscopic current flow.

2.2.1 Equilibrium

The equilibrium concentrations of electrons and holes can be modified by extrinsic

dopants, but also by defect levels (additional states within the band gap) that are intrinsic to the

semiconductor. The occupation of conduction- and valence-band states is governed by Fermi-

Dirac statistics,

KT

EEEF

fexp1

1)( (2.1)

where k is the Boltzmann constant and T the absolute temperature. Equation 2.1 describes the

probability of electron occupation in the conduction band and, similarly, 1-F(E) describes the

probability for holes in the valence band. If the Fermi-level Ef is not very close to either band

edge, EC − Ef>>kT and Ef – EV>>kT, F(E) and 1-F(E) can for many practical purposes be

replaced by the Boltzmann factors for electrons in the conduction band and holes in the

kT

EE fexp and

kT

EE fexp (2.2)

valence band, respectively.

Semiconductors are classified as n- or p-type depending on whether electrons or holes

are the majority carriers. The Fermi level can be calculated by the following relations

20

Page 35: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

kT

EENn

fC

C exp. (2.3)

and

kT

EENp

Vf

V exp. (2.4)

where

2/3

2

*2.2

h

kTmN e

C

and

2/3

2

*2.2

h

kTmN h

V

(2.5)

are the effective densities of states in the conduction and valence band. For semiconductors

doped either with shallow donor or acceptor levels, n is similar to the donor density and p is

similar to the acceptor density. All parameters in Eq. 2.5 have their usual meaning, *em and *

hm

are the electron and hole effective masses. In equilibrium, the product of n and p is constant and

depends only upon the temperature, effective masses, and band gap of the semiconductor,

kT

ENNnnp

g

VCi exp..2 (2.6)

2.2.2 Non-equilibrium

In non-equilibrium conditions, such as under illumination or under carrier injection due

to externally applied electric bias, no uniform Fermi level exists. In steady-state, however, quasi-

Fermi levels, Efn and Efp, can be introduced, which are useful in the analysis and interpretation of

semiconductors. These quasi-Fermi levels are defined by

kT

EENn

fnC

C exp. (2.7)

and

kT

EENp

Vfp

V exp. (2.8)

Assuming that Efn and Efp in the n- and p-type region of a p-n junction diode are in

equilibrium with the respective electrical contact, the difference between the quasi-Fermi levels

21

Page 36: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

in the proximity of a diode’s space-charge region is given by the applied voltage V , and it

follows that the np product is voltage dependent

kT

qVnnp i exp.2 (2.9)

2.3 Characteristic of Photovoltaic Cell

2.3.1 Photocurrent and Quantum Efficiency

The photocurrent generated by a solar cell under illumination at short circuit is dependent

on the incident light. To relate the photocurrent density, Jsc, to the incident spectrum we need the

cell's quantum efficiency (QE).QE (E) is the probability that an incident photon of energy E will

deliver one electron to the external circuit. Then

dEEQEEbqJ ssc )()( (2.10)

Where bs(E) is the incident spectral photon flux density, the number of photons of energy

in the range E to E+dE which are incident on unit area in unit time and q is the electronic charge.

QE depends upon the absorption coefficient of the solar cell material, the efficiency of charge

separation and the efficiency of charge collection in the device but does not depend on the

incident spectrum. It is therefore a key quantity in describing solar cell performance under

different conditions. Figure 2.2 shows a typical QE spectrum in comparison with the spectrum of

solar photons. QE and spectrum can be given as functions of either photon energy or wavelength

. Energy is a more convenient parameter for the physics of solar cells. The relationship

between E and is defined by

hcE (2.11)

where h is Planck's constant and c the speed of light in vacuum.

22

Page 37: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Fig. 2.2. Quantum effciency of GaAs cell compared to the solar spectrum. The vertical scale is in arbitrary

units, for comparison.

2.3.2 Dark Current and Open Circuit Voltage

When a load is present, a potential difference develops between the terminals of the cell.

This potential difference generates a current which acts in the opposite direction to the

photocurrent, and the net current is reduced from its short circuit value. This reverse current is

usually called the dark current in analogy with the current Idark (V ) which flows across the

device under an applied voltage, or bias, V in the dark.

Fig. 2.3.Current-Voltage characteristic of ideal diode in the light and the dark.

Most solar cells behave like a diode in the dark, admitting a much larger current under

forward bias (V > 0) than under reverse bias (V < 0). This rectifying behaviour is a feature of

photovoltaic devices, since an asymmetric junction is needed to achieve charge separation. For

an ideal diode the dark current density Jdark (V) varies like

23

Page 38: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

)1()( / Tkqvodark

beJVJ (2.12)

where Jo is a constant, kb is Boltzmann's constant and T is temperature in degrees Kelvin.

The overall current voltage response of the cell, its current-voltage characteristic, can be

approximated as the sum of the short circuit photocurrent and the dark current (Fig. 2.3). This

step is known as the superposition approximation. Although the reverse current which flows in

reponse to voltage in an illuminated cell is not formally equal to the current which flows in the

dark, the approximation is reasonable for many photovoltaic materials. The sign convention for

current and voltage in photovoltaics is such that the photocurrent is positive. This is the opposite

to the usual convention for electronic devices. With this sign convention the net current density

in the cell is

)()( VJJVJ darksc (2.13)

which becomes, for an ideal diode,

)1()( / Tkqvosc

beJJVJ (2.14)

When the contacts are isolated, the potential difference has its maximum value, the open

circuit voltage Voc. This is equivalent to the condition when the dark current and short circuit

photocurrent exactly cancel out. For the ideal diode, from Eq. 2.14,

1ln

o

scoc

J

J

q

kTV (2.15)

Equation 2.15 shows that Voc increases logarithmically with light intensity. Note that

voltage is defined so that the photo-voltage occurs in forward bias, where V > 0. Figure 2.3

shows that the current-voltage product is positive, and the cell generates power, when the voltage

is between 0 and Voc. At V <0, the illuminated device acts as a photo-detector, consuming

power to generate a photocurrent which is light dependent but bias independent. At V >Voc, the

device again consumes power. This is the regime where light emitting diodes operate. We will

see later that in some materials the dark current is accompanied by the emission of light.

Electrically, the solar cell is equivalent to a current generator in parallel with an asymmetric, non

linear resistive element, i.e., a diode (Fig. 2.4). When illuminated,

24

Page 39: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Fig. 2.4.Equivalent circuit of ideal solar cell.

the ideal cell produces a photocurrent proportional to the light intensity. That photocurrent is

divided between the variable resistance of the diode and the load, in a ratio which depends on the

resistance of the load and the level of illumination. For higher resistances, more of the

photocurrent flows through the diode, resulting in a higher potential difference between the cell

terminals but a smaller current though the load. The diode thus provides the photo-voltage.

Without the diode, there is nothing to drive the photocurrent through the load.

2.3.3 Effciency

The operating regime of the solar cell is the range of bias, from 0 to Voc, in which the

cell delivers power. The cell power density is given by

P = JV (2.16)

P reaches a maximum at the cell's operating point or maximum power point. This occurs at some

voltage Vm with a corresponding current density Jm, shown in Fig. 2.5. The optimum load thus

has sheet resistance given by Vm/Jm. The fill factor is defined as the ratio

scsc

mm

VJ

VJFF (2.17)

and describes the `squareness' of the J-V curve.

The efficiency of the cell is the power density delivered at operating point as a fraction of the

incident light power density, Ps,

25

Page 40: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

s

mm

P

VJ (2.18)

Efficiency is related to Jsc and Voc using FF,

s

ocsc

P

FFVJ (2.19)

Fig. 2.5. The current voltage (black) and power{voltage (grey) characteristics of an ideal cell. Power density

reaches a maximum at a bias Vm, close to Voc. The maximum power density Jm* Vm is given by the area of the inner

rectangle. The outer rectangle has area Jsc*Voc. If the fill factor were equal to 1, the current voltage curve would

follow the outer rectangle.

These four quantities: Jsc, Voc, FF and are the key performance characteristics of a

solar cell. All of these should be defined for particular illumination conditions. The Standard

Test Condition (STC) for solar cells is the Air Mass 1.5 spectrum, an incident power density of

1000 W m-2, and a temperature of 25oC.

26

Page 41: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

2.3.4 Parasitic resistances

In real cells power is dissipated through the resistance of the contacts and through

leakage currents around the sides of the device. These effects are equivalent electrically to two

parasitic resistances in series (Rs) and in parallel (Rsh) with the cell (Fig. 2.6).

Fig. 2.6.Equivalent circuit including series and shunt resistances.

Fig. 2.7 .Effect of (a) increasing series and (b) reducing parallel resistances. In each case the outer curve

has Rs = 0 and Rsh =. In each case the effect of the resistances is to reduce the area of the maximum

power rectangle compared to Jsc *Voc.

The series resistance arises from the resistance of the cell material to current flow,

particularly through the front surface to the contacts, and from resistive contacts. Series

resistance is a particular problem at high current densities, for instance under concentrated light.

The parallel or shunt resistance arises from leakage of current through the cell, around the edges

of the device and between contacts of different polarity. It is a problem in poorly rectifying

devices.

27

Page 42: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Series and parallel resistances reduce the fill factor as shown in Fig. 2.6. For an efficient

cell we want Rs to be as small and Rsh to be as large as possible. When parasitic resistances are

included, the diode equation becomes

sh

skTJARvqosc

R

JARVeJJJ s

)1( /)(

(2.20)

2.4 Summary

This chapter provide an introduction to photoelectric effect which leads to idea of solar

cell. A solar cell can be completely characterize by its four important parameters (Jsc, Voc, FF

and ). Basic equations are included which helps in determining these parameters and

dependence on other physical properties.

28

Page 43: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

References

1) D. Anderson, Clean Electricity from Photovoltaics, eds. M.D. Archer and R.D. Hill,

London: Imperial College Press (2001).

2) M.A. Green, Photovoltaics: Coming of age", Conf. Record 21st IEEE Photo-voltaic

Specialists Conf. (1990).

3) E. Lorenzo, Solar Electricity: Engineering of Photovoltaic Systems (1994).

4) T. Markvart, Solar Electricity (2000).

5) J.N. Shive, Semiconductor Devices (1959).

6) C.A. Vincent, Modern Batteries (1997).

7) M. Wolf, \Historical development of solar cells", Proc. 25th Power Sources Symposium,

1972. In Solar Cells, ed. C.E. Backus (1976).

29

Page 44: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

INTRODUCTION TO SIMULATION SOFTWARE

3.1 Silvaco Atlas

Atlas is a software program used to simulate two and three-dimensional semiconductor devices.

Atlas includes following physical models

DC, AC small-signal, and full time-dependency.

Drift-diffusion transport models.

Energy balance and Hydrodynamic transport models.

Lattice heating and heat sinks.

Graded and abrupt hetero-junctions.

Optoelectronic interactions with general ray tracing.

Amorphous and polycrystalline materials.

General circuit environments.

Stimulated emission and radiation

Fermi-Dirac and Boltzmann statistics.

Advanced mobility models.

Heavy doping effects.

Full acceptor and donor trap dynamics

Ohmic, Schottky, and insulating contacts.

SRH, Radiative, Auger, and surface recombination.

Impact ionization (local and non-local).

Floating gates.

Band-to-band.

Hot carrier injection.

Quantum transport models

Thermionic emission currents.

Chapter 3

30

Page 45: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Atlas can accept structure description files from Athena and DevEdit, but also from its

own command files (fig 3.1). The development of the desired structure in Atlas is done using a

declarative programming language. This is interpreted by the Atlas simulation engine to produce

results. A brief description of how a structure is built and simulated follows.

Fig 3.1. Atlas inputs and outputs

3.2 Input File Structure

Silvaco Atlas receives input files through DeckBuild. The code entered in the input file

calls Atlas to run with the following command:

go atlas

Following that command, the input file needs to follow a pattern. The command groups are listed

in Figure 3.2.

31

Page 46: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Fig. 3.2. Atlas command groups and primary statements

Atlas follows the following format for statements and parameters:

<STATEMENT><PARAMETER>=<VALUE>

The following line of code serves as an example.

DOPING UNIFORM N.TYPE CONCENTRATION=1.0e16 REGION=1 \

OUTFILE=my.dop

The statement is DOPING. The parameters are UNIFORM, N.TYPE, CONCENTRATION,

REGION, and OUTFILE. There are four different type of parameters: real, integer, character, and

logical. The back slash (\) serves the purpose of continuing the code in the next line. Parameters,

such as UNIFORM, are logical. Unless a TRUE or FALSE value is assigned, the parameter is

assigned the default value. This value can be either TRUE or FALSE. The Silvaco Atlas manual

needs to be referenced to identify the default value assigned to specific parameters.

32

Page 47: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

3.3 Structure Specification

The structure specification is done by defining the mesh, the region, the electrodes and

the doping levels.

3.3.1 Mesh

The mesh used for this thesis is two-dimensional. Therefore, only x and y parameters are

defined. The mesh is a series of horizontal and vertical lines and spacing between them. From

Figure 3.3, the mesh statements are specified.

Fig. 3.3. Atlas Mesh.

general format to define the mesh is:

X.MESH LOCATION=<VALUE> SPACING=<VALUE>

Y.MESH LOCATION=<VALUE> SPACING=<VALUE>

For example, the x.mesh starting at -250 microns has spacing of 25 microns. That means it is

relatively coarse. The x.mesh becomes finer between -25 and 25 microns with a spacing of 2.5

microns. The y.mesh is similarly defined. For example, at y.mesh of -2.9 microns, the spacing is

0.01 microns. Then at location y.mesh of -2.8 microns, the spacing changes to 0.03 microns. The

mesh is coarser at y.mesh location of -1, when the spacing is 0.1. A coarse or fine mesh

determines the accuracy of the simulation. A coarse mesh produces a faster simulation, but less

accurate results. A fine mesh produces a slower simulation, but more accurate results. The areas

that have a finer mesh, therefore, are of greatest interest in the simulation.

33

Page 48: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

3.3.2 Region

After defining the mesh, it is necessary to define the regions. The format to define the

regions is as follows:

REGION number=<integer><material_type> /

<position parameters>

From Figure 3.4, the code that defines the regions is identified. There are six regions defined.

The limits of each region are explicitly identified in the x- and y-axis. The regions must then be

given a material.

Fig. 3.4. Atlas region

3.3.3 Electrodes

The next structure specification corresponds to electrodes. Typically, in this simulation

the only electrodes defined are the anode and the cathode. However, Silvaco Atlas has a limit of

50 electrodes that can be defined. The format to define electrodes is as follows:

ELECTRODE NAME=<electrode name><position_parameters>

From Figure 3.5, the electrode statements are defined for the anode and the cathode. Note that

the cathode is defined with gold as the material. The x and y dimensions correspond to region 6

previously defined. Meanwhile, the anode is defined at the bottom of the cell for the entire x

range at y=0.

34

Page 49: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Fig. 3.5. Atlas electrodes

3.3.4 Doping

The last aspect of structure specification that needs to be defined is doping. The format of

the Atlas statement is as follows:

DOPING <distribution type><dopant_type> /

<position parameters>

3.4 Materials Model Specification

After the structure specification, the materials model specification is next. From Figure

28, the materials model specification is broken down into material, models, contact, and

interface.

3.4.1 Material

The format for the material statement is as follows:

MATERIAL <localization><material_definition>

Below are three examples of the material statement:

MATERIAL MATERIAL=Silicon EG300=1.1 MUN=1200

MATERIAL REGION=4 TAUN0=3e-7 TAUP0=2e-5

MATERIAL NAME=base NC300=4e18

35

Page 50: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

In all examples, when MATERIAL appears first, it is considered the statement. When MATERIAL

appears a second time in the first example, it is considered a localization parameter. In the

second and third examples, the localization parameters are REGION and NAME, respectively.

Various other parameters can be defined with the material statement. Examples of these

parameters are the band gap at room temperature (EG300), electron mobility (MUN), electron

(TAUN0) and hole (TAUP0) recombination lifetimes, conduction band density at room

temperature (NC300), among others.

3.4.2 Models

The physical models fall into five categories: mobility, recombination, carrier statistics,

impact ionization, and tunnelling. The syntax of the model statement is as follows:

MODELS <model flag><general parameter> /

<model dependent parameters>

The choice of model depends on the materials chosen for simulation. The example below

activates several models.

MODELS CONMOB FLDMOB SRH

CONMOB is the concentration dependent model. FLDMOB is the parallel electric field

dependence model. SRH is the Shockley-Read-Hall model.

3.4.3 Contact

Contact determines the attributes of the electrode. The syntax for contact is as follows:

CONTACT NUMBER=<n> |NAME=<ename>ALL

The following is an example of the contact statement.

CONTACT NAME=anode current

3.4.4 Interface

The semiconductor or insulator boundaries are determined with the interface statement.

The syntax is as follows:

INTERFACE [<parameters>]

The following example shows the usage of the interface statement.

INTERFACE X.MIN=-4 X.MAX=4 Y.MIN=-0.5 Y.MAX=4 \

36

Page 51: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

QF=1e10 S.N=1e4 S.P=1e4

The max and min values determine the boundaries. The QF value specifies the fixed oxide

charge density (cm-2). The S.N value specifies the electron surface recombination velocity. S.P is

similar to S.N, but for holes.

3.5 Numerical Method Selection

After the materials model specification, the numerical method selection must be

specified. There are various numerical methods to calculate solutions to semiconductor device

problems. There are three types of solution techniques used in Silvaco Atlas:

• Decoupled (GUMMEL)

• Fully coupled (NEWTON)

• BLOCK

The GUMMEL method solves for each unknowns by keeping all other unknowns

constant. The process is repeated until there is a stable solution. The NEWTON method solves

all unknowns simultaneously. The BLOCK method solves some equations with the GUMMEL

method and some with the NEWTON method.

The GUMMEL method is used for a system of equations that are weakly coupled and

there is linear convergence. The NEWTON method is used when equations are strongly coupled

and there is quadratic convergence. The following example shows the use of the method

statement.

METHOD GUMMEL NEWTON

In this example, the equations are solved with the GUMMEL method. If convergence is not

achieved, then the equations are solved using the NEWTON method.

3.6 Solution Specification

After completing the numerical method selection, the solution specification is next.

Solution specification is broken down into log, solve, load, and save statements.

37

Page 52: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

3.6.1 Log

LOG saves all terminal characteristics to a file. DC, transient, or AC data generated by a

SOLVE statement after a LOG statement is saved. The following shows an example of the LOG

statement.

LOG OUTFILE=myoutputfile.log

The example saves the current-voltage information into myoutputfile.log.

3.6.2 Solve

The SOLVE statement follows the LOG statement. SOLVE performs a solution for one or

more bias points. The following is an example of the SOLVE statement.

SOLVE B1=10 B3=5 BEAM=1 SS.PHOT SS.LIGHT=0.01 \

MULT.F FREQUENCY=1e3 FSTEP=10 NFSTEP=6

B1 and B3 specify the optical spot power associated with the optical beam numbers 1 and 3,

respectively. The beam number is an integer between 1 and 10. BEAM is the beam number of the

optical beam during AC photo-generation analysis. SS.PHOT is the small signal AC

analysis. SS.LIGHT is the intensity of the small signal part of the optical beam during signal AC

photo-generation analysis. MULT.F is the frequency to be multiplied by FSTEP. NFSTEPS is the

number of times that the frequency is incremented by FSTEP.

3.6.3 Load and Save

The LOAD statement enters previous solutions from files as initial guess to other bias

points. The SAVE statement enters all node point information into an output file. The following

are examples of LOAD and SAVE statements.

SAVE OUTF=SOL.STR

In this case, SOL.STR has information saved after a SOLVE statement. Then, in a different

simulation, SOL.STR can be loaded as follows:

LOAD INFILE=SOL.STR

3.7 Results Analysis

Once a solution has been found for a semiconductor device problem, the information can

be displayed graphically with TonyPlot. Additionally, device parameters can be extracted with

the EXTRACT statement. In the example below, the EXTRACT statement obtains the current and

38

Page 53: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

voltage characteristics of a solar cell. This information is saved into the IVcurve.dat file. Then,

TonyPlot plots the information in the IVcurve.dat file.

EXTRACT NAME="iv" curve (V."anode", I."cathode")

OUTFILE="IVcurve.dat"

TONYPLOT IVcurve.dat

3.8 Basic Equations

Silvaco-Atlas is a physics-based simulator which has been explicitly designed for the

purpose of modelling semiconductor devices [1]. The simulation methodology is physics-based

in that the models invoked by the software tend to be derived from first principles or at least

empirically derived with careful attention placed to relating such models to the underlying

physics. Fundamentally, device operation is governed by and described in a set of two coupled,

partial differential equations: the Poisson equation and the equation of continuity. One may

consider two of the axioms to the theory of electrodynamics to be Gauss’ law

∇. � =�

�(3.1)

and the Ampère-Maxwell law

∇ × � = �� +1

����

��(3.2)

these are two of the four Maxwell equations for linear, isotropic media. In the equation, E is the

electric field, ρ is the charge density, ε is the material permittivity, B is the magnetic field, μ is

the material permeability, J is the current density, and v is the speed of light in the medium.

Following (3.1), the relation of the electric field as the negative gradient of the electric potential

V yields the Poisson equation:

∇�� = −�

�(3.3)

Taking the divergence of (3.2) yields the equation of continuity:

∇. � = −��

��(3.4)

39

Page 54: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

In semiconductor applications, it is customary to modify (3.4) to include the cumulative effects

of the generation G and recombination R of charge carriers [2]. Additionally, separate continuity

equations are written for the electron concentration n and the hole concentration p, respectively:

��

��= �� − �� +

1

�∇. ��(3.5)

��

��= �� − �� −

1

�∇. ��(3.6)

where q is the elementary charge. Equations (3.3), (3.5), and (3.6) are the governing laws of

semiconductor devices. These equations are solved iteratively by ATLAS to obtain a modelled

solution of device operation.

3.9 Finite Element Analysis

The simulation methodology used by ATLAS is a form of finite element analysis. A

device structure is defined throughout a rectangular mesh consisting of gridlines that vary in their

spatial separation. At each nodal point (i.e. at each intersection of two gridlines), (3.3), (3.5) and

(3.6) are iteratively solved until a self-consistent solution is obtained. Any other pertinent models

can also be included at each nodal point and supplement the fundamental equations.

The line spacing within the mesh must be fine enough to adequately resolve the device

structure; however, a greater number of nodal points lead to a greater amount of computation

time. Typically, the computation time is proportional to Nm, where N is the number of nodes and

m ranges from 2 to 3 depending on the complexity of the problem [1]. The maximum number of

nodes allowed by ATLAS is 20,000. For more accurate results, the mesh spacing has to be made

finer in regions of large electric fields (i.e. near junctions) and made especially coarse in the

quasi-neutral region of the base. This scheme allows for the maximum compromise between

computational accuracy and speed.

3.10 Additional Models

Although the Poisson and continuity equations represent the fundamental laws governing

the operation of a semiconductor device, additional models are often necessary to properly

account for the dynamic nature of electrons and holes and to elaborate on the rich theory of

device physics. These models supplement the Poisson and continuity equations by determining

40

Page 55: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

or modifying the variables contained in those laws. Other models usually dictate specific

values of carrier generation and recombination or place modifiers into the current densities of

(3.5) and (3.6), the continuity equations. The models that have been used for the solar cell

simulations described in this thesis are elaborated.

3.10.1 Shockley-Read-Hall Recombination

According to the Shockley-Read-Hall hall model [3-5], the recombination of charge

carriers can be treated as the separate capture of electrons and holes by trap center and their

subsequent annihilation at the trap center. This recombination mechanism, diagrammed in Fig.

3.5.a, is indirect in k-space and occurs due to the presence of a bulk trap density Nt energetically

located at a value Et within the semiconductor bandgap. Statistically, the net recombination rate

may be expressed as

���� = �� − ��

�� �� +���������� � + �� �� +���

������� �

(3.7)

This form of the Shockley-Read-Hall model is utilized by ATLAS by calling SRH in the

MODELS statement; it acts as an input into the carrier continuity equations (3.5) and (3.6). The

carrier lifetimes may be regarded as empirical parameters and are set in the MATERIALS

statement by the TAUN0 and TAUP0 parameters for electrons and holes, respectively.

Fig. 3.6 a) Indirect bandgap recombination b) Direct bandgap recombination

41

Page 56: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

3.10.2 Radiative Recombination

Another recombination process that tends to be very prevalent in semiconductor work is

that of radiative recombination. In this process, an electron in the conduction band directly

recombines with a hole in the valence band with no aiding agent nor variance in wave vector as

diagrammed in Fig. 3.5.b. This process releases a photon with energy equal to the bandgap and is

strongest in direct-gap semiconductors. Although a formal treatment of this process is best done

by considering Einstein’s theory of spontaneous emission, in practice it is often preferred to use

an empirically determined radiative recombination coefficient C [1, 6] such that the radiative

recombination rate is then

���� = �(�� − ���)(3.8)

This process is invoked in ATLAS in the MODELS statement by calling OPTR and by defining

COPT in the MATERIALS statement.

3.10.3 Auger Recombination

Auger recombination occurs through a three particle transition whereby a mobile carrier

is either captured or emitted. In Auger recombination, an electron-hole pair recombines giving

up their energy to an electron in the conduction band, increasing its energy ,i.e., the energy

produced due to recombination of an electron and hole is given to a third carrier, which is excited

to a higher level without moving to another energy band. After the interaction, the third carrier

generally loses its excess energy to terminal vibrations. Since this process is a three-particle

interaction, it is normally only significant in non-equilibrium conditions when the carrier density

is very high.

The Auger recombination can be calculated from the equation

���� = ���(�� − ���) +���(�� − ��

�)(3.9)

where An is the auger recombination coefficient for electrons and Ap is the auger recombination

coefficient for holes.

This recombination mechanism is invoked in ATLAS in the MODELS statement by calling

AUGER and by defining AUGN and AUGP in the MATERIALS statement.

42

Page 57: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

3.11 Summary

This chapter presented an introduction to Silvaco Atlas, the structure of the input files,

and some of its statements. These statements are used in our simulation. Some basic equations

and models are also presented which are used by software in solving carrier transport and drift

diffusion problem associated with defined boundary conditions.

43

Page 58: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

References

[1] ATLAS User’s Manual: Device Simulation Software, 06/11/08 Ed., Silvaco Data Systems,

Inc., Santa Clara, CA, (2008).

[2] S.M. Sze and K.K. Ng, Physics of Semiconductor Devices, 3rd Ed., John Wiley & Sons,

Hoboken, NJ, (2007).

[3] W. Shockley and W.T. Read, “Statistics of the Recombination of Holes and Electrons,”

Phys. Rev., vol. 87, pp. 835-842, (1952).

[4] R.N. Hall, “Electron-Hole Recombination in Germanium,” Phys. Rev., vol. 87, p. 387,

(1952).

[5] C.T. Sah, R.N. Noyce, and W. Shockley, “Carrier Generation and Recombination in p-n

Junctions and p-n Junction Characteristics,” Proc. IRE, vol. 45, pp. 1228-1243, (1957).

[6] J. Piprek, Semiconductor Optoelectronic Devices: Introduction to Physics and

Simulation, Elsevier Science, USA, (2003).

[7] J.S. Blakemore, “Approximations for Fermi-Dirac Integrals, Especially the Function F1/2

Used to Describe Electron Density in a Semiconductor,” Sol. State Elec., vol. 25, pp.

1067-1076, (1982).

[8] S.A. Wong, S.P. McAlister, and Z.M. Li, “A Comparison of Some Approximations for

the Fermi-Dirac Integral of Order 1/2,” Sol. State Elec., vol. 37, pp. 61-64, (1994).

44

Page 59: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

DESIGN OF InGaN SOLAR CELL

4.1 Introduction

By having a tunable band gap from ~0.7 to 3.4 eV, InGaN alloys can cover the whole

visible spectrum and most part of the solar spectrum [1-2]. To date, it has been widely used in

ultra-violet, blue and green light emitting and laser diodes [3-5]. Although it was successful for

emitters, little research has been carried out for solar cell applications [6-8]. In addition to having

a perfect match with the solar spectrum, InGaN alloys have been shown to have great properties

for photovoltaic applications such as, high radiation damage resistant profile, direct band gap for

entire alloy range and high absorption coefficient near the band edge [9-10].

4.2 Earlier Developments

Many reports had been shown over InGaN photovoltaic (PV) devices. Janiet al [11] have

designed InGaN p–i–n and quantum-well solar cells, in which InGaN is treated as the active

layer. Hamzaoui et al [12] have investigated the theoretical possibilities of InGaN tandem PV

structures. And Yang et al [13] have studied the PV effects in InGaN p–n junctions. Brown et al

[14] investigated solar cell structure with p-GaN/n-InGaN hetero-junction and other structure

with graded InGaN layer at the p-GaN/n-InGaN interface on Si-substrate. Feng et al [15] also

studied the performance of p-i-n InGaN single homo-junction solar cell to determine the effect of

In content and the thickness of various layers on the characteristic parameters. These reports

shows various solar cell structures had been investigate by various researchers in the past decade

to get a high efficiency solar cell using InGaN with an appropriate structure.

Conversional solar cells have been designed with p-n junction configuration since,

minority carrier diffusion length is high enough (for Silicon; few hundreds of microns) so that

carrier collection probablity can be still high outside the depletion region whereas; GaN has been

reported to have much shorter diffusion lengths (~1μm) and InGaN has even lower diffusion

lengths (~0.2 μm) which is a strong function of recombination centers in the material. Because of

the high lattice mismatch between GaN and InN, material properties deteriodes for high Indium

Chapter 4

45

Page 60: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

compositions which results in even shorter minority carrier diffusion lengths. Thus, it is

required to increase depletion region thickness for InGaN solar cells to collect more carriers. One

way to do this is simply lightly doping of donor and acceptor to n and p sides respectively.

However, this degrades open circuit voltage and minority carrier mobility of the solar cell. The

other solution is the design of InGaN solar cells with p-i-n configuration which is realized by

inserting an intrinsic region between p and n-regions. While keeping high doping concentrations

at p and n regions, by varying the thickness of the intrinsic region depletion thickness can be

controlled.

In this simulation, it is aimed to simulate GaN/InGaN p-i-n solar cell by varying intrinsic

layer thickness under standard AM 1.5 solar spectrum. Since the actual minority carrier lifetime

and mobility for InGaN is not well-known, the results will be addressed under a range of these

parameters. It is expected that the results will faster the understanding of InGaN p-i-n solar cell

operation towards the realization of high efficiency multi-junction solar cells.

4.3 Simulation of InGaN Solar Cell

We used Silvaco atlas which can solves the fully coupled nonlinear equations for 2-D and

3-D transport of electrons and holes in crystalline semiconductor devices. Silvaco is widely used

in simulation of devices such as LEDs, Solar Cells, FET, HEMTs, etc. Since, InGaN material

system is not too much studied so various parameters are unknown for this material system. It is

required to include appropriate material parameters. The material parameters which are included

in simulation are shown in appendix A. Some material parameters are extracted by interpolation

of material parameter of InN and GaN.

For the design of any device it is essential to validate the simulation so that a more

realistic design can be carried out. For validate our results we started simulation with a test

p-i-n structure with top bottom contact as shown in figure 4.1.The thickness of the p-GaN,

i-In0.15Ga0.85N and n-GaN regions are fixed at 100 nm, 100 nm, and 2.5µm, respectively.

Uniform doping values for the p- and n- regions are set to 5 x 1017cm-3 and 6 x 1018cm-3,

respectively, while an n-type background impurity concentration in the i-region is set to

1 x 1016cm-3.

46

Page 61: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Fig.4.1 p-i-n GaN/InGaN strucutre

p-i-nGaN /InGaN structure is simulated to determine the performance parameter of the solar cell.

The output of the device is shown in table 4.1

Table 4.1 Test p-i-n output characteristics

Jsc (mA/cm2) Voc (Volts) Fill Factor Efficiency %

4.64 2.10 88.45 8.62

Simulation shows that the results obtained are comparable to the earlier reported results with

only difference is in substrate used. This simulation result provide a positive feedback to move

forward. Simulation code is included in Appendix B

4.3.1 Optimization of p-i-n Structure

Based on above test simulation result we moved forward for optimization of p-i-n

structure to get better efficiency. Fig 4.2 shows the p-i-n structure considered during the

simulation.

Fig. 4.2 GaN/InGaN p-i-n structure

47

Page 62: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

In the simulation, First of all we optimised the p-GaN thickness and doping concentration by

keeping intrinsic layer thickness 100 nm with 1 X 1016cm-3 donor concentration (because its

background doping is ~1X1016cm-3 ) and n-GaN thickness 2m with donor concentration of 6 x

1018cm-3. Spontaneous and piezoelectric polarization charges are also included in simulation. As

we started increasing the p-GaN thickness absorption of photons in the p-region increases as a

result carrier generation also increases which contributes in the increase of current density. It is

observed that current density (Jsc) increases till the thickness of 130nm after this Jsc starts falling

down as shown in Figure 4.3(a). It is found because as we further increase the p-GaN thickness,

generated charge carriers are not separated out instead they start recombining in P-region which

results in drop in the Jsc.

Fig. 4.3 Effect of changing p-GaN thickness with different p-doping a.)Short Circuit Current Density b.) Efficiency

c.)Open Circuit Voltage d.) Fill Factor

(b) (a)

(d) (c)

48

Page 63: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

We also investigated the effect of doping by taking different doping concentration. Results shows

that Jsc first increases and then decreases with increase in doping concentration, shown in Figure

4.3(a). This decrease can be due to increase in recombination with high doping concentration.

Figure 4.3(c) Change in p-GaN thickness shows variation in open circuit voltages. Therefore,

Efficiency curve follow the Jsc curve as shown in Figure 4.3(b).

Figure 4.4 shows different characteristic parameter of solar cell with i-layer thickness. It

can be observed that Jsc increases with increasing i-layer thickness. Since i-layer is low bandgap

semiconductor compare to p-GaN, it can absorb the photons of some lesser energy than p-GaN.

Other hand we can say that photon absorption is supported in i-layer by high absorption

coefficient of InGaN material. As thickness of i-layer is increases it absorbs more photons and

generate charge carriers in i-layer as shown in Figure 4.4(a). Built in electric field of p-i-n

structure support separation of charge carriers and improve collection efficiency this results

increase Jsc with thickness. Increment in i-layer thickness from 100nm to 150nm shows slight

decrement in the Voc from 2.32 to 2.27 volts which may be due to the larger saturation current in

thicker cell. There is no significant change in the Fill Factor(FF). However, FF starts to decrease

as we increase the thickness because series resistance of the cell also increases with increasing

thickness of i-layer. If we now look for efficiency we observe that efficiency curve follows the

same trend of Jsc curve as shown in Figure 4.4(d) Since Voc and FF are almost constant.

Figure 4.4(d) shows that by varying the i-layer thickness efficiency goes upto 9.42%.

Material defects such as threading dislocation, traps etc. are not considered during simulation

(b) (a)

49

Page 64: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Fig. 4.4 Effect of changing InGaN thickness on physical characteristic of solar cell a.)Short Circuit Current

Density b.) Open Circuit Voltage c.) Fill Factor d.) Efficiency

After the p-GaN and i-layer thickness optimization when we varied the n-GaN thickness

Figure 4.5 shows no significant change in results. It may be because the maximum no of

available photons are already absorbed by the p-GaN and i-layer and very few carriers are

generated in n-GaN region. Also there is not much change by increase doping concentration of n-

GaN. So we kept the n-GaN thickness to 2 m at which Jsc and efficiency are higher.

Fig. 4.5 Effect of changing n-GaN thickness on characteristic parameters of solar cell a.)Short Circuit Current

Density b.) Efficiency

Figure 4.6 shows the final optimized structure with thickness of p-GaN, intrinsic layer (InGaN)

and n-GaN 100nm,150nm and 2µm respectively and p-type, n-type doping of 5x1017cm-3 and

(d) (c)

(b) (a)

50

Page 65: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

6x1018cm-3 respectively, with calculated conversion efficiency, I-V curve. Calculated solar cell

parameters are shown in Table 4.2.

Table 4.2 Characteristics parameters of p-i-n solar cell

Jsc (mA/cm2) Voc (Volts) Fill Factor Efficiency %

5.09 2.08 88.73 9.42

Fig. 4.6 a.)Final Optimized structure of p-i-n GaN/InGaN double hetero junction solar cell b.)I-V curve

4.3.2 p-i-n Structure With Varying Indium Composition

We optimized In composition in i-layer, varied the indium composition considering the same

structure as shown in Figure 4.6 (a). At first, with increase in In composition till 20% Jsc of the double

hetero-junction GaN/InGaN solar cell increases which contributes in rise in efficiency but beyond this

composition Jsc start falling down as shown in Figure 4.7(a).

(a) (b)

51

Page 66: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Fig. 4.7 Effect of changing the In composition on various characteristic parameter a.) Short Circuit Current density

b.)Fill Factor c.)Efficiency d.) Discontinuity in the valence band at the hetero junction at high In content

Reason of falling is found from the band diagram that shown in Figure 4.7(d), we

observed that with high In composition discontinuity of valence band at the hetero-junction is

increasing and prevents the generated minority holes in InGaN layer to crossing P-GaN region.

Which accounts for the recombination of photo generated holes in intrinsic layer. Therefore

absorption in only p-GaN region contribute in current. Fill factor also shows sharp dip due to

increase of series resistance with increasing valence band discontinuity and then it rises back to

its original value. Earlier reports had also shown such type of behaviour [14].

4.4 Summary

Simulations is conducted to design optimization of GaN/ InGaN p-i-n double hetero-

junction solar cell. Simulation shows that characteristic parameters of the solar cell strongly

depend on thickness of the layers, doping and Indium composition. 50% quantum efficiency is

achieved after optimization of structure. Simulation also shows that efficiency can be achieve up

to 9.42 % for indium content of 15%. Further efficiency can be increased by use of some

different structure which can remove the valence band gap discontinuity at the interface.

(d) (c)

52

Page 67: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Reference:

1) Wu J, Walukiewicz W, Yu K M, Ager J W III, Haller E E, Lu H, Schaff W J, Saito Y

and Nanishi Y Appl. Phys. Lett. 80 3967 (2002).

2) Xiao H L, Wang X L, Wang J X, Zhang N H, Liu H X, Zeng Y P, Li J M and Wang

Z G J. Cryst.Growth276 401.( 2005)

3) K. Tadatomo, H. Okagawa, Y. Ohuchi, T. Tsunekawa, Y. Imada, M. Kato and T.

Taguchi Jpn. J. Appl. Phy.40 L 583-L 585, (2001)

4) Y. Zhao, J. Sonoda, C. Pan, S. Brinkley, I. Koslow, K.Fujito, H. Ohta, S. P. Denbaars

and S. Nakamura. Appl. Phys. Express 3 (2010).

5) P. Stauss, A. Walter, J. Baur, and B. Hahn: presented at 7th Int. Conf. Nitride

Semiconductors (ICNS7) (2007).

6) C. Yang, X. Wang, H. Xiao, J. Ran, C. Wang, G. Hu, X. Wang, X. Zhang, J. Li and J.

Li Phy. Stat. Sol. (a) 204 No. 12, 4288-4291 (2007).

7) H. Hamzaoui, A. S. Bouazzi and B. Rezig Sol. Energy Mater. Sol. Cells 87,(2005)

8) O. Jani, I. Ferguson, C. Honsberg and S. Kurtz Appl. Phy.Lett.91 132117 (2007).

9) W. Walukiewicz, J. W. Ager, K. M. Yu, Z. Liliental-Weber, J. Wu, S. X. Li, R. E.

Jones, and J. D. Denlinger, J. Phys. D 39, R83 (2006).

10) J. Wu, W. Walukiewicz, K. M. Yu, W. Shan, J. W. Ager, E. E.Haller, H. Lu, W. J.

Schaff, W. K. Metzger, and S. Kurtz, J. Appl. Phys. 94, 6477 (2003).

11) Jani O, Honsberg C, Asghar A, Nicol D, Ferguson L,Doolittle A and Kurtz S 1st IEEE

Photovoltaic Specialists Conf.pp 37–42 (Lake Buena Vista, FL,(2005)

12) Hamzaoui H, Bouazzi A S and Rezig B Sol. EnergyMater. Sol. Cells 87 595(2005)

13) Yang C B et al Phys. Status Solidi a, at pressdoi:10.1002/pssa. 23202,(2007)

14) G.F. Brown, J.W.AgerIIIb, W.Walukiewiczb, J.Wua,bSolar Energy Materials & Solar

Cells 94 478–483 (2010)

53

Page 68: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

GROWTH OF InGaN FILMS BY METAL ORGANIC CHEMICAL VAPOR

DEPOSITION (MOCVD)

5.1 Epitaxy

Epitaxy has Greek roots that consist of ‘epi’ which means upon and ‘taxis’ which means

‘arranged’. The term ‘epitaxial growth’ covers the film growth on a crystalline substrate in an

ordered manner where the atomic arrangement of the grown film accepts crystallographic

structure of the substrate. In other words, it could simply be the deposition of a monocrystalline

film on a monocrystalline substrate. Epitaxial growth is one of the most important techniques

that allows the production of various kinds of optoelectronic devices.

Homo-epitaxy; crystalline film is grown on a substrate of the same material (i.e. Silicon thin

film on Silicon substrate).

Hetero-epitaxy; crystalline film and substrate are different from each other (i.e. GaN thin

film on sapphire substrate).

5.2 Growth Techniques

The biggest problem is to find a suitable substrate for the epitaxial growth of III-Nitrides,

since the bulk growth of III-Nitrides has not been developed yet to produce substrates for home

epitaxy. Thus, III-Nitride epitaxial growth still needs to be performed on foreign substrates

(hetero-epitaxy) that have lattice and thermal mismatch between substrate and growing epitaxial

layers. Most common substrates for epitaxial growth of III-Nitrides are Sapphire and SiC.

Although SiC is a better match to III-Nitride films it is not widely available, due to its high cost

compared to that of sapphire.

Since foreign substrates have large mismatch with the growing III-Nitride films, one

should find unique solutions for high quality III-Nitride materials for device applications.

Molecular Beam Epitaxy (MBE) and MOCVD are some of the epitaxial methods for the

deposition of III-Nitrides [1].

Chapter 5

54

Page 69: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

5.2.1 Molecular Beam Epitaxy (MBE)

In MBE growth, epitaxy takes places in ultra high vacuum (10-8Pa) and the sources are

heated separately. It is possible to precisely control the temperature of the individual sources.

With the help of a MBE system, ultra pure materials can be grown. Ultra high vacuum levels

required for the deposition increases the cost of fabrication. Due to the low deposition rate and

high operation cost of the MBE systems is not suitable for mass production.

5.2.2 Metal Organic Chemical Vapor Deposition (MOCVD)

There are several different MOCVD systems (Nitride-based, As-based, Pbased and etc.)

for the deposition of materials. The material system that is desired to be deposited determines the

system configuration, primarily including sources and reactor design. There are metal-organic

sources such as Trimethylgallium (TMGa), Triethylgallium (TEGa), Trimethylaluminium

(TMAl), Trimethylindium (TMIn), Silane (SiH4), that are carried by carrier gasses like hydrogen

or nitrogen through a well organized piping system to a reactor and sent through the substrate,

following proper mixing and heating. MOCVD growth method is suitable for mass production

since it grows high purity materials and allows the use of multiple substrates at a time. Batch

production decreases the running costs of the system.

5.3 Metal Organic Chemical Vapor Deposition ( MOCVD ) Growth

Technique

Metal Organic Chemical Vapor Deposition growth technique have been in use for the

deposition of epitaxial thin films for more than thirty years. SiC based, GaN based, and As/P

based, materials can be deposited with MOCVD method. Growth temperature for As/P based

materials system is around 850oC [2], where GaN based material systems need higher growth

temperatures around 1100 oC [3]. Typically, nitrogen or hydrogen gas is used as a carrier gas.

MOCVD technique is the best method for the deposition of multilayer structures of III-Nitrides

and widely used for mass production. Depositions of InGaN/GaN and AlGaN/GaN structures for

various device applications have been widely investigated using MOCVD method [4].This

growth technique utilizes gas mixtures that contain the molecules to be deposited called

‘precursors’, to grow epitaxial thin films. The carrier gas, high purity hydrogen or nitrogen, has

to be chosen according to the growing material.

55

Page 70: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Figure 5.1 shows the sequence of steps during a typical MOCVD growth. There are four

regions as seen in the figure. The gas mixture, containing all the necessary molecules for the

material growth, is coming from the left side to the heated substrate. Sources that are in the gas

mixture diffuse down to the substrate. Diffused precursors react with each other and following

necessary chemical interactions, desired materials are grown on the substrate that is indicated in

the figure. Chemical reactions between precursors are

Figure 5.1.Schematic steps for MOCVD growth.

Ga (CH3)3 + NH3 GaN + organic by products

In (CH3)3 + NH3 InN + organic by products

Molecules that are absorbed by the surface of the substrate are not fixed on the surface; instead

they are mobile. Surface kinetics is not fully understood yet due to the lack of in-situ

measurement tools.

56

Page 71: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

5.3.1 Configuration

Figure 5.2 shows a simple schematic diagram of a MOCVD system where only sources

and related pipelines are shown. The sources that are used during growth follows the necessary

lines to reach up to the reactor and others that are not used during growth falls into the ‘Vent-

Line’. MOCVD system also uses various kinds of electronic and pneumatic valves, mass flow

controllers, pressure controllers and switching systems for the atomic scale control of the

growing materials simply by precisely adjusting the amount of sources flowing towards the

reactor.

Figure 5.2.Schematics diagram of a MOCVD system.

Figure 5.3 shows a simple schematic diagram of a horizontal MOCVD reactor. The sources and

carrier gasses (H2 or N2) pass through the ‘Gas Inlet’ and reach the reactor. There is a ‘Rotating

Succeptor’ that carries the wafer holder. Rotation helps to improve the uniformity of growing

epitaxial layers. Heating of the reactor can be done by several methods. RF coil around the

reactor, as shown in the schematic, provides a uniform temperature gradient across the wafer.

This has prime importance for epi-growth.

57

Page 72: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Fig. 5.3.Schematics diagram of MOCVD reactor

5.3.2 Sources

Wide range of available source materials is one of the biggest advantages of MOCVD

system over those other thin film deposition methods. In MOCVD growth, generally alkyls of

the group II and III metals and hydrides of group V and VI elements are used. Diluted vapors of

these sources are transported to a reactor at high temperatures, where the parolysis reaction takes

place for epitaxial thin film growth.

In general, pyrolysis reaction can be generalized for III-V materials as follows

R3M + EH3 ME + 2RH

Where R, M and E are the alkyl radicals (C2H5or CH3), the group III metals (Ga, In, Al) and

the group V element (N, P, As, Sb), respectively.

Table 5.1.Sources of MOCVD system [5]

Name of Compound Acronomy Purpose

Trimethylgallium TMGa III element

Triethylgallium TEGa III element

Trimethylaluminium TMAl III element

Triethylaluminium TEAl III element

Trimethylindium TMIn III element

Triethylindium TEIn III element

Trimethylantimony TMSb V element

Triethylantimony TESb V element

Trimethylarsine TMAs V element

58

Page 73: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Dimethylasrinehydride DEAs V element

Arshine AsH3 V element

Phosphine PH3 V element

Silane SiH4 n-dopant

Disilane Si2H6 n-dopant

Dimethylzinc DMZn p-dopant

Diethylzinc DEZn p-dopant

Diethylberyllium DEBe p-dopant

Dimethylcadmium DMCd p-dopant

In MOCVD system, trimethyl sources are most often used due to their higher vapor

pressure and stability compared to others. It is also important to choose metal organic and

hydride sources that could easily decompose at the growth temperature of the desired material

systems.

5.4 Characterization Techniques

5.4.1 In-situ Characterization

Epitaxial growth is not only the first step for manufacturing optoelectronic devices but also a

determining factor for device performance. Therefore, precise control of the growth parameters

is crucial. Optical reflectance measurements could be used to investigate material properties like

growth rate, layer thickness, composition of ternary alloys and surface roughness [6].

The Interferometer uses Fabry-Perot interferometry to monitor and analyse the MOCVD

growth of thin-film materials. The easiest way to explain how the In-Situ Reflectance Monitor

works is to consider the simple three-phase system below, Figure 5.4, with an air/film and

film/substrate interface.

59

Page 74: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Fig. 5.4 Reflection from a 3-phase system. The shaded area shows multiple internal reflections [7].

Laser light is reflected at near-normal incidence from this 3-phase system. If the growing

layer is partially transparent to the probe wavelength and there is a difference in refractive index

of the substrate and growing layer, then an interference pattern will result from the two beams

reflected from the air/film and film/substrate interfaces. The reflected intensity of this interfering

light is given by:

� =��� + ��

� + 2�������∆��∝�

1 + �����

���∝� + 2�������∆��∝�

5.1

Where r1 and r2 are the Fresnel reflection coefficients for the air/film and film/substrate

interfaces, respectively, and are given by:

�� =�� − 1

�� + 15.2

�� =�� − ��

�� + ��5.3

Where nf and ns are the film and substrate refractive index, respectively. The periodicity (i.e.

peak-to-peak) in the interference pattern is governed by the probe wavelength and the film

refractive index, and is given in the above equation by the delta term:

60

Page 75: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

2� = ∆=4����

�5.4

Where λ is the probe wavelength and d the film thickness. If the film has low absorbance at the

probe wavelength, then the interference recorded during growth will have a profile similar to the

one shown in Figure 5.5

Fig. 5.5 Reflected intensity for a film with refractive index 2.4 on a substrate with refractive index 1.7, and a probe

wavelength of 635nm. An interference profile like this might be seen for GaN growth on Sapphire, where the film

has little absorption at the probe wavelength.

However, if the growing film has appreciable absorbance at the probe wavelength, then there

will be an overall attenuation of the reflected intensity, as shown in Figure 5.6. The effect of

absorbance of the probe wavelength by the growing film is given by the exponential term in the

equation for reflected intensity, where α is dependant on the film’s extinction coefficient at the

probe wavelength, i.e.

� =4��

�5.5

For the material GaN the film extinction coefficient is low (k < 0.01) for the red probe

wavelength (635nm) used in the Thomas Swan interferometer. Therefore attenuation of the

61

Page 76: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

probe intensity due to absorption is negligible and any significant changes in the reflected

intensity from that predicted by theory is likely to be due to factors other than absorbance. This

gives us an advantage in the interpretation of GaN growth as the growth of this material.

Fig. 5.6 Theoretical reflected intensity for a film with refractive index 2.4 and k = 0.153, on a substrate with

refractive index 1.7, and a probe wavelength of 633nm.

In this study, a normal incidence reflectometer was implemented using a 635 nm. This

light is delivered to and collected from the sample through a fiber optic cable bundle and a lens.

This spectrometer uses a diffraction grating to disperse the light and a linear photodiode array to

measure the different wavelengths. The detector is a CCD connected to the spectrometer so the

wavelength of light to be used can be selected easily. A lock-in amplifier was employed to

suppress noise due to the radiation from the heated suscceptor. Once the reflected light is

measured by the spectrometer or photodiode, it is then processed by an attached computer. The

computer controls this setup, collects and analyzes the data and then computes the growth rate.

Figure 5.7 shows the schematic diagram of the in situ monitoring apparatus.

62

Page 77: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Fig. 5.7 Schematic diagram of the in situ monitoring apparatus

Figure 5.8 shows the interferogram recorded during the growth of a layer of GaN using

the Thomas Swan interferometer on the CCS-MOCVD reactor at CSIR-Central Electronics

Engineering Research Institute (CSIR-CEERI), Pilani, Rajasthan. The two-step GaN growth on

sapphire proceeds several distinct stages, as described below: high temperature pretreatment of

sapphire substrate in H2 at 1080 0C, cool down and prepared the reacted precoursor before

nucleation layer growth, (c) low temperature nucleation layer growth at 550 oC which the rise of

reflectance is due to an increase of refractive index, (d) temperature ramp and morphology

transformation, (e) high temperature GaN growth at 1060 oC, lateral growth, and surface

roughening which induce a lightly drop in the reflectance intensity, (f) island coalescence which

the amplitude and intensity of oscillations increases, qusi-2D GaN growth (500 torr) (g) qusi-2D

GaN growth which the surface of GaN becomes opticaly smooth(300 torr). According to this in

situ recording of reflectance, we can measure the growth rate of about 2.0 µm/hr during the

whole growth process. In additon, with the use of in situ reflectance monitoring, the effect of

various growth parameters on the morphology evolution, in particular the extent of initial HT

roughening and the rate of subsequent recovery can be investigated.

63

Page 78: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Fig. 5.8 The typical trace of both reflectance intensity of the in situ monitor.

5.4.2 Atomic Force Microscopy Measurement

Atomic force microscopy (AFM) provides three-dimensional surface topography at

nanometer lateral and sub-angstrom vertical resolution on semiconductors and other materials. A

sharp tip on the end of a flexible cantilever scans a sample surface, while maintaining a constant

force. The surface is scanned by a piezoelectric tube that moves the tip in a raster pattern with

respect to the sample. The tip-sample interaction is monitored by reflecting a laser off the back

of the cantilever into a split photodiode detector. By detecting the difference in the photo-

detector output voltages, changes in the cantilever deflection or oscillation amplitude are

determined.

The two most commonly used modes of operation are contact mode and tapping mode. In

this work the samples were investigated using the tapping mode. Here the cantilever is

oscillating close to its resonance frequency (typically » 300 kHz) and lightly tapping on the

surface during the scan. The laser deflection method is used to detect the root-mean-square (rms)

amplitude of the cantilever oscillation. A feedback loop maintains a constant oscillation

64

Page 79: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

amplitude by moving the scanner vertically at every x, y data point. Recording this movement

forms the topographical image.

For investigation of atomically smooth surfaces, the AFM is very suitable, since the AFM

possesses a very good vertical resolution. It can resolve monoatomic steps on the sample surface

as well as calculate an rms roughness of the surface. Surface morphology investigations for the

grown GaN and InGaN layers were performed by Dimension Edge atomic force microscopy

(AFM) operating in tapping mode.

Fig. 5.9 AFM study of interrupted GaN growth runs.

5.4.3 Photoluminescence Measurement

The room temperature PL emission that corresponds to each of the active layers for

0<x<0.25 has been measured and compared to the spectral response of each device. The

measured PL peak positions for each of the active layers are 441nm, 479 nm,& 659 nm for x=0,

15, 0.21, and 0.43, respectively. The PL data and the turn on wavelengths are plotted versus

intensity of the active layer in Figure 5.10. These PL peaks fall within or close to the turn-on

region of each MSM detector. The PL emission peaks and the wavelength at which the onset of

65

Page 80: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

the spectral response occurs for each of these devices falls with in the range of values previously

observed for films of similar indium content.

300 400 500 600 700 800

2000

4000

6000

8000

10000

12000

Inte

nsity (

a.

u.)

Wavelength (nm)

43 P 43 D 43 K

441

659

479

Fig. 5.10 A typical room temperature photoluminescence scan for InGaN growth.

5.5 Summary

Growth conditions for GaN films deposited by MOCVD were investigated with initial

studies done on (111) Si substrates, followed by studies on (0001) sapphire substrate using a two

step growth method, involving a low temperature AlN buffer layer. InN films grown on sapphire

substrates using a thin LT-GaN buffer layer were observed to grow via island nucleation and

coalescence. Film cracking and peeling was found to occur in the films at growth temperature

after approximately 200 nm of deposition when the films were nearly fully coalesced.

66

Page 81: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

References:

1) S. N. Mohammad and H. Morkoc, Prog. Quant. Electr. p. 361-525.(1996)

2) UgoLafont et al, Increasing the reliability of solid state lighting system via self-healing

approaches: A review, Microelectronic Reliability 71-89 (2012).

3) Chito E. Kendrick, Revisiting Nitride Semiconductors: Epilayers, p-type Doping and

Nanowires, Doctor of Philosohpy, University of Canterbury, New Zeland, September

(2008)

4) J.M. Olson et al, MOCVD Growth and Characterization of GaP on Si, Journal of Crystal

Growth, Vol.77, Issue 1-3, p.515-523, (1986)

5) Zinki Monga, Thermodynamic Studies on the Synthesis of Nitrides and Epitaxial Growth

InGaN, MSc Thesis, University of Central Florida, Orlando, Florida (2007).

6) Jenny Hogan, http://www.newscientist.com/article/dn3145-solar-cellsaiming-for-full-

spectrum-efficiency.html, (2002)

7) Aixtron Co. Ltd Catalog of the CS-13975 system.

67

Page 82: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

FABRICATION OF GaN/InGaN SOLAR CELL

6.1 Device Processing Technology

Fabrication of the GaN/InGaN Solar Cell involves the following steps.

Epitaxial Growth of GaN/InGaN epilayers on MOCVD.

Photolithography for mesa etch

Reactive Ion Etching.

Photolithography & N contact (Ti/Al/Ni/Au).

Photolithography& Current Spreading Layer (Ni/Au).

Photolithography& P-contact (Ni/Au).

6.2 Mask Layout Design

Mask is designed using L

structure isolation. All layers are designed to be fabricated on single mask. Layers description

shown in figure 6.1 shows the full mask design.

Fig. 6.1 Full Mask Layout design of InGaN Solar Cell

Chapter 6

FABRICATION OF GaN/InGaN SOLAR CELL

6.1 Device Processing Technology

Fabrication of the GaN/InGaN Solar Cell involves the following steps.

Epitaxial Growth of GaN/InGaN epilayers on MOCVD.

Photolithography for mesa etch

Photolithography & N contact (Ti/Al/Ni/Au).

Photolithography& Current Spreading Layer (Ni/Au).

contact (Ni/Au).

Mask Layout Design

Mask is designed using L-Edit 8.3. Mask Consist of 6 main layers and 2 layers for test

lation. All layers are designed to be fabricated on single mask. Layers description

shown in figure 6.1 shows the full mask design.

6.1 Full Mask Layout design of InGaN Solar Cell

68

Edit 8.3. Mask Consist of 6 main layers and 2 layers for test

lation. All layers are designed to be fabricated on single mask. Layers description

Page 83: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

6.2.1 Different Device and Test Structure

Figure 6.2 shows different device structure.

Fig

6.3 Fabrication process of GaN/InGaN Solar Cell

Process flow chart is shown in figure 6.3. Process is started with epitaxial material.

Fig. 6.3 Process Flow chart of GaN/InGa

Different Device and Test Structure

different device structure.

Fig. 6.2 Device with different dimension

Fabrication process of GaN/InGaN Solar Cell

Process flow chart is shown in figure 6.3. Process is started with epitaxial material.

Process Flow chart of GaN/InGaN Solar Cell

69

Process flow chart is shown in figure 6.3. Process is started with epitaxial material.

Page 84: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

6.3.1 Photoresist Spin Coat

Spin coat of photoresist is a very essential step for fabrication of every device. It is a step

which is required at every level. Parameter used during spin coat are as following:

Photoresist used: S-1818 ( Positive Photoresist)

Spin speed:

1000 rpm for glass plate mount

4000 rpm for PR coating on wafer

6.3.2 Mesa Etch

1st level mask is used to form mesa etch pattern to make n-contact. Following steps are

taken to form mesa etch.

Sample is mounted on glass plate.

Photo-resist coating on sample.

Pre back for 20 min at 90o C.

Photolithography using Mask aligner: Karl Suss.

Post Back for 20 min at 90o C.

RIE for 4:30+1 min in BCl3+Cl2 plasma (Fig. 6.4) using Sentech SI 591

Depth measurement using step profiler.

Fig. 6.4 Sample after Mesa Etch

70

Page 85: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

6.3.3 n-Contact Metallization

2nd level mask is used to form pattern for n-contact metallization. Process steps are as

follows

Sample cleaning in Acetone, Methanol and DI.

Sample is mounted on glass plate.

Photo-resist coating on sample.

Pre back for 20 min at 90o C.

Photolithography for 2nd level (Fig 6.5) using Mask aligner: Karl Suss.

Fig. 6.5 Sample after n-contact lithography

Post Back for 20 min at 90o C.

Ashing in O2 plasma for 1 mins

Pre metallization Etching in BoE for 1 mins

10/150/40/50 nm of Ni/Al/Ni/Au is deposited by e-beam using Varian VT 114 UHV

System.

Lift Off in Acetone.

Rapid Thermal Annealing (RTA) at 8500C in N2 ambient using As-One for 30 mins.

n-contact resistance measurement

N-contact resistance is measured by Transfer Length Method (TLM) test

structure and contact resistance is found 6.53x 10-5 -cm2.

71

Page 86: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

-30 -20 -10 0 10 20 30 40 50

0

5

10

15

20

25

30

35

Mean Linear Fit of Sheet1 Resistance

Resis

tance (

)

Gap (m)

y-Intercept = 10.46Slope = 0.42

x-Intercept = -24.98

c = 6.53x10-5 cm2

Contact Res. = 5.23

Sheet Res. = 41.87 sq.

Figure6.6: Resistance versus Contact separation from TLM

6.3.4 Current Spreading Layer

Current Spreading pattern is formed on wafer by 3rd level Mask. Process steps are as

follows

Sample cleaning in Acetone, Methanol and DI.

Sample is mounted on glass plate.

Photo-resist coating on sample.

Pre back for 20 min at 90o C.

Photolithography for 3rd level (Fig 6.6) using Mask aligner: Karl Suss.

Fig. 6.7 Sample after current spreading lithography

Post Back for 20 min at 90o C.

72

Page 87: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Pre metallization Etching in HCL:DI (3:1) for 2 mins.

5nm of each Ni/Pd/Au is deposited by e-beam using Varian VT 114 UHV System.

Lift Off in Acetone.

Rapid Thermal Annealing (RTA) at 550o C in N2 or O2 ambient using As-One.

6.3.5 P-Contact Matellization

4th level mask is used to form pattern for p-contact metallization. Process steps are as

follows

Sample cleaning in Acetone, Methanol and DI.

Sample is mounted on glass plate.

Photo-resist coating on sample.

Pre back for 20 min at 90o C.

Photolithography for 4th level (Fig 6.7) using Mask aligner: Karl Suss.

Fig. 6.8 Sample after p-contact lithograph

Post Back for 20 min at 90o C.

Pre metallization Etching in BoE for 2 mins.

20/20/100 nm of Ni/Pd/Au is deposited by e-beam using Varian VT 114 UHV System.

Lift Off in Acetone.

Rapid Thermal Annealing (RTA) at 550o C in N2 ambient using As-One.

p-contact resistance measurement.

73

Page 88: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

This is measured by TLM structure fabricated for p-type. Measured contact

resistance is found to be 2.0 X 10-1 to 3.4 X 10-1 -cm2

6.4 Summary

Mask design with various device size and test structure is included in this chapter.

Process flow to fabricate InGaN solar cell with all the required steps is discussed. Contact

resistance for n-type and p-type material is also measured.

74

Page 89: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

CONCLUSION & FUTURE WORK

Conclusion

Indium Gallium Nitride is a semiconductor material with potential to be used in

photovoltaic devices. A new simulation was performed using Silvaco-Atlas. PIN double hetero

junction structure is optimized with conventional structure and also effect of indium variation is

observed on characteristic parameters. It is predicated by simulation that maximum 9.42% of

efficiency can be achieved with p-i-n structure at 15% of indium content.

Future work

There are multiple areas that can be explored in future research. This report has been

focused on the two major parts:

1) Modelling or designing

2) Fabrication.

1 Modelling or Designing

Since the valence band discontinuity is offering resistance in designing high efficiency

solar cell. In Future work we can try to overcome this problem by designing appropriate

structure. One of the solution to the stated problem may be use of graded structure. Such type of

structure can be simulated. Solar cells also face the problem of substrate heating so it is also

required to look for temperature dependence on characteristic parameter and also simulate

structure for temperature management.

2 Fabrication

So, In future work we can fabricate the solar cell with optimized structure discussed in

chapter 6. Since there are many problems related to epitaxial growth of InGaN, doping, p-contact

etc. We can also look to get optimum solution for these fabrication related issues.

75

Page 90: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Appendix A

Material Parameters

1. Band Gap eVxxxxxE g )1(43.1)1(4.37.0)(

2. Electron Affinity ))(4.3(7.01.4 xE g

3. Absorption Coefficient

)(

)()()]([ 0

xE

xEEE

g

g

Where )(E is the energy of photon corresponding to wavelength () , )(xE g is the band gap of

InxGa1-xN . In our simulation we assumed 0 for InGaN same as that of GaN.

4. Mobility

i

ig

iiNN

iiN

)/(1

min,max,)(

,

min,

Where i denotes electrons(e) or holes(h), N is doping concentration and min , max , are

parameter specific for a given semiconductor

5. Relative Permittivity

4.10).1(6.14. xxr

The above formulae is obtained from the linear fitting of the corresponding parameters of InN

and GaN.

6. Effective Density of states

Conduction band 3.2).1(9.0. xxNc

Valence band 8.1).1(3.5. xxNv

76

Page 91: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

List of Material Parameters Used in Simulation

Parameter GaN InGaN

Band Gap Eg 3.42 ev 3.19eV-2.45ev

Electron Mobility 100 100

Hole Mobility 10 10

Lattice constant 3.18 3.23

Spontaneous Polarization -0.029 2.182ex1013

Piezoelectric Polarization -0.49 1.436ex1013

Auger Coefficient n-type 1e-34 1e-34

Auger Coefficient p-type 1e-34 1e-34

Minority Carrier Life time 1 ns 1 ns

77

Page 92: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

Appendix B

Input Files of Optimized Structures

Optimized p-i-n Structure

go atlas #------------------------------------------------------------------ # Section 1: Mesh Generation #------------------------------------------------------------------- mesh auto # x.mesh loc=0.0 spac=10 x.mesh loc=10 spac=10 x.mesh loc=30 spac=10 x.mesh loc=110 spac=10 x.mesh loc=120 spac=10 x.mesh loc=140 spac=10 x.mesh loc=150.0 spac=10 # y.mesh loc=0.0 spac=0.001 y.mesh loc=0.1 spac=0.001 y.mesh loc=0.55 spac=0.001 y.mesh loc=0.58 spac=0.01 y.mesh loc=0.6 spac=0.1 y.mesh loc=2 spac=.01 #------------------------------------------------------------- # Section 2: Regions and Electrodes #------------------------------------------------------------- Region num=1 material=GaNy.max=0.1 x.max=100 polarization Region num=2 material=InGaNy.min=0.1 y.max=0.55 x.comp=0.12 \

calc.strain polarization psp.scale=0.8025 piezo.scale=1.1046 x.max=100 Region num=3 material=GaNy.min=0.55 y.max=1 x.max=100 polarization Region num=4 material=GaNy.min=1 y.max=2 polarization Region num=5 material=air y.max=1 x.min=100 Region num=6 material=Sapphire y.min=2 substrate # electrode name=anode material=Nickel x.min=10 x.max=30 electrode name=cathode material=Titanium x.min=120 x.max=140 y.max=1 y.min=0.95 #-------------------------------------------------------------

78

Page 93: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

# Section 3: Doping Profiles #------------------------------------------------------------- # p type is Mg # n type is Si doping region=1 uniform p.type conc=5e17 doping region=2 uniform n.type conc=1e16 doping region=3 uniform n.type conc=6e18 doping region=4 uniform n.type conc=6e18 # #--------------------------------------------------------------- # Section 4: Material Models #--------------------------------------------------------------- # material material=GaN taun0=1e-9 taup0=1e-9 copt=1.1e-8 \ augn=1.0e-34 augp=1.0e-34 material material=InGaN taun0=1e-9 taup0=1e-9 copt=1.1e-8 \ augn=1.0e-34 augp=1.0e-34 # Models k.p srh auger optr print # mobility mun0=100 mup0=10 # material material=InGaN indx.real=ingan15.n indx.imag=ingan15.k material material=GaN sopra=Gan-uv #---------------------------------------------------------------- # Section 5: Output Flags and Method #---------------------------------------------------------------- method newton carriers=2 maxtrap=60 # Output con.band val.band band.param polar.chargee.mobility \ h.mobility permi solve init save outf=final.str beam num=1 x.origin=.7 y.origin=-1.0 angle=90.0 power.file=power2.spec ambient.index=1.5 wavel.start=0.100 wavel.end=.500 diffuse min.power=1e-9 reflects=1 sub solve b1=1.0 save outf=final.str log outf=final.log tonyplot final.log solve vanode=0.0 name=anode vstep=0.05 vfinal=2.5

79

Page 94: Master of Technology Thesis (Design, Growth & Fabrication of InxGa1-xN Based Solar Cell)

#--------------------------------------------------------------------------- # Section 6: Extraction #--------------------------------------------------------------------------- extract init infile="final.log" extract name="Jsc" max(curve(v."anode", i."cathode") ) extract name="Voc" x.val from curve(v."anode", i."cathode") where y.val=0.0 extract name="P" curve(v."anode", (v."anode" * i."cathode")) outf="final.dat" extract name="Pm" max(curve(v."anode", (v."anode" * i."cathode"))) extract name="Vm" x.val from curve(v."anode", (v."anode"*i."cathode") ) \ wherey.val=$"Pm" extract name="Im" $"Pm"/$"Vm" extract name="FF" $"Pm"/($"Jsc"*$"Voc") extract name="Eff" (1e8*$Pm/(.1*150))*100 quit

80