Master Nanoscience and Nanotechnology...Photovoltaics (PV) is the fastest growing electrical energy...
Transcript of Master Nanoscience and Nanotechnology...Photovoltaics (PV) is the fastest growing electrical energy...
Master Nanoscience and Nanotechnology
Thesis Title: Ligand exchange optimization for quantum dot based infrared thin‐film photodetectors
Promotor: Paul Heremans
Faculty/Research Group:
Daily Supervision: Itai Lieberman, Epimitheas Georgitzikis,David Cheyns
Type of work: Materials, Devices
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
From imaging to sensing. Develop the next technology in machine vision and computed imagery.
In recent years, there is a significant increase in the integration of infrared image sensors in many
everyday use devices such as smartphones and laptops, driven by the need for biometric sensors
such as face recognition and fingerprint scanners. At the same time, a variety of new applications
such as self‐driving cars, augmented reality (AR), virtual reality (VR) can take advantage of
developments in the infrared imaging technology. Specifically, a growing demand exists for sensing
technologies in the short‐wave‐infrared (SWIR), which relates to wavelengths in the range of 1µm‐
2µm. Nowadays, the available technologies for IR sensors is rather limited. Silicon can only detect in
the near‐infrared range (NIR) with a strong cut‐off after 900 nm and the III‐V semiconductors that are
used for SWIR spectrum are having constraints in terms of throughput, resolution and high cost.
Colloidal quantum dots (QDs) offer an alternative approach in detecting infrared light. Taking
advantage of the quantum confinement, QDs can be tuned to detect different spectra from visible up
to the NIR and SWIR. Furthermore, they can be deposited from solution over large area with low cost
techniques such as spin coating.
The main challenge in this technology is surface chemistry of the QDs, governed by interactions
between the QD and surface ligands. The student will focus on the investigation of different
molecular structures for QD ligands, and their effect on the electrical, optical and morphological
properties of QD films. These films will be used for the fabrication of thin‐film photodiode detectors,
for which a proper energy‐band alignment design and optical design will be crucial for the
achievement of high performance. The student will be involved in the full fabrication and
characterization route, from the ligand exchange and film formation up to the photodiode
performance. He / She will receive training on the relevant processing and characterization tools.
Master Nanoscience and Nanotechnology
After a short introduction to the facilities, an independent investigation is expected with the focus on
short‐term research goals.
Master Nanoscience and Nanotechnology
Thesis Title: Optical simulations for perovskite/c‐Si tandem solar module
Promotor: Jef Poortmans
Faculty/Research Group:
Daily Supervision: Filip Duerinckx
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Help to improve tandem modules, the PV technology of the future!
Photovoltaics (PV) is the fastest growing electrical energy generation source in the world. The
cumulative installed capacity of PV has already surpassed 600 GW by the end of 2019 and the annual
PV production is expected to increase in the years to come, ushering in the global energy transition.
In such exciting times, the main economic driving force in the PV industry is still the reduction of PV
module cost per Watt peak. As the crystalline Si (c‐Si) module costs continue to drop, the balance‐of‐
system (BOS) costs become important. Since the BOS costs scale with installation area, higher
efficiency modules which produce more power per unit area are preferred. Therefore, developing
high‐efficiency cell concepts for the future is of great interest in the R&D world.
The PV industry has been transitioning from Al‐BSF solar cells to PERC solar cells in order to increase
the module efficiencies. The focus of next‐generation solar cell technology development has now
turned towards reducing contact recombination. For this, solar cells with passivated contacts are
being widely investigated, with heterojunction contacts based on amorphous Si probably the best‐
known example. Si heterojunction (SHJ) cells with an interdigitated back‐contact (IBC) architecture
are the most efficient Si solar cells in the world today, with Kaneka breaking the world record
efficiency with its remarkable 26.7% cell [1], getting quite close to the theoretical and practical limit
for single‐junction Si solar cells.
To go beyond the fundamental single‐junction limit, a tandem device architecture, which employs 2
or more absorber materials of complementary bandgaps in a stack, must be adopted to reduce
thermalisation losses and use the solar spectrum more effectively. A wide bandgap perovskite top
cell above a c‐Si bottom cell is one of the emerging tandem solar cell configurations that has
attracted plenty of recent interest, due to its potential of exceeding 30% while combining the
attractive properties of perovskites with the well‐understood technologies for c‐Si. The best
Master Nanoscience and Nanotechnology
monolithic implementation of this material combination was achieved by Oxford PV with an
efficiency of 28% [2].
This master thesis will focus on optical simulations of a complete tandem cell structure at module
level. The aim of the simulations is to maximize the photon absorption in the perovskite and c‐Si
layers by adapting the individual layers. While different configurations are possible, as an example,
this simulated module can be made up from bottom‐to‐top of the following layers: a rear backsheet
(or glass), a rear encapsulant, a rear metallic contact, a transparent conduction oxide (TCO), the Si
bottom cell with its passivating layers on both sides, an index matching layer in between the 2 cells,
the perovskite cell with its hole and electron transport layers, another TCO + local metallic contact,
the front encapsulant layer and finally the top glass. Both 2‐terminal (2T) and 4‐terminal (4T)
approaches will be compared. While the monolithic 2T concept requires matching currents in both
sub‐cells (unlike the 4T tandem cell), it has less stringent conduction requirements for the layers in
between the perovskite layer and Si wafer since there's only vertical current transport. A second
aspect to be investigated is the surface morphology of the Si bottom cell where different
configurations are possible for the front/rear surface: flat/flat or flat/textured or textured/textured.
This will be looked at in combination with the angle of incidence of sunlight onto the module. A final
feature to be looked at in combination with the previous aspects is the effect of 2‐side illumination
for bifacial tandem cells which at first sight seems better suited for a 4T application where no current
matching is required.
The SUNSOLVE simulation package (available from PVLighthouse), the main tool used for this thesis,
allows the distinction between reflection from different interfaces, transmission through the cell and
absorption in all the different layers (both useful absorption in the perovskite and Si as well as
parasitic absorption in the other layers). Besides setting up and executing these simulations, the
work in this master thesis will focus on interpretation of the simulated data to gain understanding of
the optics at work in the module and its sub‐cells. In addition, specific experiments in combination
with optical characterization (spectroscopic ellipsometry, reflection and transmission
measurements,) will be used to respectively set up and validate the simulations. The acquired insight
from this work can then be used to drive future experimental work on perovskite/Si tandem cells.
[1] K. Yamamoto, K. Yoshikawa, H. Uzu, and D. Adachi, "High‐efficiency heterojunction
crystalline Si solar cells," Jpn. J. Appl. Phys., vol. 57, pp. 08RB20‐1, 2018.
[2] https://www.pv‐magazine.com/2018/12/20/tandems‐at‐28/
Master Nanoscience and Nanotechnology
Thesis Title: Detection of Cu contamination from TSV into Si substrate by C‐t measurements
Promotor: Ingrid De Wolf
Faculty/Research Group:
Daily Supervision: Emmanuel Chery, Michele Stucchi
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Cu contamination in 3D silicon chips is a matter of life and death for charge carriers: you will hunt traces of transistor‐killer Cu contamination in silicon chips for 3D integration, by measuring the lifetime‐shortening effect on electron and holes in MO
Stacking silicon chips or integrated circuits, ICs, on top of each other, is one of the new emerging
technologies for increasing the performance and the miniaturization of integrated circuits. Stacking
of chips with the same technology allows for example more transistors per footprint area, as well as
floors in buildings allow more people to live in the same street address. Chips with different
technologies and functions, for example memory and logic, are placed on top of each other to save
space in mobile devices, where the miniaturization is an essential requirement. As for other silicon
chip, these stacked chips must communicate with each other by electrical signals, sent through a
suitable interconnect network.
The fundamental interconnect element of 3D stacked ICs is the TSV, or Through‐Silicon Via. The TSVs
consist of a vertical cylindric conductor, usually copper (Cu), crossing the silicon substrate and
enabling the electrical connection between the frontside and the backside metallizations of a thinned
chip, as illustrated in Fig. 1(a).
The TSV conductor is insulated from the silicon substrate by a liner and a barrier. The liner is a
dielectric layer, usually SiO2, deposited after etching the TSV hole in the silicon. It insulates
electrically the TSV from the silicon substrate. The barrier, on the other hand, is generally made of a
thin conductive material. It prevents the diffusion of copper atoms or ions in the silicon substrate.
Fig. 1(b) shows details of the TSV conductor, the liner, the barrier and the silicon substrate.
Two planar dielectric layers, namely Pre‐Metal Dielectric or PMD and backside or BS passivation,
insulate the top and the backside metallization or RDL, respectively, from the Si substrate.
Master Nanoscience and Nanotechnology
The complete electrical isolation of the TSV Cu conductor from the Si substrate is of vital importance
for the functionality of the 3D stacked IC. Not only the SiO2 layer must be continuous and without
any defect which could create a conductive path to the substrate: the barrier material must also be
without any defect or pinhole to prevent copper ions to diffuse into the oxide and from there into
the silicon substrate, as illustrated in Fig. 1(b).
Indeed, copper is a strong contaminating specie due to its capacity to create highly efficient
recombination centers in the middle of silicon bandgap thus changing transistor electrical
characteristics.
Fig. 1 (a) Schematic representation of a TSV cross‐section, showing the TSV conductor connecting
the lower interconnect level at the frontside to the RDL at the backside of a thinned wafer.
Dimensions are not to scale. (b) Mechanism of Cu contamination from a TSV with a defective barrier:
Cu ions can migrate through a small pinhole into the SiO2 insulator and into the Si substrate, thus
damaging transistors located in proximity of the TSV
A technique potentially able to detect the Cu ion contamination of the Si substrate is based on the
capacitance vs. time characterization, or C‐t, of Metal‐Oxide‐Semiconductor or MOS capacitors. This
technique provides information about the degradation of the minority carrier lifetime in the
substrate side of the MOS, due to the presence of recombination centers generated by the Cu ions
diffused into the Si substrate.
The objective of this thesis is to evaluate experimentally the possibility of the C‐t method to detect
Cu ion contamination from a TSV by measuring the C‐t characteristic of MOS capacitors located in the
proximity of the TSV itself. The experimental work will be based on extraction of the minority carrier
lifetime from C‐t measurements on MOS structures surrounding a TSV damaged by electrical
overstress. In this TSVs, the barrier is damaged, and the Cu contamination action will be enhanced by
a temperature treatment which facilitates the Cu ion diffusion.
Content of the thesis:30% theoretical40% experimental (electrical measurements)30% data analysis
and interpretation
Master Nanoscience and Nanotechnology
Thesis Title: The physics of light‐tip interaction in laser‐assisted Atom Probe Tomography
Promotor: André Vantomme
Faculty/Research Group:
Daily Supervision: Claudia Fleischmann
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Shedding light on the coupling of a laser with a nano‐tip for atomic scale characterization.
The performance of contemporary and future nanomaterials and ‐devices is now tailored by the
structure and chemical composition at the atomic level. In other words: just a few misplaced atoms
can make the difference. Thus, having 3D metrology with close to atomic precision is not only a
dream ‐ it is a requirement!Atom Probe Tomography (APT) emerged as a very promising solution
which can deliver a high spatial 3D resolution (down to a fewångström) and an extremely high
element sensitivity.
Figure: a) Operating principle of (laser‐assisted) Atom Probe Tomography [Vandervorst et al., MSSP
62, 31 (2017)]. B) Left: Scanning electron micrograph of a FinFET transistor shaped into an APT tip.
False‐colored regions indicate the materials. Right: APT reconstruction of SiGe FIN field‐effect
transistor [Melkoyan et al., Ultramicroscopy 179, 100 (2017)].
In a nutshell, APT is based on the concept of controlled field emission of atoms from a needle‐shaped
specimen, in combination with mass (hence element) identification by time‐of‐flight, with the aim to
determine the original location in the evaporated volume of each evaporated atom (see Figure a).
The outcome of such an experiment is a full 3D‐compositional analysis with sub‐nm resolution (see
Figure b). To facilitate the atom‐by‐atom field evaporation in a time resolved manner, voltage pulses
(superimposed on a high standing DC voltage) are used since the conception of the APT in the late
1960’s. This method only works for conducting specimen. The advent of Laser‐assisted Atom Probe
Tomography (LAPT), enabled to perform atomic scale characterization of poorly or non‐conductive
samples such as semiconductors, which attracted great interest from the semiconductor industry. In
LAPT, the field evaporation is triggered by an ultrashort laser pulse.Notwithstanding the recent
experimental successes, the physics of LAPT is still poorly understood [Kelly et al., Cur. Opin. Solid
Master Nanoscience and Nanotechnology
State Mater. Sci. 18, 81 (2014)] and in practice, the analysis suffers from artefacts, which limit the
obtained resolution and sensitivity.
The effect of the laser appears to be mainly a thermal effect. Upon irradiation, the laser energy can
be adsorbed and thus heats up the APT tip, and as such it thermally assists the field evaporation
process. Intriguingly however, field evaporation is even assisted when the bandgap of the material is
(significantly) larger than the photon energy, whereby no light absorption and subsequent heating
should occur normally.It is not excluded that, to some extent, athermal effects play a role as
well[Kelly et al., Cur. Opin. Solid State Mater. Sci. 18, 81 (2014)]. The nanoscale dimensions of the
APT tips, comparable to the wavelengths of the used laser, also make that localization and
confinements effects come into play [Bogdanowicz et al. , Appl. Surf. Sci. 302, 223 (2014)].
Several challenges remain to be surmounted in order to unlock la‐APT’s full potential, in which the
exact nature of the tip‐light interaction plays a key role. To tackle this topic, the candidate has the
possibility to explore and extensive playground, includingexperimental work (tip fabrication, APT
analysis,...), data‐analysis (3D reconstruction and analysis,...) and possibly physical modelling
(theoretical, simulation,...).
Master Nanoscience and Nanotechnology
Thesis Title: Material screening for UV NanoImprint Lithography
Promotor: Jan Genoe
Faculty/Research Group:
Daily Supervision: Tibor Kuna, Cedric Rolin
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Join imec's effort to develop the soft UV nanoimprint lithography technology that aims at the nanofabrication of advanced optical devices.
WorkdescriptionImec's Large‐Area Electronics department is developing thin‐film technologies and
solutions that involve electronic circuits, MEMS, microfluidics, and optical waveguides integrated on
various substrates such as glass, silicon and plastic substrates, for integration into tomorrow's
displays, imagers and biomedical applications. Nano‐Imprint Lithography (NIL) is a patterning
technology that is particularly attractive for micro‐ and nano‐fabrication of electronic and optical
devices on large area flexible substrates. Indeed, this low‐cost, high throughput technique is ideally
suited for the high‐fidelity replication of extremely high‐resolution features with high aspect ratio at
industrial scale. Little effort, however, has been done so far to integrate NIL with other
microfabrication techniques that are common in a CMOS photonic platform. Such effort is desirable
to leverage the potential of NIL for the fabrication of high‐resolution features monolithically
integrated into large area electronics products.
The quality of the NIL process centrally relies on the materials involved: anti‐sticking coatings,
adhesion promoters, working stamp resins and nanoimprint resins. The nature of the materials as
well as the optimization of their coating and curing conditions are paramount to the successful
outcome of the NIL process. As a consequence, the material selection among the wide available array
as well as the systematic screening for the best coating conditions represent an important task to
achieve the highest quality NIL imprints.
We are looking for a Master Thesis student to strengthen the material research for our NIL activities.
After a full training to our cleanroom lab and NIL process, she/he will be involved in the material
screening activities, developing solutions for the high‐resolution imprint of additive resins on Si and
glass substrates. The work at hand will be very experimental and involve the use of various coating
methods (gas priming, spin coating, etc.), the working stamp fabrication from a Master template, the
carrying out of the nanoimprint process (lamination and delamination, UV curing, etc.) and the use of
various characterization methods (including AFM). The student will also be centrally involved in the
Master Nanoscience and Nanotechnology
definition of Design of Experiment and will report her/his results in team meetings as well as in the
final Master Thesis report.
Objective
For imec Increase the knowledge base of materials useful for the NIL process.Better understanding of
the relationship between coating conditions, quality of the nanoimprinted features and stamp
lifetime.
For the candidateLearn fabrication techniques that are relevant in microelectronics.Learn the soft
UV‐NIL patterning process that is a growing technology in nanofabrication.Learn the design of
experiments.Work as a team in a professional research environment.
Skills required
Material science backgroundHandy in the labSelf‐motivated and independent hard worker
Master Nanoscience and Nanotechnology
Thesis Title: Nanotextured surfaces for higher‐efficiency solar cells
Promotor: Jef Poortmans
Faculty/Research Group:
Daily Supervision: Valerie Depauw
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Going beyond conventional approaches of light management for solar cells with nanoimprint lithography.
Crystalline‐silicon (c‐Si) wafer‐based solar cells have always been the photovoltaics industry
workhorse, ensuring most of the PV electricity production worldwide. In 2017, they accounted for
95% of the total module production. Based on an abundant and non‐toxic material that is very
familiar to the semiconductor industry, this technology has progressed at a steady pace. From
around 23% record energy‐conversion efficiency in 1993, to almost 27% in 2019, c‐Si solar cells in the
lab today are getting very close to their theoretical limit. To reach beyond that limit, the most
prominent idea is to add a perovskite‐based cell on top of the silicon‐based cell. Working in tandem,
these two solar cells with complementary bandgaps have the potential to extend and optimize the
possibilities of sunlight absorption and reach beyond 30% for a limited additional cost, provided the
two devices are optimised to function in tandem. For the bottom c‐Si cell, one of the tasks is to
optimize the photon absorption in the Si bottom cell for infrared light, as sunlight is filtered by the
top perovskite cell.
This research topic proposes to go beyond the conventional approaches of light management, that
typically involve random micron‐scale pyramid front‐side textures (left picture) and rear‐side mirrors,
and to explore the effect of texturing the front or rear side of c‐Si cells with periodic nanotextures
(right picture). By scaling down the dimension of the surface texture to the photon wavelength (~
200–1200 nm), one may play with the now‐accessible photonic effects for greater light absorption in
c‐Si. However, other solar cell functions will be influenced, such as surface passivation or the
perovskite cell deposited on top. At imec, such nanotextures have already been integrated in stand‐
alone c‐Si solar cells with nanoimprint lithography, and we propose to further investigate this process
in view of an integration into tandems, either at the front‐side or rear‐side of the cell, or also the
glass cover. The reproducibility and uniformity of the texture, the type of pattern and its effect on
the different layers constituting the cells will be investigated.
Master Nanoscience and Nanotechnology
In this frame, the main tasks will be experimental and performed in the cleanroom lab:
Fabrication of nanotextures by nano‐imprint lithography and etching on silicon and/or glassCoating
of nanotextures by depositing passivating and/or antireflective coatings, or perovskite‐top‐cell
layersCharacterization of the samples (morphological, optical and electrical) to assess their potential.
Master Nanoscience and Nanotechnology
Thesis Title: Radio Frequency Readout of Silicon Quantum Dot Spin Qubits Using Superconductor Resonators
Promotor: Pol Van Dorpe
Faculty/Research Group:
Daily Supervision: Roy Li
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Develop fast readout protocols for measuring silicon spin qubit devices
Quantum computers are expected to tackle many real‐life problems that are unsolvable by classical
computers. In the recent years, great progress has been seen. More and more well‐known
companies, e.g. Microsoft and Intel, have joined this field. Imec is also working on the essential
building block of quantum computers, the qubit. Qubits are short for quantum bits and are similar to
classical bits. Meanwhile, they are coherent quantum two‐level systems, so that we can utilize their
superposition and entanglement to boost computing power.
One of Imec's research focuses on silicon quantum dot qubits. A single electron can be confined in a
quantum dot, and the spin state of that electron forms a natural qubit system. The electron spin
offers long coherence time while the silicon platform could be integrated directly into Imec's industry
manufacturing process.
The aim of this project is to develop fast readout protocols to measure the spin states of the isolated
electrons in quantum dots. The signal of the electron spin is very weak. Therefore, we use a
technique called spin‐to‐charge conversion to map the spin state to charge occupation for readout.
In this project, you will use radio frequency (RF) superconductor resonators to further accelerate the
readout of a single electron charge. You will learn how to operate qubit devices, compare different
resonator designs, and develop methodologies to quantify sensitivity. Experience in RF circuit and
Python programming is preferred.
Master Nanoscience and Nanotechnology
Thesis Title: Wet processing of high aspect ratio nanostructures
Promotor: Stefan De Gendt
Faculty/Research Group:
Daily Supervision: Guy Vereecke
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
explore the impact of nano‐confinement on the properties of water and their impact on physical processes and chemical reactions
In semiconductor manufacturing, new generations of devices have entered the nano‐world, with
critical dimensions of the order of 10 nm. Moreover, new transistor geometries are vertical, with the
generation of 1‐D and 2‐D nano‐confined spaces. While many process steps are still performed using
aqueous chemistries, e.g. wet etching of materials for patterning and wet cleaning of surfaces.
Recent studies have shown that nano‐confinement is affecting all the steps in a wet process from
wetting to chemical reactions, rinsing and drying [1‐5]. Evidences were found for water structuring,
decreased permittivity, modified chemical equilibria and slower diffusivity in nanoconfined volumes.
The observed phenomena are of interest not only for nanoelectronics but also for nanofluidics.
Current activities encompass the wetting of deep nanocontacts such as in 3D‐NAND memories and
advanced logic interconnects, the wet etching of silicon oxide in the insulation module of FinFET, the
wet etching of SiGe films in the making of Silicon nanosheets for advanced transistors, and the
rinsing of deep nanocontacts. ATR‐ FTIR (attenuated total reflection Fourier‐transform IR
spectroscopy) has become a major technique to characterize wetting, chemical reactions and rinsing,
as well as properties of aqueous solutions such as structuring, permittivity and diffusivity. Typically,
the student prepares the ATR crystals (polishing), performs the FTIR tests using a home‐build liquid
cell, as well as the data treatment and interpretation. Kinetic studies are complemented by the
characterization of water structuring in the nanostructures and the determination of the surface
potential by a streaming technique. Progress in the understanding of phenomena are used to
propose and test solutions to the confinement effects. For etching tests, the etch rates on planar
films are determined by ellipsometry, while cross‐section‐SEM (scanning electron microscopy) and
image analysis are used on structures. Here the composition of etch chemistries are modified with
additives to suppress the confinement effects. The student typically performs the wet etching tests,
the ellipsometry measurements, the data treatment of the SEM pictures generated by operators in
Master Nanoscience and Nanotechnology
the pilot‐line, and a kinetic analysis comparing planar to patterned etch rates, leading to new
chemistry proposals. ATR‐FTIR can be used to confirm the impact of additives on water structuring,
or to pre‐select additives for testing.
Several students are welcome to participate into this project. It is open for internship and/or master
thesis.The content of the student project will be adapted depending on the progress of our research.
[1] K. Mawatari et al., Anal.Chem. 86 (2014) 4068‐4077; [2] A. Okuyama et al., Solid State Phenom.
219 (2015) 115‐118; [3] N. Vrancken et al., Langmuir33(2016) 3601‐3609; [4] G. Vereecke et al.,
Microelec. Eng. 200 (2018) 56–61; [5] G. Vereecke et al., Solid State Phenom.282 (2018) 182‐189
Master Nanoscience and Nanotechnology
Thesis Title: Ferroelectric devices for memory applications
Promotor: Jan Van Houdt
Faculty/Research Group:
Daily Supervision: Nicolo Ronchi
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Characterize the ferroelectric properties of capacitors and FET for the best memory performances.
Ferroelectric hafnium oxide (HfO2) attracted a lot of interests since its discovery in 2007. Its
scalability and CMOS compatibility are two advantages over conventional ferroelectric materials,
favoring new device integration. Such material could enable the fabrication of low power devices in
standalone semiconductor manufacturing facilities due to its scalability and CMOS compatibility.
As an intern in memory device team at imec, you will support state‐of‐the‐art ferroelectric
technology development by characterizing and understanding of semiconductor devices. During your
internship, you will have the opportunity to work with device characterization, reliability and
integration engineers.
The candidate should have excellent communication skills, both oral and written; ability to
independently adapt and learn, a background in solid state physics and electronics; knowledge in
software scripting for data elaboration (MATLAB, ...). Previous device characterization experience
constitutes a plus.
Type of work: 70% ferroelectric electrical characterization, 30% understanding.
Position available starting from August/September
Master Nanoscience and Nanotechnology
Thesis Title: An autonomous active microfluidic system with compact off‐the‐shelf components
Promotor: Paul Heremans
Faculty/Research Group:
Daily Supervision: Alexey Podkovskiy, David Cheyns
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology x
Description:
Building a simple microfluidic set‐up with miniature external pumps, valves, sensors and power supply sources
Microfluidics has emerged as a versatile technology for numerous applications in different domains
due to its advantageous features such as a small volume of reagents consumed, shortened reaction
time, and minimal manual intervention. Although microfluidic chips themselves are significantly
small, the functioning of the whole microfluidic system often relies on using external components,
i.e., syringe pumps or pressure controllers, valves, flow sensors, a microscope with high speed
camera etc. These peripheral instruments are rather bulky and expensive. Such a device should
rather be called "chip‐in‐a‐lab" instead of "lab‐on‐a‐chip."
We argue that miniaturization or even in the best case on‐chip integration of these external parts
would revolutionize many areas of people's life by making, for example, laboratory services
accessible for a large number of end‐users.
This multidisciplinary topic tackles different aspects and includes the following steps:literature study
to obtain a state‐of‐the‐art overview of the field,comercial available off‐the‐shelf components
components screeningmicrofluidic circuit design, fabrication and testingfinal device assembly and
caracterization
During the project the student will interact with different experts from fields in electronics,
lifescience and microtechnologies. Hands‐on cleanroom experience and strong proficiency with CAD
software can be expected. In the end, the student should give a presentation and write a
report/thesis.
Master Nanoscience and Nanotechnology
Thesis Title: Toward the control of 2D material transfer
Promotor: Stefan De Gendt, Marc Heyns
Faculty/Research Group:
Daily Supervision: Marie‐Emmanuelle Boulon, Steven Brems
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
2D materials and their exciting properties will be integrated in innovative electronic devices when their transfer from growth substrate to a target will be fully controlled.
Two‐dimensional (2D) materials are promising candidates for integration in future electronic,
(bio)sensing, photonic and even energy applications thanks to their numerous exciting physical
properties like high thermal conductivity, flexibility, tuneable light absorption, superconductivity,
high mobility, etc. Some examples of available 2D materials include transition metal dichalcogenides
(e.g. MoS2, MoSe2, WS2...), graphene, phosphorene, and h‐BN. The ultimate thickness control that
can be reached with these 2D materials is a key parameter to achieve great performance. A low
defect density is also required for incorporating them in electronic devices. Currently, the best
synthetic 2D material quality is achieved with high temperature growth processes (>>700C).
However, this high growth temperature is not compatible with devices, so the 2D layer cannot be
directly deposited at the desired location in a device. Hence, the transfer of the 2D material from the
growth substrate to the target material where to design the devices seems unavoidable. As these 2D
materials are only van der Waals bonded, it is immediately clear that such a pick and place process is
already very challenging per se. But it is not the only challenge to overcome: the ultimate thickness
scaling of these 2D materials also makes them strongly influenced by their surroundings. Not only
they are sensitive to doping induced by surrounding molecules, but they can also be very vulnerable
to oxidation. This makes control over both top and bottom interface of the outmost importance.
Finally, stress/strain need to be carefully controlled due to the flexible nature of these materials. On
the positive side, one could use the sensitivity of these materials to dope them in a controlled way or
even to tune their properties by varying the stress over the layer. In order to obtain this level of
control, we must gain much more fundamental insights in the behaviour of these 2D materials when
handling and laminating them on different materials, and various challenges lie ahead to achieve the
perfectly controlled transfer. For example, the adhesion forces that can be optimised to achieve the
delamination from the growth substrate, but also the lamination on a target, are under investigation.
Since everything in this process occurs at interfaces, experimental research is challenging, and state‐
Master Nanoscience and Nanotechnology
of‐the‐art characterization techniques will have to be used to achieve the necessary level of
understanding. For example, one way to control these interfaces could be heterogeneous 2D
material stacking. The focus of the thesis will be the growth of the 2D layers and their transfer.
Characterization techniques (AFM, SEM, Raman, XRD, XRR...) will be used during the project.
Master Nanoscience and Nanotechnology
Thesis Title: Automatic segmentation and classification of objects at the nanoscale
Promotor: Roel Wuyts
Faculty/Research Group:
Daily Supervision: Dimiter Prodanov
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design x
Nanodevices and nanophysics x
Bionanotechnology
Description:
Learn how to segment and classify nanoparticles using Machine Learning
Nanostructured objects represent increasingly important products of nanotechnologies having a
wide range ofindustrialapplications intextiles, medical imaging, automotive, semiconductors etc.
Such objects contain structures smaller than 100 nm in at least one dimension. Estimation of shape
and size of such objects is important in the context of safe by design. The project aims to develop
objective and automatic approaches of image segmentation and classification of nanoparticles. The
aim of the present project will be twofold:
‐ to train the system classifier using images of nanoparticles
‐ to design a database for metadata storage and retrieval
The student will benefit from the existing expertise in image and morphological analysis in the group
and is expected to develop an approach based on a combination of machine learning and image
analysis approaches. The student will extend an already existing platform developed in collaboration
with a team in the Zuse Institute Berlin, called Active Segmentation. Theplatformisbased on ImageJ
andallows researchers,who are not experts in image segmentation, to use advanced filtering and
machine‐learning techniques for object segmentation and classification. The users train the system
on examples using only their domain‐specific knowledge of the subject.
The candidate
Required skills: Experience with Java
Desired skills: experience with machine learning platforms
Master Nanoscience and Nanotechnology
Thesis Title: Investigation of Ga doping behavior during low temperature epitaxy
Promotor: Stefan De Gendt, André Vantomme
Faculty/Research Group:
Daily Supervision: Clement Porret, Andriy Hikavyy
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Next MOS devices need an increase in active doping concentration in the Source/Drain layers. Adding Ga to boron doped SiGe might do the job.
Epitaxial growth of group IV materials is a well‐known process, used at many different steps during
the production of a wide range of semiconductor devices ranging from lasers to MOSFETs. Epitaxial Si
is a base for the production of practically every chip. SiGe is responsible for success of both high
frequency BICMOS and highly scaled CMOS transistors.
At present, most of the major semiconductor players produce chips on the fin FET technology at the
14‐10 nm technology node with 7 nm beeing around the corner. It is also often considered that
devices at 7 and 5 nm or even smaller nodes will be based on alternative group IV semiconductors
(SiGe, Ge). SiGe and Ge, contrary to Si allow to improve electrical characteristics of pMOS transistors
due to higher intrinsic holes mobility.
Although conventional growth of group IV materials on Si is well known, new device architectures
(finFETs, gate all around FETs, nanowire FETs, etc) impose very stringent requirements on
composition, doping, thermal budget, etc. In many cases epitaxial material has to be grown at
temperatures which are too low for conventional precursors used in the semiconductor industry
(silane, dichlorosilane, germane) resulting in very low deposition rates. Increase of temperature
often leads to changed devices geometry and loss of performance.
In order to solve the problems mentioned above, high order germanes and silanes receive lately
considerable attention. Non selective processes based on such precursors have been developed and
are used for the production of nanowire FinFET devices, electro absorption modulators and memory
devices. The next step is to investigate possibilities for doping and growth selectivity in order to
develop processes suitable for application on patterned wafers.
Master Nanoscience and Nanotechnology
The aim of this work will be to study the epitaxial growth of SiGe:Ga using advanced precursors for
epitaxy and Ga as a new doping element. The main focus will concern the growth kinetics, structural
and electrical properties of the obtained layers.
The candidate is expected to:focus on the epitaxial growth aspects and study the physics and
chemistry involved in the CVD of group IV materials using high‐order silanes and
germanes;investigate epitaxial material properties, characterize defects and study their electrical
activity;learn and master characterization techniques such as X‐ray diffraction, micro 4‐point probe,
SEM,SIMS, etc.
Master Nanoscience and Nanotechnology
Thesis Title: Fabrication and evaluation of advanced tip sensors for scanning probe microscopy
Promotor: Wilfried Vandervorst
Faculty/Research Group:
Daily Supervision: Thomas Hantschel
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
You fabricate and evaluate a novel type of tip sensor for tomorrow's nanoelectronics chip characterization.
Scanning probe microscopy (SPM) has become an important asset to measure a wide variety of
physical properties (e.g. mechanical, electrical, magnetic) of nanoelectronics devices at the
nanometer scale. A micrometer‐sized tip sensor is a crucial part for an SPM system. Imec pioneered
the development of dedicated tip sensors consisting of sharp diamond tips integrated into metallic
beam structures which enable the electrical characterization of device structures with nanometer
precision and resolution. Recently, we developed a promising new SPM approach which requires
different kind of tip sensors. Therefore, this internship/master thesis is focused on the fabrication
and evaluation of novel micrometer‐scale tip sensors.
For this, the student will design the required lithography masks, perform diamond growth by
chemical vapor deposition (CVD), carry out the fabrication steps for tips and probes, and assess the
performance of the fabricated probes by SPM.
For this topic, the student will work inside a cleanroom and lab environment to carry out the
required experimental steps. He/She will characterize the fabricated tip sensors using SPM. The
student will be part of imec’s materials and component and analysis group.
Master Nanoscience and Nanotechnology
Thesis Title: Epitaxial SiGe source/drain growth studies on different Si surfaces in view of nanosheet devices
Promotor: Stefan De Gendt, André Vantomme
Faculty/Research Group:
Daily Supervision: Andriy Hikavyy, Roger Loo, Clement Porret
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
The new devices for advanced technological nodes set special challenges on the conditions used for the epitaxy of the Source/Drain (S/D) contact layers which will be investigated during this work.
Nanosheet and nanowire‐based MOSFET devices (also called Gate‐All‐Around or GAA MOSFET)
provide an optimal electrostatic control of carriers in the channel. They are expected to extend
transistor scaling beyond the FinFET limits. GAA designs take advantage of a process flow relatively
comparable to that of FinFETs. Vertical stacking of GAA channels allows to maximize the drive current
for a given footprint on the wafer. However, these devices do not provide benefits in scaling the cell
height. As an alternative,novel vertically stacked lateral nanosheet devices such as Complementary
FET (CFETs) have been proposed. The new devices set special challenges on the conditions used for
the epitaxy of the Source/Drain (S/D) contact layers. The S/D must be initiated on the nanosheet
sidewalls. The growth then proceeds laterally instead of the common vertical [001] direction, which
modifies the growth behaviors and the final layer properties.
Imec reported breakthroughs in the low temperature epitaxy of various SiGe materials. The epilayers
are grown by Reduced‐Pressure Chemical Vapor Deposition (RP‐CVD) with novel Si and Ge
precursors. This enables far‐from‐thermodynamic‐equilibrium epitaxial growth, leading to higher
active S/D doping concentrations at reduced thermal budgets. High levels of active doping are
needed to reduce the contact resistance to the devices and improve their performance. In the
current project, the candidate will study differences in epitaxial growth behaviors linked to modified
device architectures. The orientation of the starting surface affects the growth rate, the final material
composition and doping concentration. A special mask is available for this assessment. The final
process evaluation will be done on real device structures with scaled dimensions.
Master Nanoscience and Nanotechnology
Thesis Title: Area‐selective deposition for bottom‐up patterning schemes
Promotor: : Stefan De Gendt
Faculty/Research Group:
Daily Supervision: Silvia Armini
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Bridging research with industrially‐relevant challenges
The research line proposed in this stage proposal is focused on the area‐selective deposition (ASD)
concept, where the growth of the desired dielectric material is confined to a pre‐patterned area
while inhibited on the remaining surface. On one hand, Atomic Layer Deposition (ALD) is a method to
deposit thin films by a self‐limited surface adsorption of gas‐phase precursors and surface reactions
between adsorbed precursors and reactants molecules, allowing a tight control of the deposited
material thickness and quality at the atomic scale. On the other hand, the deposition of
monomolecular organic films (such as self‐assembled monolayers, SAMs) or functionalized polymeric
layers is a simple and versatile method to control surface design and selectivity. The SAM or
polymeric films act as ALD inhibition layers that can prevent deposition in certain surface areas,
enabling area selective deposition. Two SAM deposition methods will be explored, i.e. from organic
solvents (dip‐coating and spin‐coating) or from vapour phase (in a CVD‐like reactor). The density and
thermo‐chemical stability of the organic inhibition layer is of paramount importance in order to
withstand the ALD conditions, such as thermal budget, precursor type and dose, gas flow and
pulse/purge duration. Two main mechanisms of selectivity loss might be attributed to unwanted
interaction mechanisms between SAMs and ALD: i) channeling of the ALD precursors through the
SAM molecular units (if not dense enough), ii) modification of the SAM terminal group exposed to
the surface. On polymeric films, the loss of selectivity might be attributed to surface energy/polarity
modification. On the other side, the ALD deposition on the target areas might be "poisoned" by
unwanted organic residues. The advanced characterization of the nano‐scale organic and inorganic
films both on blanket areas and in confined dimensions will be part of the generated learning and will
benefit of the extensive expertise already present in Imec. Defect generation mechanism and
quantification will be tackled. The final goals of this project are i) to generate the scientific
understanding needed to design area selective deposition approaches for patterning applications in
nano‐electronic device fabrication based on both SAM/polymeric films and ALD; ii) to transfer the
Master Nanoscience and Nanotechnology
learning from blanket surfaces to relevant nano‐scale patterns taking into account pattern profile
and transition regions between growth and no‐growth areas.
In our research program on area selective deposition we leverage Imec's 300mm pilot line and
advanced node technologies to gain access to materials and patterned structures with dimensions in
the sub‐10 nm regime in order to enable industrially relevant innovation
Master Nanoscience and Nanotechnology
Thesis Title: Study on voltage‐controlled magnetic anisotropy (VCMA) for high‐speed and low‐power memory application
Promotor: Guido Groeseneken
Faculty/Research Group:
Daily Supervision: Woojin Kim
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Join the state of the art spintronic memory device research in imec
Magnetic random access memory (MRAM) has various advantages to serve as next generation non‐
volatile memory application. Currently, information '1' and '0' are stored by changing the magnetic
states are processed by spin transfer torque (STT) effect. It requires large current injection, hence
large writing energy. Such energy consumption can be potentially reduced by several orders of
magnitude with (the assistance of) Voltage Control of Magnetic Anisotropy (VCMA) effect, a recent
discovered magneto‐electric effect [1].
In a simple system for research, the thin film stack has a MgO/Ferromagnet(FM)/Heavy Metal(HM)
tri‐layers structure. The MgO/FM interface induces interfacial perpendicular magnetic anisotropy
(iPMA) through orbitals hybridization, which provides the energy barrier for data storage. When a
voltage is applied across MgO, the electron occupation at the interface is modified and the strength
of iPMA is changed, so‐called VCMA effect. VCMA coefficient is defined to estimate the amount of
change in iPMA by the applied electric field through the MgO barrier. It should be improved
significantly for practical application, to induce VCMA‐induced switching while maintaining the
sufficient data retention. Material exploration can go in the two different ways; materials adaptable
to the MgO‐based magnetic tunnel junction (MTJ) practical for application, and those completely
new and not yet applicable to MTJs.
In this topic, student will:Explore the materials to enhance VCMA coefficientAdaptable within
MgO\CoFeB MTJ stack ‐ characterization with Hall bar structure (Anomalous Hall Effect) and
nanoscale patterned MTJ deviceNew dielectric and ferromagnetic materials to form the interface ‐
characterization with Hall bar structure (Anomalous Hall Effect)
[1] T. Maruyama et al., "Large voltage‐induced magnetic anisotropy change in a few atomic layers of
iron." Nature Nanotechnology, vol 4, 2009.
Master Nanoscience and Nanotechnology
Thesis Title: New sensitive opto‐mechanical technology and ultrasound sensors
Promotor: Liesbet Lagae
Faculty/Research Group:
Daily Supervision: Wouter Westerveld
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology x
Description:
We are looking forward to exciting physics and applications of CMOS opto‐mechanical devices!
Imec is developing an extremely efficient opto‐mechanical photonic chip technology for application
as ultrasound sensor to enable future photo‐acoustic‐imaging microsystems. The objective of this
MSc thesis is to develop a physical model for this sensor including photonics, mechanics, acoustics,
and non‐linear effects. This includes significant theoretical work as well as experiments. It is expected
to reveal interesting physics as well as potential new applications of this opto‐mechanical
technology.
The candidate is expected to have at knowledge about classical (cavity) opto‐mechanics,
optics/photonics and mechanics, or the ability to quickly learn and understand these topics. The
candidate is expected to quickly grasp a complex physical system, model devices using analytical
equations and/or numerical tools, and work towards the understanding of non‐trivial experimental
results.
During this internship, you will work with new opto‐mechanical technology fabricated in CMOS
technology. Devices are readily fabricated in Imec’s CMOS pilot‐line on 200 mm wafers. Our
laboratory is equipped with high‐end photonic and micro‐mechanic characterization equipment,
which you will use for your experiments.
We are looking forward to exciting physics and applications of CMOS opto‐mechanical devices!
Master Nanoscience and Nanotechnology
Thesis Title: Electron yield of photoresist materials for next generation lithography
Promotor: Stefan De Gendt
Faculty/Research Group:
Daily Supervision: Ivan Pollentier, Roberto Fallica
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Shedding light on electronic processes occurring in photoresists during exposure to extreme ultraviolet lithography (EUV).
The electron yield is a key parameter because it quantifies the magnitude of electronic processes
occurring in photoresists during exposure to extreme ultraviolet (EUV) light. Contrary to previous
technologies, EUV lithography is triggered by a large cascade of low energy electrons generated by
few primary photoelectrons. It is believed that photoresists with high electron yield are more
efficient in generating the secondary electron cascade, which could lead to higher sensitivity, lower
dose and increased throughput. However, there is not yet enough experimental evidence to confirm
this hypothesis. For this reason, at imec we are exploring state‐of‐the‐art photoresists using a
dedicated tool for photoemission in the extreme ultraviolet wavelength (13.5 nm). Our study mainly
films of << 30 nm thickness to enable the fabrication of next generation integrated circuits at single‐
digit technology nodes (< 10 nm) and meet needs of industry and advance science. The main
challenge of photoelectron yield measurement lies in the undesired effects introduced by, for
example, surface conditions and charging, in addition to the chemical modifications induced in the
material during exposure to the beam itself. On the other hand, this latter feature opens new
opportunities to track the chemical changes happening in the photoresist during exposure to the EUV
beam “in situ”. In the framework of this project, the trainee will develop the instrumentation needed
to measure electron yield and understand how secondary electron cascade evolves in photoresists of
different composition and chemistry. She/he will also interpret the experimental data using physical
modeling of the electronic structure of the materials involved in the conduction‐emission from the
surface of the thin film. The outcome of this work is relevant not only for EUV but for all next
generation nano‐fabrication methods which will be based on electron‐mediated exposure, such as
electron‐beam lithography.
Master Nanoscience and Nanotechnology
Thesis Title: Optical spectroscopy of 2D materials at the nanoscale using Tip‐Enhanced Raman
Spectroscopy
Promotor: Stefan De Gendt
Faculty/Research Group:
Daily Supervision: Thomas Nuytten
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Deep‐dive into the nanoscale chemical properties of 2D materials with this unique nano‐version of vibrational spectroscopy.
Thanks to unique physical and electrical properties (atomically thin, stretchable and strong with
configurable electronic bandgap,...) there is great interest in the development of two‐dimensional
(2D) transition metal dichalcogenides (MX2) such as MoS2, WS2, MoSe2, and WSe2. These promising
materials consist of van der Waals‐bonded nanosheets much like graphene, and their properties
depend greatly on the material quality and thickness (number of layers). At imec, a variety of routes
is explored to reliably fabricate MX2 devices on a large scale, and this research requires fast and high‐
quality metrology to support and improve the processing, with Raman spectroscopy being one of the
essential techniques. This non‐destructive microscopy technique relies on the excitation and
detection of vibrational energy modes at characteristic frequencies enabling immediate identification
and assessment of the sample under study, as all MX2 materials have signature Raman spectra that
are well documented. At the same time, the dimensions of these devices and the length scales
related to the phenomena of interest are usually incompatible with the relatively large, diffraction‐
limited, probe area of micro‐Raman spectroscopy. This challenge can be tackled by using Tip‐
Enhanced Raman Spectroscopy (TERS) which is a clever coupling between Raman and Scanning Probe
Microscopy to translate the versatility of Raman spectroscopy into nanometer‐sized spatial
resolutions.
In this internship, we start off by familiarizing ourselves with the material and field of research,
including hands‐on training on imec's fully equipped TERS instrument. Next, the student will actively
participate in the characterization of state‐of‐the‐art MX2 devices, and the systematic interpretation
of the resulting nano‐Raman spectra. Eventually, crucial insight will be obtained into the parameters
that determine ultimate device performance like local defects, charge carrier distributions, doping,
material (in)homogeneity and many more.
Master Nanoscience and Nanotechnology
Thesis Title: Photo‐acoustic imaging algorithm for new ultra‐sensitive opto‐mechanical ultrasound
sensor
Promotor: Liesbet Lagae
Faculty/Research Group:
Daily Supervision: Wouter Westerveld
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology x
Description:
We are looking forward to accurate and beautiful images!
Imec is developing an extremely efficient opto‐mechanical photonic chip technology for application
as ultrasound sensor to enable future photo‐acoustic‐imaging microsystems. The objective of this
MSc Thesis is to develop new photo‐acoustic imaging algorithms tailored to this sensor.
Photo‐acoustic imaging (also called opto‐acoustic imaging) is the youngest and the most rapidly
advancing modality in medical imaging (see e.g. L.V. Wang, and J. Yao, ‘A practical guide to
photoacoustic tomography in the life sciences’, Nature Methods, 13(8), pp. 627–638, 2016; or
https://youtu.be/Y3IU9‐Q8qaY). This hybrid technology combines rich optical contrast with
ultrasonic resolution deep in body tissue. To create a photo‐acoustic image, pulses of laser light are
shone onto body tissue where the photons are absorbed by tissue and partially converted into heat.
The harmless heat‐induced expansion emits an ultrasonic pressure wave that is picked up at the skull
by ultrasound sensors. Like optical microscopy, chromophores absorb specific optical frequencies so
that different types of molecule can be distinguished. Unlike optical microscopy, photo‐acoustic
imaging depth is not limited by optical diffusion because the ultrasonic waves are much less distorted
by tissue.
At Imec we developed new ultra‐sensitive opto‐mechanical ultrasound sensors with ultrasound
characteristics (e.g. bandwidth) different from the traditional piezo‐electric ultrasound sensors.
Therefore, imaging algorithms need to be developed especially for this new sensor. In this MSc
thesis, you will study existing ultrasonic / photo‐acoustic imaging algorithms, study the behavior of
this new ultrasound sensor, and develop imaging algorithms especially for these new sensors. You
will work with an experimental researcher who will provide you with measured data to directly test
your algorithm on experimental results. We are looking forward to accurate and beautiful images!
(Canon)
Master Nanoscience and Nanotechnology
References:
Wang, L. V. and Yao, J. (2016) ‘A practical guide to photoacoustic tomography in the life sciences’,
Nature Methods, 13(8), pp. 627–638.
Leinders, S. M. et al.(2015) ‘A sensitive optical micro‐machined ultrasound sensor (OMUS) based on a
silicon photonic ring resonator on an acoustical membrane’, Scientific Reports, 5(c), p. 1417744.
M. Matsumoto et. al. (2018), Label‐free photoacoustic imaging of human palmar vessels: a structural
morphological analysis, Scientific Reports 8, article number 786 (2018).
Master Nanoscience and Nanotechnology
Thesis Title: Characterization of an Optical Microphone
Promotor: Liesbet Lagae
Faculty/Research Group:
Daily Supervision: Wouter Westerveld, Veronique Rochus, Roelof Jansen
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology x
Description:
Characterize new generation of ultra sensitive optical microphones
Microphones have been present in a wide range of applications in the past decades. They are present
in all consumer electronics such as mobile phones and laptops but are also important for other
applications like hearing aids, the automotive industry and aerospace technologies. On‐chip micro‐
electro‐mechanical systems (MEMS) offer extremely small and cost‐effective devices.
Simultaneously, optical sensors have demonstrated high sensitivity and offer remote readout, but for
a less integrated system. Micro‐opto‐mechanical sensors offer the advantages of both worlds, with
prospects of high sensitivity, small footprint, and on‐chip passive optical multiplexing.
Recently Imec developed a novel integrated Micro‐Opto‐Mechanical Pressure Sensor that exhibits an
excellent measurement precision in a large pressure range. This sensor combines a mechanical
micro‐membrane with silicon photonics technology. The sensor uses integrated photonic (on‐chip)
interferometers to measure real‐time the deflection of the membrane. In fact, a innovative
combination of multiple interferometers is used to achieve both a large measurement range as well
as a high sensitivity. First experimental evidence shows that a similar could be used as sensitive
microphone (Figure 2). However, the full acoustic performance was never evaluated, and the read‐
out system was not optimal.
The goal of this master thesis is to characterize new optical microphones. The sensitivity, frequency
bandwidth and the SNR will be carefully characterized. This includes designing a building a new setup
in our laboratory, including state‐of‐the‐art lasers and read‐out electronics for the optical sensor
interrogation.
Master Nanoscience and Nanotechnology
Thesis Title: Spintronic devices based on spin waves for beyond CMOS applications
Promotor: Marc Heyns, Bart Soree
Faculty/Research Group:
Daily Supervision: Florin Ciubotaru, Christoph Adelmann
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Explore and evaluate spintronic devices based on spin waves for computing architectures
Spintronic devices are considered very promising candidates to complement CMOS technology to
further improve their capabilities as well as to enable additional functionalities as non‐volatility or
reconfigurability of logic circuits. Concepts based on nano‐magnetic elements, domain walls and spin
waves have been proposed for both Boolean and non‐Boolean logic operations.
Devices based on spin waves have high potential for power and area reduction per computing
throughput. The information can be encoded in either the amplitude or the phase of the wave, while
the logic operation is based on the interference of spin waves, which is a keystone for the realization
of logic gates. To be competitive with actual CMOS technology the spin wave devices need to tackle
waves with wavelengths below 100 nm and a miniaturization down to the nanoscale. Thus, the
understanding of the properties of spin waves as their generation and propagation, the phase control
and the interference mechanism at the nano‐scale are of fundamental importance for the realization
of the logic gates.
Within this thesis, the studentwill participate to the fabrication of spin‐wave devices based on
different magnetic materials, from micro‐ down to the nanoscale and will contribute to their
characterization in the microwave frequency range leading to important properties as spin wave
dispersion relation, their damping and their propagation characteristics in the linear and non‐linear
regimes, including a phase analysis. The results will be an important step to understand the emission,
the routing and the combination/interference of spin waves to continue the assessment of the
concept devices as alternatives or complements to CMOS transistors in future technology nodes. The
experimental work will be performed in close collaboration with modeling activities (materials,
devices, circuits) in the spintronics group at imec. The student should have a strong interest in
nanofabrication in a cleanroom environment as well as in leading edge research topics on magnetism
and magnetic materials.
Master Nanoscience and Nanotechnology
Thesis Title: Modeling of magnetoelectric effect for advanced spintronic applications
Promotor: Marc Heyns, Bart Soree
Faculty/Research Group:
Daily Supervision: Florin Ciubotaru, Christoph Adelmann
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Evaluate the magnetoelectric coupling to magnetic textures for low‐power spintronic devices
Spintronics is a novel field of electronics that uses the spin of electrons or the magnetization of thin
films instead of charge in memory or logic computation devices. A key issue of spintronics is the
energy‐efficient control of the magnetization in such devices. Current device concepts are often
based on the control of the magnetization by currents, for example via generated magnetic fields or
recently discovered effects, such as spin‐transfer torque or spin‐orbit torque. However, such
techniques are typically not very energy‐efficient and it would be very desirable to control the
magnetization by electric fields instead. In principle, this can be done by the magnetoelectric effect,
which couples electric fields to the magnetization. This effect is currently strongly considered to be
included in future generations of low‐power spintronic devices.
Magnetoelectric effects naturally occur in multiferroic materials but much stronger strain‐induced
magnetoelectric coupling can be observed in composite materials consisting of piezoelectric and
magnetostrictive materials. The application in spintronic devices requires a detailed understanding of
the effects of the geometry (e.g. the relative directions of the electric field and the magnetization) as
well as thermal fluctuations on the magnetization dynamics. In this thesis, the student will perform
micromagnetic simulations to study the magnetoelectric coupling in different geometries and
different material systems. The goal of the thesis is to develop efficient strategies to excite, control,
and detect magnetization dynamics (including magnetization switching, interaction with magnetic
domain walls and spin waves) by the magnetoelectric effect and transfer them to a magnetic
waveguide. The work will be in close collaboration with experimentalists working on integration of
magnetoelectrics into spintronic devices for beyond CMOS logic.
Master Nanoscience and Nanotechnology
Thesis Title: Magnetoelectric devices for beyond CMOS applications
Promotor: Marc Heyns, Bart Soree
Faculty/Research Group:
Daily Supervision: Florin Ciubotaru, Christoph Adelmann
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Explore and evaluate the efficiency of the magnetoelectric effect in piezoelectric/magnetic devices
Among the technologies that could potentially represent a paradigm shift with respect to CMOS
technology, spintronic computation presents several advantages to achieve area and power
reduction. The possibility to perform multifrequency processing and the non‐volatility of the
magnetic materials could provide new functionalities to circuit designers for various applications.
However, a major limitation for the realization of spintronic devices is the lack of a scalable and
energy efficient transducer. A promising route for both low energy and an efficient control of the
magnetization is the usage of the magnetoelectric effect, which couples electric fields to the
magnetization. The magnetoelectric transducer consists of piezoelectric‐magnetostrictive
compounds (e.g. bilayers), in which the coupling between the electric and the spin domain occurs via
strain. The strain induced in the piezoelectric layer by the applied electric field is transferred to the
magnetostrictive film that in turn changes its magnetic state. The application in spintronic devices
requires a detailed understanding of the coupling mechanism as well as the development of material
systems to enhance the coupling efficiency.
Within the thesis, the student will develop magnetoelectric devices based on different
piezoelectric/magnetic systems and will characterize the magnetoelectric coupling in both static (DC)
and radio‐frequency regime. The thesis will range from material‐oriented activities, such as the
deposition and characterization of magnetoelectric compounds, device processing at imec's
nanofabrication facilities, as well as advanced electrical characterization. This will allow to assess the
potential of magnetoelectric devices for advanced spintronic applications, such as spin wave logic or
magnetoelectric memories. The experimental work will be supported by modeling activities
(materials, devices, circuits) in the spintronics group at imec. The student should have a strong
interest in nanofabrication in a cleanroom environment as well as in leading edge research topics on
magnetism and magnetic materials
Master Nanoscience and Nanotechnology
Thesis Title: High resolution fluorescence imaging using photonic integrated circuits
Promotor: Pol Van Dorpe
Faculty/Research Group:
Daily Supervision: Niels Verellen, Qingzhong Deng
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Fluorescent microscopy is an indispensable tool in biology and medicine that has fueled many
breakthroughs in a wide set of sub‐domains. Recently the world of microscopy has witnessed a true
revolution in terms of increased resolution of fluorescent imaging techniques, even resulting a Nobel
Prize in 2014. High resolution microscopy is also responsible for the spectacular cost reduction of
DNA sequencing during the last decade. The next big push in microscopy with a large societal
impact will come from extremely compact and robust optical systems that will make high‐resolution
(fluorescence) microscopy highly accessible, enabling both cellular diagnostics at the point of care
and the development of compact, cost‐effective DNA sequencing instruments, facilitating early
diagnosis of cancer and other genomic disorders. Our team is developing a novel high‐resolution
imaging platform, based on only a single chip, that will completely eliminate the necessity of
standard free‐space optical components. This new concept will provide imaging beyond the
resolution limit set by the sensor pixel size and the point spread function of an extremely compact
microscopy system. In this master thesis topic, the student will contribute to the study of a novel
high‐resolution imaging concept based entirely on an intimate marriage of active on‐chip photonics
and CMOS image sensors. A suitable candidate has a keen interest in experimental work, data
analysis, and simulations. The main research objectives are: ‐ Super‐resolution microscopy of
structured illumination patterns generated by the new microscopy chips ‐ Experimentally reveal the
achievable resolution of the full system and the interdependencies with e.g. speed and field of view
size ‐ Reproduce and validate experimental results with a system model and simulations
Master Nanoscience and Nanotechnology
Thesis Title: From electrochemical DNA synthesis towards its application in biomedical diagnostics
– DNA chips for spatial transcriptomics
Promotor: Liesbet Lagae
Faculty/Research Group:
Daily Supervision: Kathrin Hoelz, Karolien Jans, Tim Stakenborg
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology x
Description:
DNA chips for spatial transcriptomics
Understanding the organization of cells in tissues, being able to differentiate between certain cell
types as well as getting insights into their health status are key elements in biomedical research.
While conventional procedures for analyzing tissue samples are solely based on visual examinations,
leading therefore potentially to false conclusions due to morphological artifacts, spatial
transcriptomics analysis allows to obtain genomic information of the cells together with their spatial
origin.
Spatial transcriptomics analysis relies on the use of DNA microarrays of spatially barcoded reverse
transcription primers to capture cellular mRNA from tissue slices placed on top of the DNA
microarray. Even though various techniques exist to fabricate DNA microarrays, electrochemical DNA
synthesis allows for exceptionally high feature density, resolution and throughput.
The proposed master’s thesis topic focusses on the electrochemical DNA synthesis and
characterization for spatial transcriptomic analysis. The candidate will encounter a wide range of
experimental techniques, including electrochemistry, surface functionalization, fluorescence
microscopy and reverse transcription assays.
We are looking for a highly motivated candidate that has an excellent knowledge of biochemistry,
and a strong interest in nanotechnology. As the student will be working in a highly international
environment, a good command of the English language (spoken and written) is recommended. Due
to the topic's highly interdisciplinary nature, we strongly advise the student to discuss the project
with the supervisors prior to their application.
For further information and application, please contact Kathrin Hölz ([email protected]),
Karolien Jans ([email protected]) and Tim Stakenborg ([email protected]).
Master Nanoscience and Nanotechnology
Thesis Title: Electrical characterization and reliability of Si Photonics devices
Promotor: Ingrid De Wolf
Faculty/Research Group:
Daily Supervision: Artemisia Tsiara
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Step into the new era of optical interconnection devices, the disruptive technology that enables future‐generation data communications.
The industry’s need to continue the scaling of commercial electronics and, also, increase the data
transmission rates has led the research towards Silicon Photonics. This disruptive technology aims to
revolutionize many application areas such as data centers, imaging and sensing using the, already
acquired, CMOS Si knowledge.
The above figure [1] shows the building blocks that are included on a silicon photonics chip. First, an
on‐chip laser source is necessary to provide the pumping mechanism. Recently, the research interest
has turned into the III‐V group of materials that has been widely used in commercial laser devices.
Then, to transfer the data in the form of optical signals we need a modulator: Si ring, Si Mach‐
Zehnder and GeSi electro‐absorption modulators. At the receiver end, in order to detect light and
convert it back into electronic signals, Ge p‐i‐n photodetectors and Ge avalanche photodetectors are
in charge.
However, along with the advancements in the semiconductor industry, additional reliability issues
have emerged. Defects induced by the fabrication process or the ageing of the device under stress,
can lead to the degradation of the device’s characteristics.
The objective of this work is to contribute on the electrical characterization and the ongoing
reliability studies on wafer and package level, of the aforementioned components developed at
imec’s Si Photonics platform, towards the design and fabrication of a more robust and reliable
technology.
Content of the thesis:30% theoretical40% experimental (electrical measurements)30% data analysis
and interpretation
[1] Ali Okey, Thesis, SI‐GE Photodetection Technologies for integrated optoelectronics, Stanford
University, 2007
Master Nanoscience and Nanotechnology
Thesis Title: How to reliably asses the reliability of interconnects?
Promotor: Ingrid De Wolf
Faculty/Research Group:
Daily Supervision: Kristof Croes
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Challenging the good marriage between classical and new electromigration test methodologies.
Electromigration is a key reliability concern for advanced on‐chip interconnects. Industry spends a lot
of time and money to measure the impact of process variations on electromigration and to
guarantee electromigration lifetime requirements. In recent years, imec and KUL developed a fast
electromigration characterization method using low‐frequency noise measurements and managed to
establish a good link between the classical measurement methods and the newly developed one.
This topic will built further on this work by extending our data base to a broader set of devices and
test structures. This will allow to understand the application/limitation of the developed
methodology which makes the visibility of this topic high both for academia and industry.
Master Nanoscience and Nanotechnology
Thesis Title: Transparent conductive oxide (TCO) and nc‐Si thin film development for use in 2‐terminal Si heterojunction‐perovskite tandem solar cells
Promotor: Jef Poortmans
Faculty/Research Group:
Daily Supervision: Hariharsudan Sivaramakrishnan Radhakrishnan
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Developing a "skin" that "sweats" charge carriers for high‐efficiency solar cells
Photovoltaics (PV) is the fastest growing electrical energy generation source in the world and has
indeed a “bright” future. The cumulative installed capacity of PV in the world has already surpassed
600 GW by 2019. The main driving forces in the PV world have always been the reduction in module
cost and the increase in the module efficiencies. Continued development of high‐efficiency cell
concepts for the future is of great interest in the R&D world. The PV industry has been transitioning
from Al‐BSF solar cells to PERC solar cells in order to increase the module efficiencies. The focus of
next‐generation solar cell technology development has turned towards reducing contact
recombination. For this, solar cells with passivated contacts are being widely investigated, with
heterojunction contacts based on amorphous Si (a‐Si) probably the best known example. With this
technology and a back‐contacted cell architecture, Kaneka broke the world record efficiency for
single junction Si solar cells with its remarkable 26.7% cell [1], getting quite close to the theoretical
and practical limit for single‐junction Si solar cells. To go beyond the fundamental single‐junction
limit, a tandem device architecture, which employs 2 or more absorber materials of complementary
bandgaps in a stack, must be adopted to reduce thermalisation losses and use the solar spectrum
more effectively. A wide bandgap perovskite top cell above a c‐Si bottom cell is one of the emerging
tandem solar cell configurations that has attracted plenty of recent interest, due to its potential of
exceeding 30% while combining the attractive properties of perovskites with the well‐understood
technologies for c‐Si. The best monolithic implementation of this material combination was very
recently achieved this year by HZB with an efficiency of 29.15% [2]. Imec is also involved in the
development of such 2 terminal tandem cells with a Si heterojunction (SHJ) bottom cell and a
perovskite top cell. For the SHJ bottom cells, a thin film stack consisting of (1) intrinsic a‐Si, (2) doped
a‐Si or nano‐crystalline silicon (nc‐Si) and (3) TCO forms the “skin” that not only passivates the solar
wafer surfaces but is also responsible for efficient charge carrier transport, which are both crucial
towards achieving high efficiencies. In addition, a recombination layer or tunnel junction is needed in
Master Nanoscience and Nanotechnology
between the two tandem cells. This is usually achieved by using a TCO layer or n+/p+ nc‐Si junction.
Thus, as part of this thesis, the student will focus on developing TCO layers (such as ITO, AZO, IZO)
and n‐ and p‐doped nc‐Si films for use in the SHJ cell “skin” and as the intermediate recombination
layer or the tunnel junction between the tandem cells. The student will characterise the developed
layers structurally, optically and electrically using different characterisation methods such as
ellipsometry, XRD, Raman, sheet resistance mapping and Hall measurements. The passivation
properties of the developed films as part of the SHJ “skin” will also be assessed using carrier lifetime
measurements. Finally, the charge transport through the developed material stack will be evaluated
using contact resistivity measurements. These layers will subsequently be implemented in single‐
junction Si heterojunction solar cells to assess their performance at device level. The main location
for this thesis is imec Leuven. Type of work: 10% literature study + 10% modeling + 80%
experimental Daily supervisor: Hariharsudan Sivaramakrishnan Radhakrishnan [1] K. Yamamoto, K.
Yoshikawa, H. Uzu, and D. Adachi, “High‐efficiency heterojunction crystalline Si solar cells,” Jpn. J.
Appl. Phys., vol. 57, pp. 08RB20‐1, 2018. [2] https://www.helmholtz‐
berlin.de/pubbin/news_seite?nid=21020;sprache=en;seitenid=74699
Master Nanoscience and Nanotechnology
Thesis Title: Impact of microstructure and texture on copper thin film creep
Promotor: Ingrid De Wolf
Faculty/Research Group:
Daily Supervision: Thomas Tsau, Joke De Messemaeker
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Understanding 'creepy' copper crystals
With the end of Moore’s law in sight, chip manufacturers are turning to 3D integration to continue
the scaling trend. One of the advanced 3D integration technologies is wafer‐to‐wafer hybrid bonding
of patterned copper‐dielectric surfaces, realizing vertical interconnect pitches down to 1 µm.
Bonding of the copper pads is achieved through annealing to induce thermal expansion, deformation
and diffusion of the copper. Finite element model simulations are used to obtain an understanding of
the limits of this process, which is essential for its industrial application.
For accurate simulations, an in‐depth understanding of the active dislocation and diffusion based
deformation mechanisms is key. In this project, you will map the occurrence of these mechanisms as
a function of temperature and stress using copper thin film wafers. You will correlate the stress
measurements with the film microstructure and texture, measured by electron back‐scatter
diffraction and X‐ray diffraction. Finally you will combine these data in a material deformation model,
to be applied in the thermo‐mechanical simulations of the hybrid bonding copper pads.
Master Nanoscience and Nanotechnology
Thesis Title: Acoustic design for ultrasound power delivery for deep implant
Promotor: Chris Van Hoof
Faculty/Research Group:
Daily Supervision: Pieter Gijsenbergh, Veronique Rochus
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology x
Description:
Acoustic design for ultrasound power delivery for deep implant
We have seen an incredible transition in computing devices from vast compute servers that filled a
whole room towards personal computers, laptops, tablets and smartphones. A similar revolution is
happening in the medical diagnostic & therapeutic world. Recent years have seen a rapid rise of
advanced (wearable) medical devices that bring high‐quality medically‐relevant diagnostics to an
ever more convenient form factor at an even lower cost. More recently a shift is witnessed towards
advanced implantable devices that can be implanted via minimally invasive procedures. Examples
include ingestible, injectables, endoscopically implanted devices and subcutaneous implants. Imec is
launching a major research effort into such advanced medical implant devices and we are looking for
a good post‐doctoral researcher to tackle a major hurdle in this field.
One of the biggest challenges to overcome in such highly miniaturized devices is the problem of
power delivery. Most of the solutions today are battery‐powered which has a number of severe
limitations (toxicity, patient safety and size/volume). Hence there is a major interest to develop
implants that can be wirelessly powered. In this area, inductively coupled devices are the most
prevalent, but they rely on fairly large coils. RF‐based wireless powering on the other hand is not
efficient for deep implants due to the absorption of RF waves by human tissue. Ultra‐sound however
is a very interesting technique for medical implants and is already widely used for imaging. Ultra‐
sound is not absorbed as much by the human tissue, while ultrasound transducers can be made very
small and even integrated into a chip.
In this master thesis, we will investigate an ultra‐sound system for power delivery for extremely
miniaturized deep implants. Based on acoustic simulations, we will first define the acoustic beam‐
forming technique and acoustic spec of the transducer to efficiently focus the energy to the implant.
Then we will design the transducer for the optimal acoustic frequency. The work will be performed in
collaboration with circuit designers working on the same topic.
Master Nanoscience and Nanotechnology
Thesis Title: Acoustic powering and stimulation for medical applications
Promotor: Chris Van Hoof
Faculty/Research Group:
Daily Supervision: Pieter Gijsenbergh, Veronique Rochus
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology x
Description:
Acoustic powering and stimulation for medical applications
Focused ultrasounds are used for a wide range of medical applications from deep implant powering
(where the acoustic transducers are placed outside of the body and steer toward an implant
harvesting the acoustic energy) to non‐invasive surgical technology (that uses ultrasound energy to
target specific areas of the brain and body for treatments).
In order to generate this acoustic power, microscale piezoelectric transducers are fabricated in large
arrays with the potential to control the time delay of every single transducer. Beam‐forming
techniques is then used to focus the pressure on small spots in space at which the high pressure can
then be used for the electronic powering or the tissue stimulation.
Based on the available PMUT, technology this master thesis explores the available beam forming
strategies to maximize the focused pressure and control the focus spot. Following a thorough
literature study and simulations, the proposed solutions will be implemented and tested in water.
Master Nanoscience and Nanotechnology
Thesis Title: Electrodes for transparent thin film solar cells
Promotor: Jef Poortmans
Faculty/Research Group: Location: Genk
Daily Supervision: Yinghuan Kuang
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Making perovskite solar cells more efficient
In recent years, hybrid organic‐inorganic lead halide‐based perovskite materials have been
intensively studied for their application in a new generation of thin film solar cells. The certified
power conversion efficiency of such perovskite solar cells (PSCs) has already exceeded 25%,
approaching the record efficiency of dominant Si photovoltaics (PV) technology. An interesting
peculiarity of the perovskite material is its color tunability. Changing its composition enables to vary
the absorption bandgap in a well‐controlled way. Having the perovskite absorber sandwiched
between transparent electrodes enables thereby thin film solar cells that are by itself color tunable
and even transparent. This opens new application areas for solar energy generation like placing them
in windows of buildings or even cars. Another potential use is to combine such transparent
perovskite solar cell as top cell above a Si bottom cell in a tandem configuration, due to its potential
of exceeding 30% while combining the attractive properties of perovskites with the well‐understood
technologies for Si photovoltaics. High transparency of the electrodes in such device architectures is
key for optimal user experience as well as performance. The visible part of the spectrum has to be
transmitted well for best see‐through experience in the one application while for the tandem
configuration also the infrared part has to reach the underlying Si cell to achieve highest overall
efficiency. Additionally, the transparency needs to be balanced with high conductivity as the
electrode must be able to draw efficiently the generated electrical current of the solar cell to an
external load. In this master thesis a new conductive oxide material will be investigated for its use as
such transparent electrode. While commonly indium tin oxide (ITO) is used, here we will explore the
indium zinc oxide (IZO) variant. This material is reported to have higher transparency compared to
ITO thanks to its significantly higher charge mobility, which enables comparable conductivity at lower
carrier density for reduced free carrier absorption. . A vacuum sputter process will be used to deposit
the IZO layer, and parameters like oxygen partial pressure in the O2/Ar mixture, plasma power,
sputter rate etc will be varied. Optical and electrical properties of the layers, deposited initially on
Master Nanoscience and Nanotechnology
the glass substrate and later in the effective solar cell architecture, will be characterized by
ellipsometry, transmission‐reflection, charge carrier mobility and resistivity measurements. When
processing larger area devices (>1cm2), the impact of a metal grid enhancing the current collection
will be investigated. The width of the metal fingers and their pitch will be varied whereby the solar
cell performance is monitored. The aim of this thesis research is to validate IZO, eventually combined
with a metal grid, as transparent electrode for perovskite solar cells. Quantifying the transparency of
the full solar cell architecture, while maintaining high power conversion efficiency, will enable to
select the application it suits best. A comparison with ITO will be made to assess whether it would be
a valuable alternative to continue upscaling the process for transparent thin film solar cells and
modules.
Master Nanoscience and Nanotechnology
Thesis Title: DNA synthesis platform for long term data storage
Promotor: Philippe Vereecken
Faculty/Research Group:
Daily Supervision: Olivier Henry, Kherim Willems
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology x
Description:
DNA synthesis nanotechnology
DNA synthesis platform for long term data storage
DNA has been proposed as a stable medium for long term data storage(1). Information can be
encoded in a succession of nucleotides with high density that can be assembled in situ using optical
or electrochemical techniques. Current DNA synthesis methods generally rely on phosphoramidite
chemistry, which is relatively slow, inefficient, expensive and not readily amenable for
miniaturization(2).
Novel approaches make use of enzymes, such as the Terminal deoxynucleotidyl transferase (TdT),
which can efficiently add nucleotides to existing DNA strands in an aqueous environment. However,
controlling the rate of nucleotide addition in vitro, remains challenging(3). In this master thesis, the
candidate will explore new methods to locally control the chemical environment required to trigger
enzymatic reactions using optical and/or electrochemical means.
The project particularly focuses on the development of new materials capable of storing and
releasing ions on demand to control enzyme activity and the early demonstration of their
performance towards the realization of a prototype system for DNA synthesis. Consequently, the
student will be involved in the full fabrication and characterization of the material and testing for
enzymatic control and will encounter a wide range of experimental techniques, including
electrochemistry, fluorescence microscopy, surface functionalization, enzymology and micro‐ and
nano‐electrode fabrication.
For this master’s thesis, imec is searching for a highly motivated student that is eager to expand their
knowledge of electrochemistry, surface chemistry and enzymology in a nanotechnological setting.
Master Nanoscience and Nanotechnology
The student will be working within the context of an existing project, together with an international
team of interdisciplinary researchers. Hence, the ability to communicate fluently in English (spoken
and written) is highly recommended. We encourage interestedstudents to contact us for more
information on the technical aspects ofthe topic.
Type of project: Master's Thesis Duration: 6 months Degree: Master in Biochemistry, Chemistry or
Nanoscience & Nanotechnology Responsible scientist(s): For further information and application,
please contact Kherim Willems ([email protected] ), Olivier Henry ([email protected] ),
Karolien Jans ([email protected] ), Tim Stakenborg ([email protected] ), and Philippe
Vereecken ([email protected])
References:
1. Ceze, L., Nivala, J. & Strauss, K. Molecular digital data storage using DNA. Nat. Rev. Genet. (2019).
doi:10.1038/s41576‐019‐0125‐3
2. Hughes, R. A. & Ellington, A. D. Synthetic DNA synthesis and assembly: Putting the synthetic in
synthetic biology. Cold Spring Harb. Perspect. Biol. 9, (2017).
3. Lee, H. H., Kalhor, R., Goela, N., Bolot, J. & Church, G. M. Terminator‐free template‐independent
enzymatic DNA synthesis for digital information storage. Nat. Commun. 10, 2383 (2019). med
Master Nanoscience and Nanotechnology
Thesis Title: Characterization of nanoscale wetting states
Promotor: Stefan De Gendt
Faculty/Research Group:
Daily Supervision: XiuMei Xu
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
The topic has a broad spectrum of applications and impacts on modern technology, from mass IC production, biomimetic functional materials to single molecule detection in nanofluidics
The continuous miniaturization of electronic devices relies on effective wet processes that are
routinely used in lithography, etching and cleaning. In advanced technology nodes, where the critical
dimensions of devices scale down to sub 10 nm, partial wetting of deep trenches can pose a great
challenge for wet processes. Understanding the mechanism on how geometry and surface chemistry
affect nanoscale wetting is critical for many key process steps, such as gap fill by flowable CVD,
nanoscale wet etching and cleaning of high aspect ratio structures in confined space. The same
mechanism can be applied to the design of biomimetic superhydrophobic materials for self‐cleaning
and anti‐fouling applications.
The internship will focus mainly on experimental work, to be carried out in IMEC's state‐of‐the‐art
cleanroom facilities. The student will receive training on all tools required to perform his/her
research and get hands on experience of various processing and characterization techniques. In‐situ
characterization techniques are critical for capturing the dynamic process of capillary interactions
with nanostructures. In this project, contact angle measurements and attenuated total reflectance‐‐
Fourier transform infrared (ATR‐FTIR) spectroscopy will be used to investigate the impact of different
surface chemistry and geometry profile on wetting properties of heterogeneous surfaces1‐3.
The student should preferably have a background in physics, chemistry or material science.
Type of work: literature 20 %, 80% experiments
Supervisor: XiuMei Xu ([email protected])
References
1. Xu, X. et al. Capturing wetting states in nanopatterned silicon. ACS Nano 8, 885–93 (2014).
Master Nanoscience and Nanotechnology
2. Vrancken, N. et al. Superhydrophobic breakdown on nanostructured surfaces characterized by
in‐situ ATR‐FTIR. Langmuir 33, 3601–3609 (2017).
3. Vrancken, N. et al. In‐situ ATR‐FTIR for dynamic analysis of superhydrophobic breakdown on
nanostructured silicon surfaces. Sci. Rep. 8, 1–12 (2018).
Master Nanoscience and Nanotechnology
Thesis Title: Timed fixation method for miniature ingestible electronic pills
Promotor: Chris Van Hoof
Faculty/Research Group:
Daily Supervision: Nick Van Helleputte
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology x
Description:
The future health devices will be swallowed! Develop novel technologies that will allow these devices to stay fixed in the human GI system for a specific period of time.
Timed fixation method for miniature ingestible electronic pills
The last decade has seen a tremendous growth in wearable connected health devices. While
wearables are indeed becoming increasingly more valuable both for the consumer as well as for the
medical market, they still have a few drawbacks. Wearables are particularly good at providing
information about the cardio‐vascular system (heart, respiration) and to a certain degree also the
peripheral and central nervous system, but cannot really provide any meaningful information about
the gastro‐intestinal system. This is obviously a very important system and gastro‐intestinal diseases
affect a large percentage of the population. To address this unmet need, scientists across the world
started pointing their attention to miniature small electronic pills. Most of the research activity
started in the domain of wireless endoscopic camera‐pills, but more recently researchers started
investigating bio‐chemical sensing and drug delivery capabilities as well. One major issue with such
ingestible electronic pills, is the fact that they pass through the whole GI system uncontrolled. For a
number of diagnostic and therapeutic applications, it would be much more interesting if the devices
can stay in a certain region for at least 1 or 2 full digestive cycles.
In this master's thesis topic, the student will investigate methods that can be integrated into small
miniature electronic pill‐shaped devices that will allow the pill to remain in place during at least 24h.
It will be important to design a technology that is safe and won't increase the risk of blockage while
the device remains in place. At the same time, the device should self‐attach after a certain amount of
time after which it can leave the body through natural means. The student will be expected to
perform an in‐depth literature search and analyze critically the various approaches that have been
taken by other groups across the world. The student must determine pros and cons of each and
suggest a suitable (electro‐mechanical enclosure that can self‐attach or remain fixed in a given
Master Nanoscience and Nanotechnology
location. As a means of detaching, various options exist, but one of the most explored technologies is
the use of materials that dissolve over time or after application of a certain stimulus. If feasible, the
student will be tasked to design a proof‐of‐concept system using rapid prototype techniques (like 3D‐
printing) to test and validate the concept in an in‐vitro setting.
This topic will require a background in mechanical engineering and material science. The work will
consist of literature study, conceptual design, material choice and supplier identification and rapid
prototyping to validate concepts in the lab.
Master Nanoscience and Nanotechnology
Thesis Title: Low power circuit implementation of RRAM‐based STDP network for neural spike sorting
Promotor: Chris Van Hoof
Faculty/Research Group:
Daily Supervision: Shiwei Wang
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design x
Nanodevices and nanophysics x
Bionanotechnology
Description:
Decode the brain with brain‐inspired circuits
Spike sorting is an important pattern recognition task that allows neuroscientist to extract the spiking
activities of individual neurons from the raw signals collected by the neural recording devices such as
neural probes and microelectrode arrays. Low power implementation of the spike sorting algorithms
is becoming more and more challenging with the continuously increasing scale of neural recording.
As an alternative to the conventional spike sorting scheme, spiking neural network with spike‐time‐
dependent plasticity (STDP) learning has been studied to explore higher computational efficiency.
Low power implementation of STDP network is becoming plausible thanks to the technology
advances of resistive random‐access memory (RRAM) devices that are promising candidates to
efficiently build synapses with STDP characteristics.
The objective of this master thesis is to study the STDP‐based spike sorting schemes and explore the
hardware implementation with low power CMOS circuit and commercialized RRAM devices. The
student will be involved in 20% Literature study, 20% modelling and 60% circuit design and
simulation.
Requirements:
‐Interest and enthusiasm in mixed‐signal microelectronics and machine learning
‐Solid knowledge of circuit design
‐Knowledge of Cadence IC design tools (Spectre, Virtuoso, etc.)
‐Knowledge of resistive memory and/or neural network is a plus
‐Knowledge of Matlab
Master Nanoscience and Nanotechnology
Thesis Title: Stimulation‐artifact characterization and removal for closed‐loop BCI applications
Promotor: Chris Van Hoof
Faculty/Research Group:
Daily Supervision: Carolina Mora Lopez
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design x
Nanodevices and nanophysics x
Bionanotechnology x
Description:
Enabling closed‐loop brain‐machine interfaces
Bi‐directional brain‐computer interfaces (BCIs) require simultaneous stimulation and recording to
achieve closed‐loop operation. Since the recorded data can be corrupted by large stimulation
artifacts, there has been extensive research trying to develop techniques to detect and remove
artifacts without distorting the signal of interest. However, due to the limited knowledge of the
nature of the electrical artifacts and their variation caused by changes in the electrode‐tissue
interface, many of the proposed techniques are not effective for high‐density BCI's.
The goal of this master thesis is to investigate the stimulation artifacts that are generated by high‐
density neural probes during micro‐ and macro‐stimulation, and develop algorithms for automatic
artifact detection and removal. The master student will be involved in lab measurements, modeling
of important electrode‐tissue characteristics, modelling of the analog front‐end recording circuits
and implementation of algorithms in FPGA.
Specific thesis objectives:Study and understand the theory behind neural stimulation techniques and
stimulation artifacts.Create models of the electrode‐tissue interface based on available devices and
materials.Create models of the analog front‐end circuits to study the different mechanisms of
channel saturation and neural‐signal corruption.Propose algorithms for artifact removal based on the
available models.Validate the proposed algorithms by designing a proof‐of‐concept setup with
available neural probes and acquisition system (based on FPGA)
Requirements:Interest and enthusiasm in signal processing and algorithmsKnowledge of
MatlabKnowledge of C++ and VHDLKnowledge of analog IC design principlesKnowledge of Cadence
IC design tools (Spectre, Virtuoso, etc.) is a plus
Master Nanoscience and Nanotechnology
Thesis Title: Design of distributed and addressable neural stimulation circuits for high‐density neuromodulation
Promotor: Chris Van Hoof
Faculty/Research Group:
Daily Supervision: Carolina Mora Lopez, Didac Gomez Salinas, Marco Ballini
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design x
Nanodevices and nanophysics
Bionanotechnology x
Description:
Enabling closed‐loop brain‐computer interfaces
Electrical neuromodulation is a technique used to treat neural disorders such as Parkinson's disease,
tremor and dystonia. An invasive neuromodulation system consists of tiny microelectrodes that are
implanted in the brain to deliver stimulation pulses to the tissue, and an electrical pulse generator
that generates the stimulation pulses and is connected to the electrodes. The efficacy of this
technique can be improved by localizing the current delivery into specific populations of neurons and
by increasing the power efficiency through a suitable choice of electrode geometrical characteristics.
Thus, significant research efforts have been done to find the best electrodes material, shapes and
geometrical distributions to achieve uniform current density and power efficiency in localized
stimulation. However, localized safe stimulation has not been yet fully demonstrated in high‐density
neural probes with planar electrodes.
The goal of this master thesis is to design area‐efficient programmable integrated circuits for safe
current stimulation that can be used together with distributed planar electrodes arrays to create
arbitrary stimulation patterns and stimulation steering. The student will be involved in the
experimental characterization of different stimulation patterns and waveforms for safe charge
delivery, and in the design and simulation of innovative analog circuits in Cadence.
Specific thesis objectives:Study and understand the theory behind neural stimulation techniques and
safe charge delivery using planar electrodesInvestigate by means of experiments using off‐the‐shelf
components the possibility of localizing and steering neural stimulation using available neural probes.
For this, the design and layout of custom printed‐circuit boards (PCB's) will be required.Create
models of the electrode‐tissue interface based on available devices and materialsPropose, design and
simulate programmable stimulation circuits that can be implemented in high‐density CMOS neural
probes using minimum area
Master Nanoscience and Nanotechnology
Requirements:Interest and enthusiasm in analog microelectronicsKnowledge of analog IC design
principlesKnowledge of Cadence IC design tools (Spectre, Virtuoso, etc.)Basic knowledge of IC layout
implementationKnowledge of MatlabKnowledge of PCB design software (e.g. Altium, Eagle, etc.) is a
plus
Master Nanoscience and Nanotechnology
Thesis Title: Serial interface optimized for neural probes
Promotor: Chris Van Hoof
Faculty/Research Group:
Daily Supervision: Chris Van Hoof
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design x
Nanodevices and nanophysics
Bionanotechnology x
Description:
Optimally tune a standard high speed data interface for the specific application of neural probes.
Current neural probe devices are already capturing data at rates of hundreds of Megabits per
second. Transmitting this data from a device implanted is challenging because the inefficiency of a
data protocol results in waste heat which damages the brain.
Multiple standard digital interfaces exist (parallel, SPI, USB, LVDS etc) which are capable of
transporting the required data rate. However, they are designed with standardization and wide
adoption in mind, making them an imperfect fit for neural probes which need to transmit this data
over a short distance only using minimal power.
During this project we'll explore ways to optimize these interfaces to meet the specific challenges of
the neural probes. Once an optimal way is found a CMOS implementation of a transmitter and
receiver be designed and simulated.
Master Nanoscience and Nanotechnology
Thesis Title: Wireless data transmission for neural probes
Promotor: Chris Van Hoof
Faculty/Research Group:
Daily Supervision: Chris Van Hoof
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design x
Nanodevices and nanophysics
Bionanotechnology x
Description:
Low power wireless data transmission techniques for neural probes
Current neural probe devices are already capturing data at rates of hundreds of Megabits per
second. Transmitting such high data rates for a long experiment duration over a wireless radio link
will require a large battery which is detrimental. Efficient and high data rate radio transmitters have
been developed, however there is still room for improvement.
This work will explore alternative wireless data transmission techniques such as backscatter which
can strongly reduce the power consumed by the transmitter and build a working prototype using off
the shelf electronic components.
Master Nanoscience and Nanotechnology
Thesis Title: Rooftop PV module setup: Measurement and analytical studies on thermal processes
Promotor: Francky Catthoor
Faculty/Research Group:
Daily Supervision: Francky Catthoor, Gofran Chowdhury
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design x
Nanodevices and nanophysics x
Bionanotechnology
Description:
We want to study the effect of dynamic‐wind condition, and cloud formation on PV modules energy yield installed on a building rooftop.
Photo‐voltaic solar panels provide a very attractive solution for future clean energy provision on site.
Today's panels provide a relatively high efficiency under optimal conditions and when just fabricated.
However, when the surface level airflow, irradiance transition caused by moving clouds, ambient
temperature, radiation angle, and radiation concentration conditions are varying, the Energy‐yield
efficiency fluctuates quite heavily. Dynamic wind speed, wind direction and cloud covering play a
significant role in the thermal processes. The range of these effects heavily depends on the context in
which these panels are used and on the type of technology used. We will mainly focus on crystalline
silicon flat late modules using the most cost‐effective solar cells.
In this thesis, we want to study the sensitivity of the above effects on PV modules installed on a
building rooftop. We will compare several module topologies. We want to measure the I‐V curves
where both thermal, wind effects and cloud patterns will be included. Detailed thermal sensors
would be distributed across the modules along with cloud movement imagining of the experimental
site. This will contribute heavily to the understanding of the energy‐yield efficiency over the entire
lifetime of the future solar system. That will result in a large practical impact of the work in this
thesis.
In this thesis, the student would have a unique opportunity to conduct both experimental and
analytical studies. This thesis involves a large amount of hands‐on practical engineering work with
the hardware installations and the complex measurement equipment like thermal sensors, ultrasonic
anemometer, pyranometers, sky imagers etc.
Master Nanoscience and Nanotechnology
Thesis Title: Deep‐cryogenic control voltage generator for quantum dot spin qubits
Promotor: Marc Heyns
Faculty/Research Group:
Daily Supervision: Steven Van Winckel
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Design a ultra‐low power cryogenic CMOS controller for imec’s spin qubits.
Quantum computation is currently gaining a lot of attention in the active research field. By using the
quantum principles of superposition and entanglement an exponential speed‐up of certain
computation task can be obtained. At the core of each quantum computer lies the qubit; the
quantum equivalent of the classical bit. Different physical forms for implementing qubits are studied
by research groups around the world. At , the quantum dot spin qubits are, amongst other physical
forms, investigated. In quantum dot based qubits, charge is electrically confined in a nanometer scale
area. The spin of the electron(s) trapped in the dot is used for "storing" the quantum state of the
qubit. To avoid the disturbance of the state by of thermal effects, the qubit needs to be kept at
deep‐cryogenic temperatures in the range. To reach these low temperatures, special dilution
refrigerators are used. The available cooling power of these refrigerators is limited to around 100
µW. Therefore barely no active power consumption can be tolerated inside the refrigerator.
The confinement of the charge in the quantum dot is achieved by using control gates. Each qubit
typically has multiple gates biased at specific voltage levels. These voltages are currently generated
by lab instruments and are routed through cables to the qubit chip resting at the inside of dilution
refrigerator. For a full quantum computer, which is expected to need thousands to millions of qubits,
there would be just too much cabling when this approach is maintained. For this reason, there is
research interest to bring all bias voltage circuitry to the inside of refrigerator onto a CMOS chip
resting next to the qubit chip.
The goal of this thesis/internship is to design a low power bias voltage generator operating at
temperatures for simultaneously controlling multiple of spin quantum dot qubits.
Master Nanoscience and Nanotechnology
Thesis Title: Hardware design Binary Neural network
Promotor: Chris Van Hoof
Faculty/Research Group:
Daily Supervision: Dwaipayan Biswas
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design x
Nanodevices and nanophysics
Bionanotechnology
Description:
Energy efficient architecture and design for resource constrained sensor platforms.
The thesis is intended to explore an architecture and hardware design for binary neural networks for
resource constrained sensor platform. The design will target a heart rate monitoring application from
wrist‐worn photoplethysmography (PPG) signals.
Wrist‐worn PPG signals provide a pervasive solution towards measuring physiological parameters,
e.g. heart rate. Due to its form factor advantages, they have gained popularity over ECG sensing
modalities. However, data collected through PPG sensors suffer from interference due to motion
artifacts when used in ambulant environment. CNN, LSTM, are popular deep learning algorithms,
providing a powerful medium to solve critical problems and has its advantages over traditional
feature‐based machine learning approaches. We use a binary CNN‐LSTM based approach to estimate
heart rate from wrist‐worn PPG data, collected in ambulant environment during intense motion.
For real‐time operations, a hardware design of the framework is required in conjunction with the
sensor front end. This will require understanding the algorithm, the specific network operation and
develop an optimized architecture and hardware design in terms of area‐power‐accuracy. This will
require a thorough literature review of existing designs for binary accelerators and implementing one
for the given application. The candidate is expected to perform verification of the designed hardware
and explore possibilities for demonstrating real‐time operations on a FPGA or ARM core. The
candidate is expected to have preliminary knowledge of Python, Matlab, C and sufficient hardware
design knowledge using Verilog/VHDL/System Verilog HDL.
Master Nanoscience and Nanotechnology
Thesis Title: Prediction of Acute Cardiovascular disease events
Promotor: Chris Van Hoof
Faculty/Research Group:
Daily Supervision: Dwaipayan Biswas
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design x
Nanodevices and nanophysics
Bionanotechnology x
Description:
Prediction of Sudden cardiac death/arrhythmia from ambulant ECG data
Early diagnosis or prediction of ventricular arrhythmia (VA) may allow clinicians enough time to
intervene for stopping its escalation causing Sudden Cardiac Death (SCD) and thus is an active
research area in the field of cardiovascular disease (CVD) research. Over the decades the main
emphasis has been put on studying the Heart Rate Variability (HRV) as a possible marker for the early
diagnosis of VA. Recently it was found that HRV increases two hours before the onset of arrhythmia.
Also, the beat‐to‐beat oscillations of T‐wave amplitudes increase before the onset of VA. Despite
these findings it is difficult to derive a temporal relationship of these markers unequivocally to the
onset of VA. We aim to explore a prediction algorithm for the onset of VA through statistical analysis
of wearable ECG data. The candidate is expected to perform a detailed literature review, work on
widely available public databases and develop a low‐complexity algorithm that can be mapped on a
resource constrained embedded platform. Developing a statistical index or a rule, may help
stratifying short‐term risk of arrhythmia along with other prevalent clinical markers.
Master Nanoscience and Nanotechnology
Thesis Title: Mechanical stress in integrated circuits and it's assessment using integrated sensors.
Promotor: Ingrid De Wolf
Faculty/Research Group:
Daily Supervision: Vladimir Cherman
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Develop methodology for assessment of the mechanical stress induced in ICs by the packaging and assembly processes.
Mechanical stress in integrated circuits and it's assessment using integrated sensors.
Experimental evaluation of Chip‐Package Interaction (CPI) in microelectronics devices is a valuable
technique which allows assessment of 3D integration and packaging technologies in terms of their
mechanical stability and reliability. It includes electrical measurements of integrated piezoresistive
stress sensors and data analysis. The later employs linear algebra to derive components of
mechanical stress from the measured electrical data and the calibrated piezoresistivity coefficients.
As in any experimental method, electrical measurements of the integrated piezoresistive sensors are
not free of noise and uncertainties and include both random and systematic errors which require
additional sensitivity analysis and finding the best algorithm for the data analysis and the
interpretation of the experimental results.
The work of the student will include:
1. Analysis and systematization of large amounts of experimental data,
2. Development of formal mathematical algorithms for conversion of experimental electrical data
into the components of mechanical stress,
3. Assessment of systematic and random noise based on the additional experiments and data
analysis,
4. Sensitivity analysis of the developed (in item 2) algorithms based on the data obtained in item 3,
5. Development and implementation of a user‐friendly GUI interface based on the algorithms
developed in items 1‐4.
Master Nanoscience and Nanotechnology
This is very challenging and complex project and thus successful completion of two tasks from above
can be considered as success criteria for the master thesis.
The student should satisfy the following criteria:
1. Strong background in mathematics, physics or engineering,
2. Programing skills,
3. Basic knowledge of theory of piezoresistivity, material science (stress‐strain relationship) and
knowledge of electrical measurements and instrumentation are not strictly required but preferred.
Development the methodology for analyzing the response of electrical stress sensors integrated in IC
packages for accurate evaluation of mechanical stresses through solutions of linear equations and
sensitivity analysis
Master Nanoscience and Nanotechnology
Thesis Title: Microfluidic in‐flow electroporation for personalized cell therapy
Promotor: Liesbet Lagae, Pol Van Dorpe
Faculty/Research Group:
Daily Supervision: Bastien Duckert, Koen de Wijs
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology x
Description:
Cell therapeutics promise to completely transform the treatment of a wide range of diseases such as
cancer, neurodegenerative disorders and autoimmune disorders by enabling sophisticated modes of
action. This promise comes with challenges in the reproducible manufacturing of cells that are to be
administered to thousands of patients. Electroporation‐based cell transfection is an appealing
technology to achieve high transfection efficiencies for non‐viral genetic modification of cells [1]. In
decentralized manufacturing with patient‐specific cell material, however, both transfection efficiency
and cell viability need to be extremely high as the cell source is very limited. Chip‐based solutions
implementing microscale electroporation might offer the throughput and yield needed for
personalized cell therapies due to high and controllable electric field in microfluidic structures. This
master thesis will explore in‐flow single cell electroporation with integrated electrodes in microfluidic
channels. After literature study, the project will start with building the analytical equivalent circuit
model for cells in physiological medium based on prior knowledge at imec. Afterward, a coupled
electrical and fluidic dynamics model will be developed to study the spatial and temporal electric
field characteristics. With this model we would like to understand the dependency of cell
electroporation (e.g. pore size, density) on a number of parameters such as materials, electric field
strength/frequency, fluid configuration and flow settings. Once the model is verified (e.g. by
literature data), we would like to develop optimal device design as well as operation settings for high
speed, controllable electroporation. Depending on the device structure of the optimal design,
microfluidic electroporation devices can be fabricated and tested. This thesis will consist of 10%
literature study, 40‐60% modelling, 20%‐40% experimentation and 10% reporting/writing. [1] Aijaz et
al Nat. Biomed. Eng., 2018
Master Nanoscience and Nanotechnology
Thesis Title: Screen‐printing metallization for large‐area heterojunction solar cells
Promotor: Jef Poortmans
Faculty/Research Group:
Daily Supervision: Jorne Carolus
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Optimize the metallization on tomorrows heterojunction solar cells
Crystalline silicon solar cells are the driver of the photovoltaic industry, having a market share of
about 85% of the world energy production of solar cells. This success is the result of a low levelized
cost of electricity (LCOE) for crystalline silicon, which is mainly attributed to: i) long lifetimes, ii) low
PV module production costs, and iii) high efficiencies. Heterojunction solar cells are ideal candidates
to even further lower the LCOE, where particular appeal lies in higher degrees of efficiency at a lower
production cost. One of the focus points within imec’s solar research is to develop and implement
new process steps for heterojunction solar cells. Such new process developments have already been
demonstrated on small‐scale heterojunction solar cells (2x2 cm2 and 5x5 cm2). Yet, the upscaling for
such new process steps towards full size 6 inch solar cells is to be executed in a next research phase.
The focus of this master’s thesis will be the upscaling of the metallization process towards 6 inch
heterojunction solar cells, which is mostly done by screen printing. The student will start with a
profound literature research to better understand metallization mechanisms for (heterojunction)
solar cells. Next, with the solar cell materials, architecture and performance in mind, the student will
propose and model different grid layouts and optimize them towards lower cost (minimal Ag
consumption) and higher performance (minimal resistive losses). Once the optimal layouts are
indicated, the student will effectively screen print his/her design in the lab and characterize them to
validate and adjust the model accordingly. The project will initially get started at imo‐imomec,
Diepenbeek (a Hasselt University lab affiliated with imec). As from Q1 2021, the experiments and
equipment will be moved to EnergyVille, Genk. The student(s) will have to travel to imec Leuven
sporadically for training, sample preparation, etc.
Master Nanoscience and Nanotechnology
Thesis Title: Exploring novel substrates for integrated microscale DNA capture on chip
Promotor: Liesbet Lagae
Faculty/Research Group:
Daily Supervision: Ben Jones
Type of work:
Number of students:
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology x
Description:
Improve medical diagnostics via enhanced scientific understanding of solid phase DNA extraction devices
Point‐of‐care nucleic acid‐based testing offers enormous potential for clinical diagnostics, enabling
numerous applications such as accurate detection of infectious disease and prediction of individual
drug response. Key factors to success include short time‐to‐result and ease of use. Crucially, this
implies the integration of sample preparation and analysis in a single device. Over the past decade,
significant progress has been made in miniaturizing nucleic acid analysis, e.g. using microchips for
carrying out quantitative polymerase chain reaction (qPCR). However, advances in on‐chip sample
preparation have severely lagged behind. One of the main reasons for this discrepancy is the low
success rate of transferring standard benchtop protocols to microchips, which typically do not allow
large reagent volumes and common sample processing steps such as turbulent mixing and
centrifugation. Consequently, efficient on‐chip sample preparation requires the development of
novel, chip‐specific methodologies. One area in need of improved understanding is the binding and
release of DNA from the silica surfaces typically used in lab‐on‐a‐chip systems. In this internship, we
will explore the potential of solid phase extraction (SPE) methods to achieve optimal binding and
release by modulating charge‐based interactions between nucleic acids and chip substrate. The
student will first build DNA‐solid surface interaction model, based on reaction rate kinetics, coupled
with a hydrodynamic model of the DNA mass transport to the solid surfaces for SPE in channels of
silicon microfluidic devices. The model will be verified by flushing solutions spiked with DNA markers
of known concentration at fixed flow rates through the microfluidic channels. The concentration of
DNA in the solution before and after flushing and after elution will be measured using qPCR or other
techniques to ascertain the amount bound to the silicon microfluidic device. The impact of
important variables such as flow velocity on the nucleic acid binding will be explored. Once the
model is developed and validated, nucleic acid binding on structures such as micropillar arrays will be
investigated. Optimizing the pillar geometry (pillar diameter, pitch, and height) to maximize the
binding efficiency and minimize pressure drop is of prime interest. During the internship, it is
Master Nanoscience and Nanotechnology
anticipated that the student will spend approximately 10% of their time on literature review, 60% on
analytical and numerical modeling using computational fluid dynamics software such as ANSYS
Fluent, and 30% on experimental investigation.
Master Nanoscience and Nanotechnology
Thesis Title: The metal-insulator transition in doped oxides as unraveled by atom probe tomography
Promotor: Prof. A. Vantomme, Dr. C. Fleischmann
Faculty/Research Group: Physics/ Quantum Solid State Physics
Daily Supervision: Tsung-Wei Chou
Type of work: 70% Experimental + 30% Theoretical
Number of students: 1
Specialisation Option
Nano materials and nanochemistry
Nano-electronic design
Nano devices and nanophysics x
Bio-nanotechnology
Description:
Doped oxides (e.g. Sn-doped In-oxide and Al-doped ZnO) that exhibit electronic conductivity are
essential for many applications, e.g. as transparent conductors (TCO) in photovoltaics. The electronic
conductivity in TCO’s can be tailored by engineering the structural properties at the atomic scale, i.e.
composition, chemical binding and spatial distribution of dopants. However the atomic-level tailoring
of such materials requires a fundamental understanding of atomic mobility/diffusion during deposition
and annealing necessitating atomic scale characterization of the elemental distributions.
Atom probe tomography (APT) is based on controlled field emission of atoms from a specimen which
in combination with mass identification by time-of-flight leads to a full 3D-compositional analysis of
the sample. The 3D-imaging capabilities of APT arise from the combined interaction of a very high
electric field (> 50-100 eV/nm) and a fs laser pulse with a tip-shaped (radius 50-100 nm) specimen to
ionize surface atoms and subsequently field-evaporate them one by one. By measuring both the mass
and position of the emitted atoms, a 3D image (> 106 magnification) of the material can be
reconstructed with near-atomic (~0.2-0.3 nm) spatial resolution. This capability led to its widespread
use across different application fields (See figure).
Master Nanoscience and Nanotechnology
Within this project we intend to establish the fundamental insight between atom location and
composition (as provided by APT) and the electronic properties of oxides as well as exploit them to
unravel the mechanisms underlying the APT processes and in particular the laser-nanotip interaction
(light absorption efficiency, induced temperature, temporal temperature profile...).
For that purpose we will focus on the various phases of vanadium oxide which display a sudden and
reversible metal-insulator transition (MIT) transition, be it at different transition temperatures (e.g.
V8O15 (70K), VO (126K), V5O9 (135K), V6O13 (150K), V2O3 (165K), V6O11(177K), V4O7 (250K) and VO2
(340K). In general, upon heating, the MIT causes a dramatic increase in the electrical conductivity,
which for instance in APT can be observed as a change in evaporation probability. This will be a (time
resolved) indicator for the local temperature at the moment of evaporation. Such in situ observation
of the laser induced temperature effects (absorption, heat propagation in a nanoscale object) and its
link to the process of atom evaporation forms the essence of the scientific investigations in this
process.
As a master student, you will become a full member of the nuclear solid-state physics group. You will
perform experimental work in the lab, analyze the data and interpret the results and participate in
group discussions. The samples will be grown in collaboration with the University of Gent, whereas
APT characterization will be performed in the KU Leuven NanoCentre. This project offers the possibility
of an Erasmus exchange (details to be discussed).
Master Nanoscience and Nanotechnology
Thesis Title: Functional 2D material inks via covalent modification of graphene and MoS2
Promotor: Steven De Feyter, Rob Ameloot
Faculty/Research Group: Nano(bio)chemistry on surfaces (www.defeytergroup.org); Manipulating Porous Matter (www.amelootgroup.org)
Daily Supervision: Kunal Mali
Type of work: experimental
Number of students: 1
Specialisation Option Nano materials and nanochemistry x Nano-electronic design Nano devices and nanophysics x Bio-nanotechnology
Description:
Graphene and related two-dimensional (2D) materials are an intensively studied class of materials due to their exceptional electronic, optical, mechanical and thermal properties that outperform those of most of the existing materials. One of the promising applications of such materials is in the area of flexible and printable (opto)electronics. The first and the critical stage towards such application is the dispersion of the 2D materials in appropriate solvents in appreciable quantities. Current methods use liquid phase exfoliation of 2D materials in high-boiling, toxic organic solvents such as N-methyl-2-pyrrolidone (NMP) or dimethylformamide (DMF) which are not desirable in view of sustainability issues. Moreover, the concentration of the 2D materials in such solvents is rather low. Last but not the least, in order to develop a reliable and inexpensive printing/coating process it is necessary to formulate these 2D materials in the form of functional inks with favorable rheological and morphological properties.
In this master thesis project, you will explore dispersion of two most intensively studied 2D materials, namely graphene and MoS2, in low boiling, non-toxic solvents via their covalent modification using mild wet chemistry protocols. The covalently modified material will be thoroughly characterized using UV-Vis absorption spectroscopy, Raman spectroscopy, scanning probe microscopy namely atomic force, and scanning tunneling microscopy (AFM and STM) and thermogravimetric analysis (TGA). The dispersions will be further optimized for their use in inkjet printing as functional 2D inks. An important aspect of this project will be to evaluate if the covalently modified 2D material can be reverted back to its pristine state by thermal annealing to recover its exceptional properties. Lastly, you will also attempt to construct hybrid heterostructured films based on graphene and MoS2.
Master Nanoscience and Nanotechnology
Master Nanoscience and Nanotechnology
Thesis Title: Self-assembled molecular networks as templates for on-surface growth of metal-organic frameworks (MOFs) using atomic layer deposition (ALD)
Promotor: Steven De Feyter, Rob Ameloot
Faculty/Research Group: Nano(bio)chemistry on surfaces (www.defeytergroup.org) ; Manipulating Porous Matter (www.amelootgroup.org)
Daily Supervision: Kunal Mali and other postdoc / PhD student
Type of work: experimental
Number of students: 1
Specialisation Option Nano materials and nanochemistry x Nano-electronic design Nano devices and nanophysics x Bio-nanotechnology
Description:
Metal-organic frameworks (MOFs) are a rapidly growing class of microporous crystalline coordination polymers that consist of metal-containing nodes connected by multitopic organic linkers. Because of their unique properties, including ultrahigh specific surface areas and a functionalizable pore interior, these materials have the potential to enable disruptive technologies in application areas such as catalysis, gas storage and molecular separations. In addition to these application areas, where MOF powders are rapidly approaching commercial viability via established bulk synthesis methods, there is tremendous potential for integrating MOFs into microelectronics, for example, as active sensor coatings, low-k dielectrics and tunable conductors. A key enabling step in leveraging the properties of MOFs in microelectronics will be the development of robust thin film deposition methods.
In this project we will explore a novel method to deposit MOFs and MOF-like materials in a scalable fashion by combining two nanofabrication tools: self-assembly of molecules as ordered monolayers and atomic layer deposition (ALD). Noncovalent self-assembled organic monolayers can achieve wafer-scale functionalization of substrate, with low-defect-density domains that span hundreds of nanometers. The resulting molecular-level structure of these self-assembled monolayers is guided by hydrogen bonding between molecular functional groups and noncovalent interactions with the underlying layer. ALD is a commercially implemented nanofabrication technique that is used in the semiconductor industry to deposit thin films of inorganic materials (thickness resolution: better than 1 Angstrom). You will explore the deposition of hydrogen-bonded networks, their characterization through scanning probe microscopy and modification through ALD (cleanroom Leuven Nanocentre).
Master Nanoscience and Nanotechnology
Scanning tunneling microscopy images (top) and models (bottom) of ordered monolayers of a molecule self-assembled on graphite. These molecular networks could be used as templates for atomic layer deposition.
Master Nanoscience and Nanotechnology
Thesis Title: Determine the electric field distribution around a nano-scale field emitter: The case of atom probe microscopy
Promotor: Prof. A. Vantomme, Dr. C. Fleischmann
Faculty/Research Group: Physics/ Quantum Solid State Physics
Daily Supervision: Jonathan op de Beeck
Type of work: 70% Experimental + 30% Theoretical
Number of students: 1
Specialisation Option
Nano materials and nanochemistry
Nano-electronic design
Nano devices and nanophysics x
Bio-nanotechnology
Description:
Measuring the morphology of a very sharp, high-aspect ratio object using another
sharp object is a very challenging task that was recently1 overcome at imec (right
figure). Today, we use this novel method to study for example the endpoint
morphology of nanometer-scaled field emitters. Such knowledge is of high
relevance in atom probe microscopy, in which the morphology of the emitter, i.e.
the atom probe needle, dictates the ion optical properties of the system2. As these are directly linked
to the spatial resolution and accuracy of the technique, it is of upmost importance to arrive at their
profound understanding and description.
Atom probe microscopy creates a 3-dimensional atomic map
allowing for elemental identification and quantification at the
nanoscale (left figure). The basic principle relies on the field
ionization and evaporation of surface atoms, for which an electric
field strength of several tens of volt per nanometer is typically
required. This is achieved experimentally by preparing the sample
into a very sharp needle (left figure) with an endpoint radius
around 50 nm and by applying a high standing voltage (~10 kV).
Controlled atom-by-atom evaporation is triggered by a laser (or
voltage) pulse. Relying on this trigger signal, we can measure the flight time of the ions towards the
detector, based on which we can identify them. The 3-dimensional atomic map is built atom-by-atom
by reverse-projecting the ions from their detector impact position onto the emitter surface which is
assumed to be hemispherical.
Despite in the actual process of field emission, the electric field surrounding the atom probe needle
(i.e. the field emitter) is key in defining the ion optical properties of the system. The electric field
lines determine the ions’ flight path, i.e. their trajectories towards the detector. For a smooth,
Master Nanoscience and Nanotechnology
hemispherical emitter endpoint all ions “fly off” radially from the emitter surface towards the
detector, resulting in a uniform magnification (x106). In reality, however, this is rarely the case. In
fact, the emitter is a sphere on a cone rather than a sphere, which causes compression of the ion
trajectories. More importantly, the presence of different elements in the emitter2 and/or its one-
sided laser illumination (one-sided light absorption) cause an emitter endpoint that must be
described by a complex curvature function rather than a hemisphere with fixed radius. Such a
complex curvature likewise leads to (strong) deviations of the ion trajectories from their ideal radial
distribution. This reflects in (de)focusing of the ion trajectories and non-uniform magnification.
In this project, you shall unravel this intimate link between the emitter properties, it’s morphology,
the resulting electric field distribution and ion trajectories, and eventually the ion optical properties
of the system. Using scanning probe microscopy1 you will have vast access to the endpoint
morphology of various atom probe needles (e.g. homogeneous, heterogeneous, laser illuminated)
that have undergone field emission. First goal is to arrive at a quantitative and unique description of
the 3-dimensional morphology (e.g. Gaussian curvature) and to study how this is affected by the
resolution of the morphology measurement itself. The latter can be tuned by using different probe
geometries with which the endpoint morphology is measured.
Following this, the description of the endpoint morphology shall be used to arrive at the electric field
distribution, and eventually the electric field lines, through simulations. Whenever possible, this must
be compared to experimental observations. For example, an indirect, semi-quantitative method to
determine the magnitude of the electric field is to measure the abundancy of doubly versus singly
charged ions detected1.
Finally, you can explore routes with us to directly measure the 3-dimensional
electric field distribution around the atom probe needle using for example a
derivative of our method that allows us to measure electrostatic forces (right
figure).
As a master student, you will become a full member of the Quantum Solid State Physics group. You
will perform experimental work in the lab, simulation and data analysis, interpret the results and
participate in group discussions. The APT characterization will be performed in the KU Leuven
NanoCentre (see figure). This project offers the possibility of an Erasmus exchange (details to be
discussed).
[1] C. Fleischmann et al., Ultramicroscopy 194, 221, 2018. [2] D. Melkonyan et al., Ultramicroscopy 179, 100, 2017.
Master Nanoscience and Nanotechnology
Thesis Title: Radiative cooling of highly excited clusters in the gas phase
Promotor: Prof. Peter Lievens / Copromotor: Dr. Piero Ferrari
Faculty/Research Group: Faculty of Science / Quantum Solid State Physics
Daily Supervision: Dr. Piero Ferrari
Type of work: experimental
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronice design
Nanodevices and nanophysics X
Bionanotechnology
Description:
In outer space, small molecules, composing the interstellar medium, can be excited by UV radiation
coming from nearby stars. The de‐excitation mechanism of these molecules is essential in predicting
the formation of planets and stars; once cold, the interstellar medium can condense due to gravity.1
For decades fragmentation and electron emission were assumed to be the main de‐excitation
mechanisms of these small and isolated molecules. However, in recent years radiative cooling, i.e. the
emission of photons either via vibrational cooling (VC) or recurrent fluorescence (RF), was found to be
a competing de‐excitation mechanism (Figure 1a).2 Nowadays it is known that, irrespective of the
composing material and the charge state, excited isolated clusters can radiate at very high rates, as
shown for instance for small anionic carbon clusters CN‐ (Figure 1b),3 or positively charged few‐atom
metal clusters AuN+ (Figure 1c).2 The actual mechanism underlying photon emission, and how
molecular geometry and electronic structure determine the photoemission rate, however, remains
unclear. Radiative cooling has consequences for nanoparticle production, since an active radiative
cooling channel will favour special sizes in non‐equilibrium formation processes.
In this thesis project you will measure the rates of photon emission of highly‐excited small positively
charged carbon and doped gold clusters. The experiments will be conducted in the gas‐phase, meaning
that clusters are produced and studied while flying in a high vacuum tube, thus resembling the
conditions encountered in outer space. Even though the main part of this project is experimental, ab
initio calculations can be performed to assist interpretation of the results. In particular, the challenge
will be to understand the interplay between composition, geometry and electronic structure,
determining the emission of radiation. As a master student, you will be a member of our research
group. You will perform experimental work in the lab, analyze the data, perform simulations, and
discuss and interpret the results with group members.
Master Nanoscience and Nanotechnology
1. M. Gong et al., Astrophys. J 834, 38 (2017).
2. P. Ferrari et al., Int. Rev. Phys. Chem. 38, 405 (2019).
3. Y. Ebara et al., Phys. Rev. Lett. 117, 133004 (2016).
4. K. Hansen et al. Phys. Rev. A 96, 022511 (2017).
Fig. 1. (a) Diagram of the photon emission processes which can follow to the excitation of a small and
isolated molecule.2 (b) Direct detection of photons emitted by excited C6‐ clusters.3 (c) Rates of photo‐
emission of excited metal clusters.2
Master Nanoscience and Nanotechnology
Thesis Title: Magnetism and structure of doped silver clusters
Promotor: Prof. Ewald Janssens
Faculty/Research Group: Faculty of Science / Quantum Solid State Physics
Daily Supervision: Kobe De Knijf
Type of work: experimental
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronice design
Nanodevices and nanophysics X
Bionanotechnology
Description:
This project offers the opportunity to investigate the magnetic properties and structures of terbium
doped Ag clusters. Atomic clusters are particles constituting of just a few atoms displaying very
uncommon properties that are radically different from both single atoms and bulk materials.
You will conduct Stern‐Gerlach magnetic deflection experiments in our lab at KU Leuven. These
experiments involve working with different lasers, required for both cluster formation and ionisation.
The clusters are analysed by Time‐Of‐Flight Mass Spectroscopy (TOF‐MS) that combines atomic mass
resolution with direct spatial resolution [1].
Figure:
Top‐left: Schematic overview of the original Stern‐Gerlach deflection experiment on silver atoms. Top‐right: size
and temperature dependence of the magnetic moment per atom of pure terbium clusters [3]. Bottom left:
magnetic deflection setup at the KU Leuven [1]. Bottom right: magnetic deflection profile of Tb12 clusters
measured at Georgia Tech, Atlanta [3].
Master Nanoscience and Nanotechnology
While single‐atom magnetism and bulk magnetism are nowadays well understood, traditional models
can only partly describe the magnetism of few atom systems. The large fraction of surface atoms and
the discrete nature of their energy level spectrum causes the magnetic moment to vary strongly as a
function of the cluster size and composition [2,3]. In this project, terbium doped silver clusters are
studied to learn more about the interaction of the local magnetic moment on the lanthanide atom
with the non‐magnetic silver host and this as a function of the number of electrons in the cluster.
Besides obtaining better understanding of magnetic interactions, this project gives you a chance to
gain experience in modern advanced gas‐phase experimental techniques: you will learn how to work
with an ultra‐high vacuum setup and how to carefully synchronize the different parts of the setup to
manipulate the trajectory of a cluster beam. See quantum mechanics happen right under your nose…
[1] J. van der Tol, PhD thesis, KU Leuven (2019)
[2] E. Janssens et al., Phys. Rev. Lett. 94 (2005) 113401
[3] L. Peters et al., Sci. Reports, 6 (2015) 19676
Master Nanoscience and Nanotechnology
Thesis Title: Optimizing silicon carbide single photon sources for implementation of qubits.
Promotors: André Vantomme and Lino M.C. Pereira
Faculty/Research Group: Science, Quantum Solid State Physics
Daily Supervision: Malven Tunhuma
Type of work: Experimental
Number of students: 1
Specialisation Option
Nano materials and nanochemistry x
Nano‐electronic design
Nano devices and nanophysics x
Bio‐nanotechnology
Description:
Silicon carbide has been shown to harbor intrinsic lattice defects that can be adopted to implement solid state qubits at room temperature. This discovery has advanced a useful platform in the fields of quantum computing, metrology and cryptography. Qubit states are realized by spin manipulation of single photons using magnetic resonance techniques. The technology is set to surpass other similar quantum systems as it is being developed on already existing standard industrial device fabrication protocols. In order to fully implement quantum applications, efficient and high quality single‐photon sources are essential. This project deals with optimizing the optical readout of silicon carbide photon sources.
Figure 1: (Left) Representation of silicon vacancies in silicon carbide (VSi) (Center) confocal map of silicon carbide surface showing single photon sources (Right) Bloch sphere representation of the superposition of qubit states. Single photon sources (SPS) in silicon carbide, mimic orthonormal bases in Hilbert space which can be optically initiated manipulated and readout. These systems in isolation can be represented by the Bloch sphere as a qubit, whose readout is a superposition of two quantum states. Several techniques such as particle irradiation, ion implantation, thermal annealing and surface treatment have been utilized to induce and isolate intrinsic defects for implementation of SPSs. However, the fundamental physics behind the optimum performance of these photon sources remains a subject of intense speculation. A detailed comparison of the efficiencies and weaknesses of the methods used to induce these defects does not exist. Further, other techniques such as high temperature implantation remain uninvestigated.
Master Nanoscience and Nanotechnology
In this project, you will systematically induce intrinsic defects in silicon carbide and isolate them. Thereafter, you will initialize the qubits using high intensity laser beams to produce single photon sources. The objective is to achieve polarized, bright and photostable SPSs. Characterization of the photon sources will be done using confocal microscopy, photoluminescence spectroscopy and the spin states of the qubits will be manipulated using magnetic resonance techniques. You will perform experimental work in the laboratory, analyze the data, interpret results and participate in meetings and discussions.
Master Nanoscience and Nanotechnology
Thesis Title: Growth of two-dimensional materials based on ion implantation
Promotor: Prof. Lino Pereira; Dr. Harsh Bana (co-promoter)
Faculty/Research Group: Faculty of Science, Quantum Solid State Physics section
Daily Supervision: Dr. Harsh Bana
Type of work: Experimental
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Two-dimensional (2D) transition metal dichalcogenides (TMDCs), and in particular semiconducting single layer (SL) MoS2, have attracted tremendous interest in recent past. As an analogue to graphene, but with a sizeable band gap and unique layer dependent properties, it has potential applications in next generation electronics. In particular, the lack of inversion symmetry in the trigonal prismatic structure of SL MoS2 lifts the spin degeneracy at the K and -K valleys that provides the opportunity to exploit coupled spin and valley degrees of freedom, thus opening the possibility of conceptualizing novel spintronic and valleytronic devices. In addition to this, the growth of large area and high quality MoS2 samples with an optimal layer control is imperative for the utilization of its potential in device assembly. Different approaches used to either isolate or grow 2D MoS2 have resulted in varying quality and limited reproducibility. Even the most commonly used technique - chemical vapor deposition (CVD) - results in inhomogeneous mixtures of polycrystalline single and multilayer domains. Recently, physical vapor deposition (PVD) was employed to synthesize high-quality SL MoS2 with an unprecedented crystallinity [1], although at a cost of very low growth
rate and requirement of special single crystal Au (111) substrate. In this project, you will develop a novel method for large-scale synthesis of 2D layers of MoS2
which, unlike existing approaches (chemical and physical deposition), is based on ion implantation. Ion implantation has the potential advantages of allowing to control the (uniform) coverage and number of layers, while being an industry-compatible technique. Mo+ and S+ ions will be implanted into metal thin films grown by molecular beam epitaxy (MBE). The growth of the metal films and the formation of the 2D layers (upon ion implantation and thermal annealing) will be studied using complementary surface techniques: low energy electron diffraction (LEED), x-ray photoelectron spectroscopy (XPS), x-ray diffraction (XRD), and scanning tunneling microscopy (STM). You will also have the opportunity to participate in experimental campaigns in international synchrotron radiation facilities.
[1] H. Bana et al., 2D Materials 5, 035012 (2018)
Master Nanoscience and Nanotechnology
Thesis Title: Nanobubbles and pseudomagnetic fields in graphene
Promotor: Prof. Lino Pereira; Dr. Renan Villarreal (co-promoter)
Faculty/Research Group: Faculty of Science, Quantum Solid State Physics section
Daily Supervision: Dr. Renan Villarreal
Type of work: Experimental
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Strained nanobubbles can be used to engineer the electronic structure of graphene through the creation of a pseudomagnetic field (PMF). While various approaches have been explored so far (e.g. via strain imposed by a selected substrate or mechanical actuators), they provide limited controllability, especially on a large-wafer scale. He are developing a method for controlled formation of noble gas (He, Ne, Ar) nanobubbles in graphene (on various substrates) using ultra-low energy (ULE) ion implantation. ULE ion implantation allows us to precisely tune the number of implanted ions and their kinetic energy, which in turn controls the bubble formation efficiency and bubble density. We use a wide range of characterization techniques (structural and electronic), including scanning tunneling microscopy and spectroscopy (STM/STS), synchrotron-based X-ray photoelectron spectroscopy (XPS), Raman spectroscopy, among others. These experimental studies are complemented by density functional theory (DFT) and molecular dynamics (MD) calculations, which give insight into the bubble formation and stability mechanisms, and how they depend on gas species (e.g. He, Ne, Ar) and substrate (e.g. Cu and
Pt). Your thesis work will be embedded in this extensive research program. In particular, you will carry out scanning tunneling microscopy and spectroscopy (STM/STS) experiments in ultra-high vacuum (UHV), to study: (i) the formation and properties of graphene nanobubbles of different noble gases; (ii) how they depend on substrate and on implantation and thermal annealing parameters; (iii) the PMF generated by the strain field.
Helium nanobubble (radius ~ 2 nm) in
graphene/Cu(111).
Master Nanoscience and Nanotechnology
Thesis Title: MEMS Resonators with Freeform Beams
Promotor: Michael Kraft
Faculty/Research Group: Micas/ESAT
Daily Supervision: Chen Wang
Type of work: Theory, Simulation, Layout drawing
Number of students: 1‐2
Specialisation Option x
Nano materials and nanochemistry x
Nano‐electronic design x
Nano devices and nanophysics x
Bio‐nanotechnology x
Description:
Micro-Electro-Mechanical System (MEMS) devices have become a big commercial success in the last decades. Recently, this trend has been fueled by the Internet of Things which forecasts an exponential growth for MEMS sensors. To make this vision become reality, it is required to evolve and improve current MEMS sensors further by optimizing their designs. However, a current challenge for MEMS is designing devices with complex compliant geometries.
To address this issue, we found inspiration in nature. Multiple stimuli simultaneously acting upon systems force animals and plants to adapt and achieve the strongest and lowest-in-energy solutions that are functional. This is part of the natural selection, shaping the genetic code of life. The end results are nearly always systems with curvilinear shapes, wide were strength is needed and slim where possible. Some examples are the aerodynamic shape of a dolphin, animals with big feet and/or long tails, the shape of an adult tree or the curling behavior of plants.
We have developed a novel, universal MEMS design and optimization platform for multi-physics domains featuring freeform geometry optimization. In this platform, a nature-inspired or ‘Genetic’ algorithm (GA) optimizes a parametrized system model spanning several physical domains. With the platform, many novel MEMS devices can be designed with shapes unseen before. In this master thesis, work will include a theoretical study of conventional MEMS resonators, simulation and optimization of the resonators with freeform beams to achieve better performance. Fabrication of the new design will be carried out by other colleagues in the KU Leuven Nanocenter Cleanroom. Some preliminary prototype testing is also envisaged.
Fig. 1 Example in nature where multiple ‘forces’ select the shape and genetic code of living systems to be the best adapted to their needs.
Fig. 2 Designing freeform geometries in a MEMA through GA based mechanical optimization methodology
Master Nanoscience and Nanotechnology
Thesis Title: CO2 reduction on sub‐nanometer clusters
Promoter: Prof. Ewald Janssens / Copromoter: Dr. Didier Grandjean
Faculty/Research Group: Faculty of Science / Quantum Solid State Physics
Daily Supervision: Guillaume Libeert
Type of work: experimental
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry X
Nanoelectronice design
Nanodevices and nanophysics X
Bionanotechnology
Description:
Methanol (CH3OH) has the potential to become an important renewable fuel. It is the core of a circular
energy economy, called the methanol economy, which is schematically depicted in figure 1. In this
methanol‐based economy, CO2 is captured from the atmosphere and/or coal‐ or gas‐burning power
plants and renewable energy is used to produce hydrogen from water splitting. Subsequently,
methanol is formed by the hydrogenation of CO2:
CO H → CH OH H O 50 kJ/mol (1)
Upon combustion in the engines of vehicles, methanol reacts with oxygen forming again water and
CO2. In this way, the loop is closed while no waste products are formed.
Currently, methanol is produced on an industrial
scale from syngas, a mixture of CO, CO2 and H2
at 50–100 bar and 250 ◦C–300 ◦C, using a
catalyst consisting of copper and zinc oxide
nanoparticles. The elevated pressure and
temperature, make methanol formation an
expensive process. A more performant catalyst
could lower this cost, but unfortunately, the
active sites of the current complex catalyst and
the reaction mechanism are not well
understood. As a result, it is unclear how the
process can be improved. Recently, clusters of
few atoms have attracted attention as model
catalyst systems that allow the study of the active
sites in the chemical reaction in a more controlled
way.
Figure 1: Schematic representation of the
methanol economy
Master Nanoscience and Nanotechnology
In this thesis work, the adsorption of CO2 and H2 on atomically precise sub‐nanometer Cun and Con
cluster‐based catalyst will be investigated. In this way, the active sites and reaction mechanisms may
be elucidated. These clusters will be produced by magnetron sputtering and soft‐landed on silica
substrates and graphene field effect transistors (GFETs). The clusters deposited on silica samples will
be investigated by Temperature Programmed Desorption (TPD), upon exposure to H2 and CO2 gas. By
gradually increasing the temperature and by analyzing the desorbing molecules with a quadrupole
mass spectrometer, the height of the reaction barriers and the intermediate steps in the reaction of
H2 and CO2 to CH3OH will be estimated. This technique will allow modelling the ‘thermocatalytic’
conversion of CO2 to methanol. The clusters deposited on GFETs will be studied by measuring the
change in graphene resistivity as a function of applied electrical potential. This will provide valuable
information on the charge transfer between the clusters and the adsorbed H2 and CO2 molecules. This
technique will allow modelling the ‘electrocatalytic’ conversion of CO2 to methanol.
As a master student, you will be a member of the research team. You will produce Cu and Co atomic
clusters, deposit them on substrates, perform the resistance and TPD measurements, analyze the data,
and discuss and interpret the results with the assistance of other group members.
Master Nanoscience and Nanotechnology
Thesis Title: Pd Hydride and Carbide Formation Monitored by Real‐Time Plasmonic Nano‐Spectroscopy
Promotor: Maarten Roeffaers
Faculty/Research Group: cMACS
Daily Supervision: Matthias Filez
Type of work: Experimental, catalyst characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry X
Nanoelectronic design
Nanodevices and nanophysics
Bionanotechnology
Description:
Pd nanoparticles (NPs) are key enablers in various technologies, ranging from hydrogenation catalysts
to H2 gas sensors. Pd NPs reflect light owing to their plasmonic properties, causing them to blink‐up in
a color related to the ‘plasmonic peak’ energy in their scattering spectrum. Importantly, the plasmonic
peak energy depends on the Pd NP size and shifts upon PdHx hydride and PdCy carbide formation. A
peak shift causes a color‐change, making Pd NPs nanosized optical reporters of their state and
environment.
Particularly, Pd NPs can be used as optical H2 gas detectors, a gas known as highly‐explosive in
presence of O2. By PdHx formation in H2, a shift of the plasmonic peak occurs, which can be read out
safely via a spatially‐separated optical readout away from the (dangerous) sensing area. In addition,
plasmonic shifts can take place upon PdHx and PdCy formation during selective catalytic
hydrogenation, by dissolution of H‐ and C‐species in the Pd lattice. Such optical shifts allow to locally
probe the Pd nanocatalyst state during reactions at the single nanoparticle level, an approach not yet
explored.
Several questions of interest for these applications remain unanswered. First, for H2 sensors, the Pd
NP size seems to influence (1) the sensor’s sensitivity, i.e. the minimal H2 pressure at which PdHx forms,
and (2) the rate of PdHx formation in H2 and back‐conversion into Pd upon H2 evacuation, where
hysteresis is often observed. To unravel this size‐hydride relation, the Pd/PdHx state should be probed
at the single NP level upon H2 dosing across a sample with a variety of Pd NP sizes. Second, for Pd
hydrogenation catalysts, the nature of Pd, PdHx and PdCy phases during reaction are not fully
elucidated under different reaction conditions, and their role on the catalyst performance ill‐
understood. In addition, their behavior is likely NP size dependent, thus requiring their interrogation
at the single NP level.
To answer these questions, the following steps will be undertaken in this thesis work:
Master Nanoscience and Nanotechnology
1. Establish an ex‐situ link between the Pd NP size (AFM/SEM) and its color (plasmonic
microscopy).
2. Construct in‐situ relationships between H2 pressure and the (1) onset and (2) extent of PdHx
formation, and the (3) reconversion into Pd; this for different NP sizes via plasmonic
microscopy.
3. Study the Pd NP phase changes into PdHx/PdCy during operando ethylene hydrogenation for
different C2H4/H2 ratios at 80 ⁰C. Construct phase‐performance relationships for different Pd
NP size regimes. This will be done by operando plasmonic microscopy at the single Pd NP
level.
For more information about the project please contact [email protected] or
Master Nanoscience and Nanotechnology
Thesis Title: New functional carbon based materials
Promotor: Steven De Feyter
Faculty/Research Group: Nano(bio)chemistry on surfaces (www.defeytergroup.org)
Daily Supervision: Kunal Mali (and/or other PhD student / postdoc)
Type of work: experimental
Number of students: 1
Specialisation Option Nano materials and nanochemistry x Nano-electronic design Nano devices and nanophysics x Bio-nanotechnology
Carbon-based materials form the backbone of many industrial applications such as chemical purification, separation technology and, gas adsorption and storage. The applicability of most of these materials, which are composed of two-dimensional (2D) sheets of sp2 hybridized carbon called graphene, is a result of their porosity and high surface area. A large variety of these come from natural sources and/or are produced in such a way that their chemical identity and composition is often not unique. Though such relatively ill-defined materials are already in use for many decades, there is need to develop a knowledge-intensive approach for functional carbonaceous materials that possess unique chemical identity and composition which could be tailor-made for specific applications. Robustly tailoring 2D carbon surfaces could lead to increased efficiency of catalytic, separations, and gas adsorption platforms.
This master thesis project aims to build a fundamental knowledge base for fabrication of covalently modified carbon surfaces with specific chemical identity and composition.
Apart from simply controlling the density of the functional groups, you will target precise control over their nanometer scale spatial arrangement on the surface. Such nanostructured covalent modification is expected to impart novel functional properties to the material.
To realize these objectives, you will target the spontaneous or electrochemically controlled functionalization of the graphite and graphene surfaces. You will use scanning tunneling microscopy, atomic force microscopy, Raman spectroscopy and microscopy, and other techniques to characterize structure and functionality of these surfaces. You will test the covalently modified 2D carbon surfaces for their properties, and for their applicability in typical applications such as for example catalysis, on-surface synthesis of novel compounds, and others.
Master Nanoscience and Nanotechnology
See also the attachment for additional info. Visit the website and meet the international team (http:/www.defeytergroup.org).
Master Nanoscience and Nanotechnology
Thesis Title: Non‐linear optical properties of clusters and nanoparticles
Promotor: Prof. Peter Lievens / co‐promotor: Prof. Ewald Janssens
Faculty/Research Group: Faculty of Science / Quantum Solid State Physics
Daily Supervision: Matias Bejide
Type of work: experimental
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics X
Bionanotechnology
Description:
At the nanoscale, properties of matter differ radically from those of the bulk phase. A key feature to understand this difference is the electronic structure. For example, nanoparticles (~2‐100 nm) support the collective oscillation of their valence electrons, or plasmons, which strongly enhance optical absorption at the resonance frequency.1 In clusters (< 2 nm), objects composed of a countable number of atoms, quantum confinement becomes dominant and absorption bands break‐up in discrete absorption lines.2 When matter interacts with strong light sources, such as lasers, non‐linear phenomena arise. Because of the unique electronic structures of clusters and nanoparticles, their non‐linear response is even more interesting, and strongly depends on their size and composition. This makes them very attractive for a wide range of novel applications including as optical limiting to protect eyes and delicate devices, shaping of optical pulses, and laser induced transparency.3 Clusters have also been used in nonlinear optical microscopy imaging of cancer cells.4 To fully exploit their tuning possibilities for practical applications, a profound understanding of the influence of size, composition and electronic structure on the non‐linear response of matter at the nanoscale is required.
Figure 1: (a) Scheme of the z-scan technique. (b) Gaussian beam. (c) Z-scan curve of 17 nm silver nanoparticles embedded in a glass host excitated by 480 nm laser light.4
Master Nanoscience and Nanotechnology
In this thesis work, you will investigate the non‐linear optical response of nanoparticles and clusters as a function of size and composition. The nanoparticles and clusters will be either deposited on surfaces or stabilized in solutions. Their non‐linear optical properties will be characterized by the z‐scan technique, schematically presented in Figure 1a. Using a powerful and tunable light source, changes in light transmission are measured when a sample is moved along a Gaussian laser beam (Figure 1b). Based on transmission changes induced by the intense laser source (Figure 1c), the mechanisms responsible for the non‐linear optical absorption can be identified.5
As a master student, you will be a member of our research group. You will prepare samples, perform laser spectroscopy, analyze data, carry out simulations, and discuss and interpret the results with group members.
1. S. Link et al. J. Phys. Chem. B 103 (1999) 8410. 2. S. Lecoultre et al. J. Chem. Phys. 134 (2011) 184504. 3. N. Liaros et al. Laser Photonics Rev. 11 (2017) 1700106. 4. L. Polavarapu et al. Nanoscale 3 (2011) 429. 5. P. Ferrari et al. J. Phys. Chem. C 121 (2017) 27580.
Master Nanoscience and Nanotechnology
Thesis Title: Ultra Power-Efficient Implantable Electronics for Biomedical Signal Processing
Promotor: Georges Gielen
Faculty/Research Group: MICAS-ESAT
Daily Supervision: Jonah Van Assche
Type of work: System modelling and CMOS circuit design
Number of students: 1
Specialisation Option
Nano materials and nanochemistry
Nano-electronic design x
Nano devices and nanophysics
Bio-nanotechnology
Description:
Due to the ever ongoing miniaturization of electronics, nowadays electronics can be made small
enough to be implanted in the human body while being only minimally invasive. By implanting
electronics into a person a wide range of applications can be enabled, such as glucose monitoring in
the blood for diabetes patients, monitoring of physiological functions of organs, neurorecording and
stimulation devices, ... These implantable devices typically capture signals and then send them
wirelessly to a system outside the body, or store the data temporarily in an on-chip memory. This
data is then used by medical practitioners to make better diagnoses or is coupled to an actuator to
obtain a closed loop system.
These types of implantable devices should be very power efficient (with a power consumption under
a uW). This is mainly due to two reasons. Since the electronics are implanted, they can cause heating
of the surrounding tissue. Medical regulation limits the maximum amount an implantable device can
heat up the tissue, and this ultimately limits the power consumption that can be tolerated. A second
reason is that an implanted device cannot have a big battery to provide power. This battery is either
small or the enery of the system can be provided by techniques such as energy harvesting. Since
removing a device to change the battery is not an option for a lot of applications, the power
consumption should thus be minimised to prolong the battery lifetime.
The most power consuming block of a medical system is typically the power consumed by the
wireless transmission of data or by writing data to a memory. This power consumption scales with the
amount of data that has to be transmitted/stored. A key challenge while designing a biomedical
system is thus to limit the data rate of the system. This is can be done via a form of signal
compression. A signal can be compressed digitally, after the ADC, or it can be directly compressed
while it is sampled by techniques like compressed sensing, event-driven sampling, ... In this thesis,
you would first perform a system level analysis of different compression techniques and their effect
on the power consumption of a biomedical system. Once this analysis is done, the best suited
combination of ADC + compression method will be designed in a CMOS technology as a proof-of-
concept. This thesis is well suited for students interested in both signal processing and circuit design.
Master Nanoscience and Nanotechnology
Illustration 1: Biomedical applications Illustration 2: Different compression techniques
build-in in the ADC
ADC
ADC
ADC
ADC
ADC
CSEncoder
SlopeDetector
fL fH
FeatureExtractor
fSample = 2*BW
fSample < 2*BW
Level Crossing?
fSample = fL / fH
fSample = Feature rate
fSample = Local BW
a)
b)
c)
d)
e)
Master Nanoscience and Nanotechnology
Thesis Title: Characterization of multi‐parameter biochip for condition monitoring in bioreactors
Promotor: Prof. Michael Kraft
Faculty/Research Group: ESAT‐MICAS
Daily Supervision: Nurul Izni Rusli
Type of work: Electrochemical characterization via cyclic voltammetry, amperometry, potentiometry and impedimetry
Number of students: 1
Specialisation Option
Nano materials and nanochemistry
Nano‐electronic design
Nano devices and nanophysics X
Bio‐nanotechnology X
Description:
High-tech bioreactors are becoming increasingly important as they can produce stem-cells, insulin, antibiotics, antibodies, tissue, enzymes, proteins and many others. The monitoring of such bio-processes is crucial in modern biotechnology, therefore devices that provide real-time measurement data are highly required, since they allow detecting small changes in the condition in the bioreactor quickly, adjusting relevant parameters and maintaining the process at its optimum. Moreover, researchers are interested in monitoring several parameters all at once: this has led to the development of multi-sensor lab-on-a-chip (or biochip) devices.
We have recently designed a multi-parameter sensor chip that can concurrently measure six of the most important process parameters in bio-processes: pH, temperature, lactate and glucose concentrations, cell density with impedance spectroscopy and dissolved oxygen concentration. First prototypes were fabricated using standard microtechnology techniques in the cleanroom of the KU Leuven ESAT-MICAS department, housed in the NanoCentre (LeNa): platinum, gold and silver electrodes were produced by performing sputtering and lift-off techniques; isolation layers of either paralyne-C or polyimide were etched (RIE: reactive ion etching) after being deposited by CVD and spin-coated.
The primary objective of this master’s thesis is to evaluate, characterize and test the prototype chips. For this, the electrodes need to be functionalized, a suitable test rig needs to be constructed, cell culture need to be prepared (using yeast as test medium) and the response of the sensors needs to monitored over several weeks under well-defined and controlled conditions. This involves using impedance spectroscopy and electrochemical detection techniques, like amperometry and potentiometry.
A secondary objective is to come up with an improved design based on the test result that can be put forward for micro-fabrication.
Master Nanoscience and Nanotechnology
Thesis Title: Towards the implementation of high energy density cathodes in commercial lithium ion batteries by atomic layer deposition interface engineering
Promotor: Philippe Vereecken
Faculty/Research Group: imec‐estore (FBIW/M2S/cMACS)
Daily Supervision: Andrea Itziar Pitillas Martinez
Type of work: 85% Experimental, 15% literature
Number of students: 1
Specialisation Option
Nano materials and nano chemistry X
Nano‐electronic design
Nano devices and nanophysics
Bio‐nanotechnology
Description:
Growing climate change concerns increasingly drive both public and scientific interest towards the development of alternative energy technologies that can enable a reduction of carbon emissions. A common example of this is the continuously growing electric and hybrid electric vehicle market. One of the main inhibiting factors for the widespread use of these vehicles is the limited energy and power densities of the batteries used to power them. The introduction of cathode materials that have both intrinsically higher capacities as well as higher operating potentials can help increase these metrics and further popularize EV technology. However, in order to allow the deployment of such cathodes, several issues need to be addressed. A main concern with these materials is the electrolyte decomposition that occurs at the cathode surface, decreasing the electrode performance. Artificial interface engineering is a promising strategy to address this problem. This interface engineering often takes the form of coating the cathode with a (sub)nanometer thin film of a protective yet ion conductive material. Atomic Layer Deposition (ALD) is a surface limited deposition technique that allows for conformal coatings of continuous nanometer thin films. It is an established technique in nanoelectronics and now being explored also for interface engineering in batteries. In this master thesis, the student will work on the development of ALD coatings inside the porous Li‐ion cathodes which can have thicknesses up to 100 micrometers. Conformal coating of few nanometer thin oxides on these high surface area composite electrodes will be the challenge. The measured performance of these coated electrodes will be a measure for success. You will learn about electrochemistry, as much of the characterization relies on 3‐electrode cell measurements. Additionally, a good understanding of the chemistry of ALD processes will be beneficial since the cathode electrode substrates are complex, multi‐component systems. Therefore, the ALD process might differ for the components present in the cathode, e.g. preferentially on some and not on others. All the experimental work will be carried out at IMEC. Therefore, having access to a fully equipped battery lab as well as to a wide variety of physical characterization techniques (TEM, EDX, ERD, XRD, SEM, RBS).
Master Nanoscience and Nanotechnology
Thesis Title: Entropic effects in thin‐film Li‐ion materials for thermal energy harvesting
Promotor: Philippe Vereecken
Faculty/Research Group: imec‐Estore (FBIW/M2S/cMACS)
Daily Supervision: Liese Hubrechtsen
Type of work: 85% Experimental, 15% literature
Number of students: 1
Specialisation Option
Nano materials and nanochemistry x
Nano‐electronic design
Nano devices and nanophysics
Bio‐nanotechnology
Description:
Meeting our ever‐increasing demand for energy is becoming a growing concern for many people. In this context, it is interesting to note that large percentages of the energy we produce actually end up unused – according to a recent study, this figure reaches 67 % in the United States alone. Much of this rejected energy takes the form of heat. Increasing scientific interest is being devoted to the development of technologies that are able to harvest energy from low‐grade heat sources and directly convert it to electricity. Amongst these technologies, thermoelectrics are the most well‐known.
Recently, very strong thermoelectric effects have been observed in polymer electrolytes. These effects are a consequence of thermodiffusion of ions when a thermal gradient is present in a material. The magnitude of the ionic thermoelectric coefficient is linked to the transport number asymmetry in electrolytes as well as the entropy of transport of the constituent ions. Solid state Li‐ion electrolytes are known to possess near‐unity Li‐ion transport numbers, suggesting significant ionic thermoelectric responses.
In this master thesis, the student will help build a test platform to measure ionic thermoelectric coefficients in thin‐film Li‐ion electrolytes. Using thin‐film deposition and patterning techniques, a test structure to measure in‐plane ionic thermoelectric effects will be fabricated and characterized electrically. The thin‐film electrolyte will be deposited on this structure using sputter deposition and patterned using wet or dry etching techniques. The test structure will then be deployed to measure thermodiffusion in this thin‐film electrolyte with DC transient measurements. Resulting interfacial charge build‐up will be probed using impedance spectroscopy. The experimental work of the project is carried out at imec facilities. Next to the fully equipped battery lab and thin‐film deposition facilities, the imec state‐of‐the‐art nanofabrication and characterization facilities will be available to carry out the research. In this master thesis, a good understanding of thermodynamics, electrochemistry, semiconductor physics and thin‐film processing is helpful. The ideal candidate is highly self‐motivated, eager to learn, and works well independently.
Master Nanoscience and Nanotechnology
Thesis Title: Mechanism analysis of Pt e‐ALD for catalyst in fuel cell
Promotor: Philippe Vereecken
Faculty/Research Group: ESTORE/imec
Daily Supervision: Siggi Wodarz
Type of work: 90% Experimental, 10% literature
Number of students: 1
Specialisation Option
Nano materials and nanochemistry x
Nano‐electronic design
Nano devices and nanophysics
Bio‐nanotechnology
Description:
In this topic, the student will learn basic knowledge of electrochemistry and
electrochemical characterization methods by analyzing detail mechanism of electrochemical
atomic layer deposition (e‐ALD) of platinum monolayer.
Platinum (Pt) is the most effective catalyst for both hydrogen oxidation and oxygen
reduction in proton exchange membrane fuel cells. However, the high cost of Pt catalysts has
been considered as the obstacles to apply for commercial fuel cells. Thus, many approaches
have been developed to minimize the Pt loadings by preparing Pt nanostructures in different
shapes, such as Pt nanoparticles and Pt monolayer.
Atomic layer deposition (ALD) is a method for forming nanofilms of materials one
atomic layer at a time using surface limited reactions. Surface limited reactions occur only at
the surface, and once the surface is covered, the reaction stops. Electrochemical atomic layer
deposition (e‐ALD) is ALD in an electrochemical environment. Formation of Pt monolayer has
been successfully demonstrated by e‐ALD. However, the growth mechanism of Pt has not
been fully clarified. To further enhance the catalytic performance of Pt monolayer it is
important to understand the mechanism of Pt e‐ALD in detail. In this topic, the student will
analyze the effect of additives in electrolyte on growth behavior of Pt monolayer by
electrochemical/surface characterization methods.
Master Nanoscience and Nanotechnology
Thesis Title: Synaptro‐pHluorin
Promotor: Sebastian Haesler
Faculty/Research Group: NERF
Daily Supervision: Jasper Timmerman
Type of work: Metrology/characterization/biochemistry
Number of students: 1
Specialisation Option
Nano materials and nanochemistry X
Nano‐electronic design
Nano devices and nanophysics
Bio‐nanotechnology X
Description:
Understanding of fundamental neurophysiological mechanisms have led to various practical
strategies to interface with the brain which in turn haSynaptrve greatly broadened our knowledge base
in brain functionality. The synergy between technological advancement and neuroscientific insight is
a clear example of the necessity of multidisciplinary research. At Neuro‐Electronics Research Flanders
(NERF) we work at the forefront of technological and neuroscientific research housed under a single
roof. Supported by Imec and VIB infrastructure we have designed and fabricated a next generation
brain computer interface (synaptrode) with unprecedented integration capabilities. A molecularly
defined interface is formed at the synapse level by inducing synapse formation between neurons and
electrodes on chip.
The goal of this project is to take the first steps into building an electro‐optical transducer to monitor
and manipulate neural activity on the synaptrode platform. In practice, synaptophluorin expressing
neurons will be seeded in vitro onto synaptrode functionalized substrates, starting with glass surfaces
and gradually working up to integrated optical and electrical circuits.
At Neuro‐Electronics Research Flanders (NERF), we offer the student a highly immersive experience in
an international research environment with access to state‐of‐the‐art equipment and ample
opportunity to learn from junior and senior researchers with diverse backgrounds and expertise. We
expect highly self‐motivated candidates with a curious mindset, good communication skills, and
creative problem‐solving skills. Mastery of the English language is a must, as well as willingness to
expand knowledge across scientific disciplines. The project consists of 20% literature study, 30%
fabrication, and 30% imaging and analysis.
Master Nanoscience and Nanotechnology
Thesis Title: Design of Broadband Photonic Integrated Components for Optical Coherence Tomography
Promotor: Pol Van Dorpe
Faculty/Research Group: Physics/Solid state and Magnetism / imec Life Science Technology Dept.
Daily Supervision: Gunay Yurtsever
Type of work: Photonics integrated circuit design, modelling, simulation, light propagation in waveguides, Python scripting
Number of students: 1
Specialisation Option
Nano materials and nanochemistry
Nano‐electronic design
Nano devices and nanophysics X
Bio‐nanotechnology
Description:
Optical coherence tomography (OCT) is a non-invasive optical imaging modality that can acquire subsurface images of biological samples in both two and three dimensions. OCT is being widely used in ophthalmology, where it provides structural images of the retinal layers that cannot be obtained by any other imaging method. While OCT is being used in many hospitals around the world, costs and complexity are still prohibitive for widespread application in existing and novel areas. Current implementations of OCT systems are commonly based on fiber and free-space optical components. Photonic integration of optical components on a wafer-level has shown advantages in cost, size, stability and power efficiency. In the scope of this thesis, the student will design and simulate broadband integrated photonic components suitable for OCT. These components will be splitters, edge couplers, grating couplers and interferometers. If successful, the designs can be used in a European project where the goal is to create a hand-held OCT device that will be tested for retinal imaging. During the design process the student will learn about optical coherence tomography and master the widely used integrated photonics design package Lumerical. The work will also include some Python scripting.
Master Nanoscience and Nanotechnology
Thesis Title: Quantitative 3D mapping of carrier concentration in quantum‐confined heterostructures for power and RF applications
Promotor: Marc Heyns (KULeuven), Kristof Paredis (imec)
Faculty/Research Group: Materials and Components Analysis (imec) – Materials Engineering (KULeuven)
Daily Supervision: Albert Minj (imec), Kristof Paredis (imec)
Type of work: Experimental (60%)‐Simulations (40%)
Number of students: 1
Specialisation Option
Nano materials and nanochemistry x
Nano‐electronic design x
Nano devices and nanophysics
Bio‐nanotechnology
Description:
III‐N is already recognized for bright and energy‐saving white light sources, which eventually led to
2014 Nobel prize in physics to its inventors. With further advances in III‐N semiconductors (GaN, AlN,
InN) epitaxy in the past decade, GaN is turning out to be the first choice in power and electronic
industry as well. This is because of the important technical advantages it has to offer, which includes
10× higher breakdown strength, faster switching speed, higher thermal conductivity and importantly,
quasi defect‐insensitive light emission properties. Its excellent current transport is accredited to
quantum confinement of high‐density carriers at its interface without the need of extrinsic doping. It
is now, thus, confidently expanding over power‐conversion applications including fast battery
chargers, smartphones, computers, servers, automotive, lighting systems and photovoltaics, where it
has tremendous potential to facilitate reduced power consumption. Emerging applications that are
high‐end solutions such as Light detection and ranging (LiDAR) applications, benefit significantly from
the high commutations speed of GaN power devices. Wireless charging solutions based on GaN,
highly anticipated to be adopted by industrial giants, is set to be a distinguished technology
achievement.
In all scenarios, be it a smoother advancement of current III‐N technology, novel device concepts or
implementation of III‐N nanostructures for devices, one of the main challenges is the quantitative
characterization of doping and its spatial distribution over an extent from micron to nanoscale within
multi‐layer heterostructures with quantum confinement properties at the interfaces. Using routine
characterization techniques, both doping concentration and its distribution cannot be assessed
separately. For example, chemical concentration of the dopant assessed by secondary ion mass
spectrometry or electron‐beam based technique does not always reflect the actual active carrier
concentration in these materials because of poor activation of p‐type dopants and activation of
dopants under irradiation. The thesis focuses on development of scanning spreading resistance
microscopy (SSRM) on III‐Ns. SSRM is known to be sensitive to free carrier concentration allowing its
quantitative estimation at nanoscale and has already been implemented on Si, SiGe, III‐Vs etc. It
Master Nanoscience and Nanotechnology
makes use of a sharp conducting probe to map two‐dimensional spreading resistance, which can be
converted into carrier concentration using a step‐graded calibration sample. Therefore, the main
objectives of the project are (1) understanding of current transport behavior at nanoscale, (2)
improvement of back ohmic contacts for removing high series resistance and (3) study of phase
transformation and defect generation at uniaxial pressures exceeding 30 GPa.
The student will be trained in advanced electrical SPM techniques Conductive Atomic Force
Microscopy (C‐AFM) and SSRM. In case of necessity for the deeper understanding of the results,
complimentary material characterization analysis such as Transmission electron microscopy (TEM)
and X‐ray photoelectron microscopy (XPS) analysis can be availed. Knowledge of TCAD simulation or
other numerical simulation is a plus. With the guidance of his supervisor, data interpretation and
analysis will also be a major part of the thesis/internship. As such, the student will be guided in
design of the experiment and understanding the resulting experimental data. A good command of
English is required. Start data and project duration can be discussed.
Master Nanoscience and Nanotechnology
Thesis Title: Fabrication of metal‐organic framework nanofilms for memory devices
Promotor: Rob Ameloot
Faculty/Research Group: Bioscience engineering / cMACS
Daily Supervision: Víctor Rubio Giménez
Type of work: Experimental
Number of students: 1
Specialisation Option
Nano materials and nanochemistry x
Nano‐electronic design
Nano devices and nanophysics
Bio‐nanotechnology
Description:
Electronic devices shape the world as we know it. The tremendous technological advances in
the last 50 years relied on the progressive miniaturization of these devices to construct
increasingly complex and powerful platforms. This achievement has been possible thanks to
low‐cost complementary metal‐oxide‐semiconductor (CMOS) microfabrication. However,
traditional CMOS materials (metal chalcogenides) suffer from a fundamental design limitation
due to the limited choice of inorganic anions. As a consequence, the electronics field is on the
verge of a dramatic transition towards organic and hybrid organic‐inorganic “beyond CMOS”
materials. This drive is especially intense for memory devices, as current silicon‐based flash
memories suffer from low operation speed, poor endurance, high write voltage and
miniaturization limitations due to leakage currents. An emerging candidate to replace them
are memristors (memory resistors), these circuit components store information by switching
between a high‐resistive state (HRS or ‘off’ state) and low‐resistive state (LRS or ‘on’ state)
with the application of a (write/erase) voltage.
Metal‐organic frameworks (MOFs) stand out as potential active materials in memristors due
to their synthetic versatility and porous structure. MOFs are a combination of metal ions and
polytypic organic ligands into multidimensional porous frameworks. Thus, the amount of
metal ions and organic ligands give a huge amount of possible combinations to design tailor‐
made materials. Moreover, their porous nature could endow the memory behavior with
chemical responsiveness to guest molecules, such as gases and organic vapors. In order to
fabricate MOF‐based devices, there is a need to use robust thin film deposition methods
compatible with microfabrication standards. Chemical vapour deposition (CVD) is a scalable
solvent‐free method that meets these requirements. The Ameloot group is one of the
pioneers in using CVD to fabricate MOF thin films.
Master Nanoscience and Nanotechnology
In this project, you will be involved in developing a MOF‐memristor device prepared via CVD,
including: preparation and characterization high quality of MOF thin films; design, fabrication
and electrical characterization of the memory devices, testing the resisting switching
performance under different guest atmospheres. You will also have the opportunity to work
closely with other group members researching MOF‐CVD, learning about alternative
applications of MOF thin films such as chemical sensing.
We are looking for a highly motivated MSc student eager to work on an interdisciplinary
research topic at the cross‐roads of materials chemistry, surface science, and electronics. The
student will be directly involved in all steps of device fabrication and characterization carried
out in the Leuven Chem&Tech and Nanocenter facilities. The subject will only be awarded
after a preceding face‐to‐face meeting. Good English writing and oral skills are required.
Please take a look at our group website to get an overview of our research:
http://www.amelootgroup.org/
If you have any questions about this topic, don’t hesitate to contact Víctor
Master Nanoscience and Nanotechnology
Thesis Title: Multispectral Thin‐Film Image Sensors
Promotor: Paul Heremans
Faculty/Research Group: Faculty of Engineering Science, Large Area Electronics, imec
Daily Supervision: Vladimir Pejovic
Type of work: lab processing, electrical and optical characterization
Number of students: 1
Specialisation Option
Nano materials and nanochemistry Nano‐electronic design
Nano devices and nanophysics Bio‐nanotechnology
Description:
In recent years, there is a significant increase in the integration of infrared image sensors in many
everyday use devices such as smartphones and laptops, driven by the need for biometric sensors
such as face recognition and fingerprint scanners. At the same time, a variety of new applications
such as self driving cars, augmented reality (AR), virtual reality (VR) can take advantage of
developments in the infrared imaging technology. Specifically, a growing demand exists for sensing
technologies in the short‐wave‐infrared (SWIR), which relates to wavelengths in the range of 1µm‐
2µm. Nowadays, the available technologies for IR sensors is rather limited. Silicon can only detect in
the near‐infrared range (NIR) with a strong cut‐off after 900 nm and the III‐V semiconductors that are
used for SWIR spectrum are having constraints in terms of throughput, resolution and high cost.
Colloidal quantum dots (QDs) offer an alternative approach in detecting infrared light. Taking
advantage of the quantum confinement, QDs, depending on their size can be tuned to detect
different spectra from visible up to the NIR and SWIR. Furthermore, they can be deposited from
solution over large area with low cost techniques such as spin coating. Integration of colloidal QDs on
CMOS chips has been successfully demonstrated and commercial products are already available on
the market, competing with traditional III‐V semiconductor‐based products. The present solutions
are based on a thin‐film photodiode with QDs as its absorber. Such a sensor captures signals in a
narrow region of IR spectrum, defined by the size of QDs deployed in the photodiode structure. The
goal of this project is to exploit features of colloidal QDs such as solution‐processability and size‐
dependant absorption in order to develop more complex device structures, capable of capturing
signals in two distinct regions of IR spectrum. Having a low‐cost image sensor that can operate in
multiple IR bands would be attractive for many industrial applications.
The student will focus on investigation of novel architectures for multispectral IR photosensors and
their fabrication in wet chemical labs. Training on the relevant processing and characterization tools
will be given and after a short introduction to the facilities, an independent investigation is expected
with the focus on short‐term research goals.
Master Nanoscience and Nanotechnology
Thesis Title: Nanophotonic Raman Spectroscopy for sensitive molecular fingerprinting
Promotor: Pol Van Dorpe
Faculty/Research Group: Physics /Solid state and magnetism / imec Life Science Technology Dept.
Daily Supervision: Aadhar Jain
Type of work: Experimental, Simulation
Number of students: 1
Specialisation Option
Nano materials and nanochemistry
Nano‐electronic design
Nano devices and nanophysics X
Bio‐nanotechnology X
Description:
Raman spectroscopy is rapidly emerging as an important methodology in the bio‐pharma
industry and as a powerful bio‐analysis tool due to its inherent ability to probe vibrational
modes and therefore, provide a structural fingerprint for uniquely identifying molecular
components of the analyte. However, the intrinsically weak nature of Raman scattering
typically requires complex instrumentation for accurate analysis, thus preventing more wide
scale adaptation of this powerful method. The next major step in its evolution is the
identification of novel strategies that can enable Raman signal enhancement on small scale
devices that can be deployed at point‐of‐need or even used by individuals for personal
diagnostics. Our team is engaged in development of such a platform, comprising of
nanophotonic waveguides, and capable of intensifying the Raman signal by multiple orders
of magnitude, while reducing the structural footprint to a single chip. This further opens the
possibility of leveraging the powerful resources of the photonics toolbox to develop an
integrated and compact Raman spectrometer.
In this master thesis topic, the student will be deeply involved in development of next
generation of waveguide‐based Raman spectroscopy for potential applications in focus areas
like drug development and personalized medicine. The student will gain experience in all
important aspects of research and development – from developing a theoretical and
simulation framework to hands‐on experimental design and implementation, and
subsequent data analysis for validation of experimental results.
The main research objectives are as follows:
Experimental characterization of performance and limitations of current generation
of devices through necessary optical setup design and automation.
Master Nanoscience and Nanotechnology
Improvement of existing theoretical and simulation models for enhanced
understanding of experimental results, and thereby informing the evolution of the
next generation of devices.
Developing new photonic designs and structures to incorporate advanced Raman
techniques like surface‐enhanced Raman spectroscopy (SERS) and stimulated Raman
spectroscopy (SRS)
Master Nanoscience and Nanotechnology
Thesis Title: Metal crystal phase dependent H2 generation over Au‐graphene photocatalyst
Promotor: Maarten Roeffaers
Faculty/Research Group: CMACS
Daily Supervision: Bo Weng
Type of work: Experimental, catalyst development
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry X
Nanoelectronic design
Nanodevices and nanophysics
Bionanotechnology
Description:
Conversion of solar energy into chemical energy in the form of so‐called “solar fuels”, such as H2, is considered one of the most perspective strategies for producing renewable clean energy to alleviate our dependence on fossil fuels, which could also solve the CO2 problem to develop low carbon emission economy and sustainable energy in the future. To perform the hydrogen evolution reaction (HER), the photocatalytic system usually requires a highly efficient hydrogen evolution cocatalyst. Therefore, great research efforts have been devoted to explore noble metal gold (Au) as cocatalysts for HER because of their high chemical stability, unique plasmonic and distinctive catalytic properties. However, their practical applications are limited by their high cost and scarcity on the earth. Engineering crystal structures of Au nanocrystals have recently been revealed to significantly affect their physicochemical properties, such as optical, catalytic and electronic properties, which opens up new opportunities to reduce their usage amount and boost their catalytic performance, thus solving these problems. Nevertheless, there are few studies lucubrating in the relationship between crystal phases of metal Au (i.e., hcp and fcc) and photocatalytic property for storing the energy in sunlight, and the construction of efficient hcp Au‐based composites photocatalysts for solar energy conversion is still virgin. During this master thesis you will firstly be involved in the synthesis of Au nanoparticles (NPs) with different crystal phases, including hcp and fcc. After that the graphene matrix with outstanding electronic, optical properties and large surface area will be introduced as excellent scaffold for dispersing the Au NPs, forming new nanocomposite hybrid materials with improved properties as compared with single components. By decorating metal Au with different phases (i.e., hcp and fcc) onto the surface of graphene, the photocatalytic activity toward H2 generation using Eosin Y as photosensitizers over these composites will be evaluated to correlate crystal phases of Au with their photocatalytic properties. Combining the advanced characterizations, such as high sensitivity fluorescence microscopy, X‐ray absorption, steady‐state and time‐resolved photoluminescence spectroscopies, transient absorption (TA), photo‐electrochemical spectra and density functional theory (DFT) calculations, the intrinsic difference of the optical and electronic properties between hcp and
Master Nanoscience and Nanotechnology
fcc Au can be systematically disclosed, thus clarifying the essence of how Au crystal structures affect their photoactivity. Skill set that will be developed during the project: 1. Synthesis of noble metal Au NPs. 2. Detailed structural and compositional characterization (XRD, SEM, optical microscopy, UV‐
Vis spectroscopy, Raman,…). 3. Photocatalytic activity testing. 4. Broaden general knowledge on photocatalysis and sustainable chemical processes. For more information: [email protected]
Master Nanoscience and Nanotechnology
Thesis Title: Development of an advanced multiplex diagnostic test for a rare autoimmune disease
Promotor: Jeroen Lammertyn, co‐promotor: Dragana Spasic
Faculty/Research Group: Faculty of Bioscience Engineering, Dept. Biosystems, Biosensors group
Daily Supervision: Jiahuan Qu
Type of work: Experimental
Number of students: 1
Specialisation Option
Nano materials and nanochemistry
Nano‐electronic design
Nano devices and nanophysics
Bio‐nanotechnology X
Description:
Over the past decade we have been witnessing an innovation boom in the biosensing field
leading to continuous improvements in sensitivity, specificity, equipment flexibility, cost
effectiveness, and functional versatility of biosensors. Multiplexing technology has certainly
captured a lot of attention in this research field as the one to enable simultaneous detection
of multiple analytes in one sample, thus offering several advantages, including the reduction
of sample usage and cost.
In the context of this, the objective of this master thesis is to focus on establishing multiplexing
strategies on a fiber optic surface plasmon resonance (FO‐SPR) biosensor, which is a well‐
developed platform in the MeBioS‐Biosensors group and also recently commercialized by FOx
biosystems (www.foxbiosystems.be). FO‐SPR is a fiber‐based and easy‐to‐use alternative for
the expensive and more complex SPR systems. Over the past decade, this platform has been
developed for real‐time monitoring of binding reactions and quantification of proteins, nucleic
acids, antibodies and small molecules (e.g., allergens, infliximab, progesterone, etc). Recently,
we have achieved multiplex detection by introducing cobalt‐nitrilotriacetic acid (Co‐NTA)
surface chemistry for more oriented and stable bioreceptors immobilization on the gold
coated FO probe for the first time in SPR field. As a follow‐up, we will explore the potential of
protein origami in surface structuring, i.e. spacing bioreceptors and reducing steric hindrance
to further improve the sensing performance of multiplex bioassay. In this thesis, we will focus
on establishing multiplex rapid diagnostic test for thrombotic thrombocytopenic purpura
(TTP) disease. TTP is a rare and fatal hematologic disease with blood clots in blood vessels,
causing low level of platelets and a series of life‐threatening consequences. Therefore, rapid
Master Nanoscience and Nanotechnology
and accurate diagnosis is urgently needed when TTP is suspected in patients without clinically
apparent symptoms to avoid clinical delay and achieve timely and precise treatment.
During the thesis, you will start from sequential co‐immobilization of two different
bioreceptors on the same probe for multiplex bioassay of two TTPs biomarkers in both buffer
and serum. In the next phase, you will study the behavior of protein origami by immobilizing
it on FO probe using Co‐NTA chemistry and developing multiplex bioassays. Furthermore, you
will work on introducing protein origami in between the sensing surface and bioreceptors,
thereby studying the effect of this on sensing improvement. Depending on the success of this
part, you will further explore potential of the platform to detect more than two targets
simultaneously. You will learn how to fabricate FO‐SPR probes involving cutting of optical
fibers, gold sputtering, NTA‐SAM surface chemistry, protein origami, and functionalization of
bioreceptors. Meanwhile, you will discover the practical use of surface chemistry and colloid
chemistry in real application. Besides, you will also get trained in how to plan your experiments
and analyze the data using different software (Excel & Matlab).
For more information see www.biosensors.be and/or contact [email protected]
Master Nanoscience and Nanotechnology
Thesis Title: Allotropic ruthenium nanoparticles as heterogeneous catalysts
Promotor: Maarten Roeffaers
Faculty/Research Group: CMACS
Daily Supervision: Michaël Gebruers
Type of work: Experimental, synthesis, catalysis
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry X
Nanoelectronic design
Nanodevices and nanophysics
Bionanotechnology
Description:
For long, allotropic forms of different chemical elements have been known, with the most commonly
known examples being diamond and graphite as allotropic carbon structures. It has been thought for
long that metals, such as ruthenium, only have one stable allotropic form; for ruthenium this is the
hexagonal close packed (hcp) structure. It has recently been discovered that ruthenium nanoparticles
can also be synthesized with a different crystal structure, the face cantered cubic (fcc) structure. This
allotropic crystal structure of ruthenium is stable up to 450°C. In contrast to the effect of nanoparticle
size and shape, the effect of the crystal structure on the catalytic activity has not been thoroughly
investigated yet. It has however already been shown that allotropic ruthenium nanoparticles display
vastly different catalytic performances for example in the oxidation of CO to CO2. This makes them an
interesting material to explore in a broad range of different catalytic reactions, to improve the
efficiency of these reactions.
The focus of this project lies on the synthesis, characterization and catalytic testing of allotropic
ruthenium nanoparticles. Besides wet synthesis, impregnation of these catalysts on several porous
supports, such as Al2O3 and SiO2, will be explored to determine the support effects on both crystal
structures. After synthesis, the shape and structure of these newly formed materials will be evaluated
by various different state‐of‐the‐art characterization techniques, like electron microscopy (EM) and X‐
ray diffraction (XRD). Further characterization will be performed by N2 physisorption experiments to
determine the particle size and surface area. Furthermore, fcc an hcp ruthenium nanoparticles will be
compared in various catalytic reactions to determine the difference in catalytic activity of both crystal
structures and to establish a structure‐function relation.
The skills you will gain during this master thesis include (1) Experience in wet chemical methods for
the synthesis of novel allotropic nanoparticles; (2) Hands‐on experience with materials
characterization by electron microscopy, X‐ray diffraction and N2 physisorption experiments; (3)
Insights in various catalytic pathways of heterogeneous catalysis with allotropic ruthenium
nanoparticles; (4) Data interpretation and independent research.
Master Nanoscience and Nanotechnology
More information? [email protected], [email protected] or
Master Nanoscience and Nanotechnology
Thesis Title: Detecting protein translocations on SiN nanopores
Promotor: Pol Van Dorpe
Faculty/Research Group: Physics / Solid‐State physics & magnetism / Imec Life Science Technology Dept.
Daily Supervision: Jaco Delport
Type of work: Experimental
Number of students: 1
Specialisation Option
Nano materials and nanochemistry
Nano‐electronic design
Nano devices and nanophysics
Bio‐nanotechnology X
Description:
Nanopores have shown incredible promise in DNA sequencing in small point of care devices, as
illustrated by the success of the Oxford nanopore mini‐ION sequencer, that was used in the field
during the Ebola outbreak. Imec is doing research to integrate nanopores with semiconductor
technology. This holds the promise of dense arrays of nanopores with integrated electronics for high‐
throughput sensing that will enable cost‐effective point‐of‐care DNA or proteomic tests facilitating
the early diagnosis of cancer and other diseases.
This master thesis topic is on using SiN nanopores manufactured by imec to detect DNA and proteins
as they translocate through the nanopore. The biomolecule translocation results in a drop in the
ionic current through the pore that can be detected with a sensitive patch‐clamp current amplifier.
The student will investigate the bandwidth of the technique – what is the shortest DNA fragment
that can be detected? The next challenge will be detecting proteins ‐ which are challenging to detect
as they translocate the nanopore extremely fast (typical, 1µS). The use of lipid bilayer coatings to
slow down protein translocation will be investigated to enable reliable protein translocation
detection. Features of the translocation signal will be analyzed to extract information like the protein
size and shape.
We are looking for a student with an interest in experimental work at the interface of physics,
biology and engineering. The research objectives are:
- Develop a reproducible protocol for DNA translocation on SiN nanopores - Experimentally determine the bandwidth of the setup - Develop suitable anti‐foaling coatings e.g. lipid‐bilayers for protein translocation on
nanopores - Develop methods for slowing protein translocation though nanopores to make them
detectable with the bandwidth of the setup.
Master Nanoscience and Nanotechnology
Master Nanoscience and Nanotechnology
Thesis Title: Synthesis and characterization of allotropic copper nanocatalysts
Promotor: Maarten Roeffaers
Faculty/Research Group: CMACS
Daily Supervision: Alexey Kubarev
Type of work: Experimental, catalyst development
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry X
Nanoelectronic design
Nanodevices and nanophysics
Bionanotechnology
Description:
Platinum and palladium are widely used as catalysts in chemical industry and as automotive catalysts.
However, they are rare and expensive, motivating a strong desire to find alternatives. Copper, being
cheaper and far more abundant, has been in the focus of catalytic research for some time, but has only
found success in a handful of industrial chemical processes. Recently, however, researchers have
envisioned copper nanoparticles with a novel type of crystalline structure which is vastly different to
its typical structure. The physical properties of these new copper nanoparticles are not yet understood,
though promise for enhanced catalytic performance and enhanced photonic properties can be
expected based on recent theoretical work and a comparison to other non‐typical‐structure metal
nanoparticles. The aim of this project is to develop and optimize the synthesis of these copper
nanocatalysts, characterize them and evaluate their catalytic performance.
In this project you will develop innovative synthetic procedures to grow pure allotropic copper
nanocrystals. As properties of nanocrystals strongly vary with size, shape, crystal phase, and support
material, you will then optimize these synthesis protocols for the controlled production of allotropic
copper nanocrystals of desired properties.
To assist rational optimization of the synthetic procedures you will perform thorough characterization
of the obtained materials. You will use state‐of‐the‐art catalyst characterization tools such as SEM,
XRD, Raman scattering spectroscopy, fluorescence microscopy, and others.
Finally, within the context of potential industrial‐level applications, you will use these allotropic copper
nanocrystals for selective catalytic conversions. You will explore and exploit the unique properties of
the noble metal allotropes to generate improved selective hydrogenation catalysts.
This master thesis is going to be performed in a framework of the larger research project, which is
targeting to develop novel catalysts based on the allotropic metal nanoparticle materials. These
catalysts are going to be tested in the wide range of hydrogenation reactions. This research is going to
be performed on the basis of the research group of Prof. Maarten Roeffaers (Centre for Membrane
Separations, Adsorption, Catalysis and Spectroscopy for Sustainable Solutions) and in a close
Master Nanoscience and Nanotechnology
collaboration with the laboratory of Molecular Imaging and Photonics (Prof. Johan Hofkens,
Department of Chemistry). Due to the multidisciplinary nature of this project, you will be working in
close contact with both catalysis and spectroscopy experts. Besides wet‐lab chemical experience
(nanoparticle synthesis, catalytic performance testing) you will also get hands‐on training on state‐of‐
the‐art equipment for the characterization of these materials.
For more information about the project please contact [email protected] or
Master Nanoscience and Nanotechnology
Thesis Title: Shaped MOFs Single Crystal Synthesized in Confined Mold and Its Application on Gas Sensor
Promotor: Rob Ameloot
Faculty/Research Group: Bioscience engineering / cMACS
Daily Supervision: Benzheng Xia
Type of work: Experimental
Number of students: 1
Specialisation Option
Nano materials and nanochemistry x
Nano‐electronic design
Nano devices and nanophysics
Bio‐nanotechnology
Description:
MOFs are highly porous materials consisting of metal ions linked by organic ligands. Their porosity and
tunable nature make MOFs excellent candidates for catalysis, gas storage and chemical sensors.
Generally, the electrical conductivity of MOFs is weak, which limits their application in some types of
electronics. However, their electrical conductivity can be improved by doping or introducing π‐
conjugates molecules.
In this thesis topic, we aim to synthesize large MOFs single crystals and to increase their electrical
conductivity by loading conjugated guest molecules. Compared to conventional polycrystalline MOF
films, single crystals have no grain boundaries and a smooth surface. Therefore, better electron
transfer and device performance are expected. By controlling the guest molecule loading, an optimal
conductivity can be achieved while retaining the MOF porosity. Because of the combination of these
properties, we can target gas sensors with an improved selectivity and sensitivity.
This topic includes three key steps: First, we will design and fabricate the mould to determine the
shape of HKUST‐1 single crystals. Compared to the bulk crystals, shaped crystals are more beneficial
to integrate with devices. Second, HKUST‐1 single crystals will be grown in the mould and loaded with
TCNQ. This guest molecule can bridge the metal sites in the framework, leading to localized conducting
regions. Characterization techniques will include XRD, NMR, IR, SEM, TGA, and physisorption. The final
step is to collect the sensing signal under gas dosing.
For this project, we are looking for a highly motivated Master’s student with keen interests in materials
chemistry and devices fabrication. The student will be directly involved in all steps of materials
synthesis and devices fabrication. The bulk of the research activities will be carried out in the Leuven
Chem&Tech and Nanocenter facilities. The subject will only be awarded after a preceding face‐to‐face
meeting. Please have a look at our group website to get an overview of our research:
Master Nanoscience and Nanotechnology
http://www.amelootgroup.org/. If you have any questions about this topic, don’t hesitate to contact
Ben ([email protected]).
Master Nanoscience and Nanotechnology
Thesis Title: A skin perfusion model for optimizing microneedle‐based blood sampling from capillaries
Promotor: Jeroen Lammertyn
Faculty/Research Group: Faculty of Bioscience Engineering, Dept. Biosystems, Biosensor group
Daily Supervision: Lorenz Van Hileghem
Type of work: Experimental and/or modelling
Number of students: 1
Specialisation Option
Nano materials and nanochemistry
Nano‐electronic design
Nano devices and nanophysics
Bio‐nanotechnology X
Description:
Globally, millions of blood samples are collected daily for diagnostics. In current medical
practice, venous blood is most commonly drawn by phlebotomists with a syringe and
hypodermic needle. This procedure, called venipuncture, is time‐consuming and requires
trained medical personnel. Moreover, in addition to widespread needle phobia, this practice
carries a risk of infection both to the patient and healthcare workers through needle re‐use
and needle‐stick injuries, respectively. In the developing world, up to 50% of needle use is
regarded as unsafe.
For decades, microneedles have been explored as an alternative to traditional needles since
they are minimally invasive and reduce risks of needle‐stick injuries and infections due to non‐
sterile usage. Of great interest is the compatibility of microneedle array patches with an in‐
house developed microfluidic technology, called (i)SIMPLE (Imbibing Microfluidic Pump by
Liquid Encapsulation). This is a point‐of‐care suitable microfluidic technology that allows the
development of disposable, robust, and easy‐to‐use bio‐fluid sampling solutions in the form
of a wearable patch. However, the lack of skin models mimicking the capillary blood
availability and mechanical properties of the skin, complicate the development of such
devices.
The overall goal of this thesis is to further explore microneedle‐skin interaction with focus on
improved microneedle design for capillary blood draw. The student will learn aspects of high‐
resolution imaging (e.g. X‐ray microtomography) to study skin perfusion, and microfabrication
techniques (e.g. lithography, microscale 3D printing…) to build new in vitro skin models in
order to identify design rules for microneedle‐based sampling devices.
Master Nanoscience and Nanotechnology
During the thesis project, the student will develop hands‐on experience in (micro)fabrication
and will be stimulated to come up with creative, out‐of‐the‐box solutions. Depending on the
project’s requirements and in agreement with the student’s expertise, the project can be
more bio‐ or technology‐oriented, or even with a more theoretical approach.
For more information see www.biosensors.be and/or [email protected]
Master Nanoscience and Nanotechnology
Thesis Title: Detection of carbon air‐pollutant nanoparticles using novel optical techniques.
Promotor: Maarten Roeffaers
Faculty/Research Group: CMACS
Daily Supervision: Imran Aslam
Type of work: Literature study, Experimental, Data analysis
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry X
Nanoelectronic design
Nanodevices and nanophysics
Bionanotechnology X
Description:
Societal awareness related to climate and environmental pollution has undeniably peaked
recently. Despite the irrefutable utility of the automobile and the energy sectors in industry,
an increase in environmental pollution is observed as a detrimental consequence. Air pollution
has a huge impact on our health and the global warming of our planet, which annually costs
the global economy more than 4 trillion euros and leads to a staggering amount of premature
deaths. In its most recognizable form, air pollution occurs as black smoke consisting of nano‐
and micro‐sized soot or black carbon (BC) particles resulting from the incomplete combustion
of fuels. In addition to direct intake via breathing, BC also makes its way to soil and water,
enhancing the probability of human‐BC interaction. The behaviour of particles in air varies
from those in aqueous environment, wherein aggregation is observable, which possibly
modifies their properties. To investigate the impact of BC there is need for experimental
methods that enable direct detection of those particles in relevant samples.
Recently, detection of carbon black particles using pulsed laser illumination without the need
of adding any (fluorescent) labels has been discovered by our group in collaboration with
UHasselt. Further investigations of black carbon using optical characterization techniques
could be a key step to measure the exposure to air pollution for individual persons.
The aim of this thesis project is to further develop novel optical approaches for the detection
of carbon air‐pollutant nanoparticles. Specifically, various methods for the collection of black
carbon pollutants will be explored. Also, label free detection technique would be used to study
the behavior of nanoparticles under pulsed laser illumination. As such, these advanced optical‐
based approaches will play an important role in future health‐related studies where the
impact and role of BC particles is to be assed. Hence, these studies would be a key step to
understand the personalized exposure to air pollution for individual persons. The student will
Master Nanoscience and Nanotechnology
be trained in different microscopy and spectroscopy techniques. This project will provide an
opportunity to work in a team with stimulating research environment on the forefront of
optical characterization.
Interested or looking for more information? Do not hesitate to contact
Master Nanoscience and Nanotechnology
Thesis Title: Area‐selective deposition of metal‐organic framework nanocrystals
Promotor: Rob Ameloot
Co‐promoter: Silvia Armini
Faculty/Research Group: Bioscience engineering / cMACS
Daily Supervision: Mikhail Krishtab
Type of work: Experimental
Number of students: 1
Specialisation Option
Nano materials and nanochemistry x
Nano‐electronic design
Nano devices and nanophysics
Bio‐nanotechnology
Description:
Metal‐organic frameworks (MOFs) are a rapidly growing class of microporous crystalline
coordination polymers that consist of metal ion nodes connected by multitopic organic linkers.
Because of their unique properties, including ultrahigh specific surface areas and a
functionalizable pore interior, these materials have the potential to disrupt application areas
such as catalysis, gas storage and molecular separations. In addition to these fields, where
MOF powders are rapidly approaching commercial viability, there is tremendous potential for
integrating MOFs into microelectronics, for example, in sensors, solid‐state batteries and on‐
chip interconnects.
Today the mainstream approach for deposition of metal‐organic frameworks in research labs
is based on solvothermal synthesis, which is not optimal for the preparation of high‐quality
thin films or nanocrystal arrays required by the microelectronics industry. One essential step
towards the successful integration of MOFs into devices has been the development of the
solvent‐free (CVD) MOF deposition approach by our group. The method consists of the
conversion of metal/metal oxide into MOF in the presence of the appropriate vaporized
organic ligand. This thesis project aims to fabricate MOF‐based nanoscale devices by
developing area‐selective bottom‐up MOF growth guided by patterned surface chemistry or
lateral confinement.
During the project, you will be directly involved in all stages of the experiment, including
surface pre‐conditioning (self‐assembly monolayers, plasma treatment), patterning,
CVD/MLD formation of MOF films/nanocrystal arrays as well as material and process
Master Nanoscience and Nanotechnology
characterization. Most of the experimental work will be carried out using the Leuven
Nanocentre cleanroom facilities).
We are looking for a highly motivated MSc student eager to work on an interdisciplinary
research topic at the cross‐roads of material chemistry and surface science. The subject will
only be awarded after a preceding face‐to‐face meeting. Good English writing and oral skills
are required. Please take a look at our group website to get an overview of our research:
http://www.amelootgroup.org/
If you have any questions about this topic, don’t hesitate to contact Mikhail Krishtab
Master Nanoscience and Nanotechnology
Thesis Title: Understanding Sliding Contacts at the Nanoscale Using Scalpel‐SPM
Promotor: Marc Heyns (KULeuven), Kristof Paredis (imec)
Faculty/Research Group: Materials and Components Analysis (imec) – Materials Engineering (KULeuven)
Daily Supervision: Komal Pandey (imec), Kristof Paredis (imec)
Type of work: Experimental (90%) – Data analysis (10%)
Number of students: 1
Specialisation Option
Nano materials and nanochemistry x
Nano‐electronic design
Nano devices and nanophysics
Bio‐nanotechnology
Description:
The recent development of scalpel‐Scanning Probe Microscopy (SPM) has paved a path towards
three‐dimensional (3D) tomography for obtaining relevant (piezo‐)electrical insight at nanoscale in 3
dimensions. This approach is a key enabler for probing novel 3D devices such as FinFETs and
Nanowires. The basic principle of scalpel‐SPM lies in the nanoscale tip‐induced physical removal of
the material where the rate of removal is controlled by the applied force on the cantilever. Other
parameters that can potentially affect the quality of scanned surface for a tip‐sample pair are tip
geometry, crystallographic orientation, process conditions, experimental environment etc.
Understanding their impact becomes crucial for the future development and implementation of this
scalpel technique. In this project we will conduct both experimental and theoretical studies to
fundamentally understand the wear mechanisms governing the material erosion at the nanoscale
sliding contacts for various experimental conditions.
During the internship or thesis, the student will independently design and perform dedicated
experiments. In parallel, some simulations will be run to correlate the SPM measurements with
theoretical models. Consequently, the student will both be trained in AFM and simulation software,
and will be taught to critically analyze, interpret and correlate data. Furthermore, the student will be
a part of a multidisciplinary R&D team and will be guided by experts in the field. Some basic
understanding of material physics is a plus, but a proactive can‐do attitude is even more important. A
good command in English is required. At the end of this internship or thesis, the student will leave
with knowledge on, material physics and skills on the characterization of state‐of‐the‐art devices
combined with an immersion into the high‐tech research environment of imec.
Master Nanoscience and Nanotechnology
Thesis Title: Integrated active microfluidic components for Lab‐on‐chip application
Promotor: Prof. Paul Heremans
Faculty/Research Group: Department of Electrical Engineering (ESAT)
Daily Supervision: Boshen Liang, David Cheyns
Type of work: Internship, Thesis, Combination of internship and thesis
Number of students: 2
Specialisation Option
Nano materials and nanochemistry OK
Nano‐electronic design NO
Nano devices and nanophysics OK
Bio‐nanotechnology OK
Description:
First developed in 1970s, Lab‐On‐Chip (LOC) devices have gained both academical and
commercial interests. With multiple laboratory functions integrated on a single chip, LOC has
provided a promising way to reshape the global health system by supplying cheap and reliable
medical diagnosis apparatus whenever and wherever they are needed. However, compared
to fast‐developing CMOS industry where memory and logic components are scaled towards
smaller and smaller dimensions, few progresses have been made in scaling medical diagnosis
devices into portable manners. One of the main obstacles is that current techniques for fluid
pumping, isolation and analysis require components based on different physics, made on
different platforms and packaged in different formats.
This research aims to address two of the above challenges – fluid pumping and isolation – by
developing an integrated and efficient system with micropumps and microvalve on Imec’s
microfluidic platform. The developed components should be leakage tight and have fast
switching speed. It needs to be compatible with rest parts of the system and should show its
upscaling potential for mass production.
This multidisciplinary topic tackles different aspects and includes the following steps:
literature study to obtain a state‐of‐the‐art overview of the field, material screening, device
fabrication and characterization. During the project the student will interact with different
experts from fields in electronics, biology and materials. Hands‐on cleanroom experience and
simulations (COMSOL Multiphysics®) knowledge can be expected. In the end, the student
should give a presentation and write a report/thesis. Depending on the results a journal
publication should be prepared.
Master Nanoscience and Nanotechnology
Thesis Title: Investigation of low temperature epi with high order precursors
Promotor: Stefan De Gendt
Faculty/Research Group: Faculty of Science / Molecular Design and Synthesis
Daily Supervision: Andriy Hikavyy (imec)
Type of work: Experimental
Number of students: 1‐2
Specialisation Option
Nano materials and nanochemistry
Nano‐electronic design
Nano devices and nanophysics X
Bio‐nanotechnology
Description:
Nanosheet and nanowire‐based MOSFET devices (also called Gate‐All‐Around or GAA MOSFET) provide an
optimal electrostatic control of carriers in the channel. They are expected to extend transistor scaling
beyond the FinFET limits. GAA designs take advantage of a process flow relatively comparable to that of
FinFETs. Vertical stacking of GAA channels allows to maximize the drive current for a given footprint on
the wafer. However, these devices do not provide benefits in scaling the cell height. As an alternative,
novel vertically stacked lateral nanosheet devices such as Complementary FET (CFETs) have been
proposed. The new devices set special challenges on the conditions used for the epitaxy of the
Source/Drain (S/D) contact layers. The S/D must be initiated on the nanosheet sidewalls. The growth then
proceeds laterally instead of the common vertical [001] direction, which modifies the growth behaviour
and the final layer properties.
Imec reported breakthroughs in the low temperature epitaxy of various SiGe materials. The epilayers are
grown by Reduced‐Pressure Chemical Vapor Deposition (RP‐CVD) with novel Si and Ge precursors. This
enables far‐from‐thermodynamic‐equilibrium epitaxial growth, leading to higher active S/D doping
concentrations at reduced thermal budgets. High levels of active doping are needed to reduce the contact
resistance to the devices and improve their performance. In the current project, the candidate will study
differences in epitaxial growth behaviour linked to modified device architectures. The orientation of the
starting surface affects the growth rate, the final material composition and doping concentration. A
special mask is available for this assessment. The final process evaluation will be done on real device
structures with scaled dimensions.
Master Nanoscience and Nanotechnology
Thesis Title: Modeling of a MOS‐like field‐effect transistor with a nanopore
Promotor: Pol Van Dorpe
Faculty/Research Group: imec/.../TCAD
Daily Supervision: Anne Verhulst
Type of work: modeling and simulation, analyzing semiconductor physics and fluid dynamics
Number of students: 1
Specialisation Option
Nano materials and nanochemistry
Nano‐electronic design
Nano devices and nanophysics X
Bio‐nanotechnology X
Description:
The continuous evolution of the metal‐oxide‐semiconductor field effect transistors
(MOSFETs) since the mid‐60s has enabled ultra‐scaled devices. This shrinking of MOSFETs
has primarily benefited the field of computing, enabling faster, less expensive and lower
power devices. The nano‐scaling of MOSFETs is also expected to benefit the interdisciplinary
field of bio‐sensing. While bio‐sensing and in particular DNA sequencing has been done
successfully by ion current sensing through nanopores, the nanopore FET has been proposed
as an alternative design. The detection of molecular motion through a nanopore with a FET
is expected to solve multiple challenges, by offering dense integration and parallel sensing.
In this master thesis, the student will investigate a MOSFET‐based device having a nanopore
through the channel of the FET. The nanopore conducts an electrolyte with (charged)
molecules of interest. The goal of this challenging project is that the student models the
electrical behaviour of the device, and that he/she optimizes the design for maximum
sensitivity of the electrical characteristics to the molecular motion through the nanopore.
This master thesis will complement the ongoing research in fabricating the nanopore FET.
For this master thesis, a good knowledge of semiconductor physics is required, as well as a
basic understanding of fluid dynamics. Simulations will be done with an existing software
package. The student can interact with both semiconductor device experts and fluid
dynamics experts at imec. During the master thesis, the student will also learn about the
fabrication process of the nanopore FET as well as about electrical and spectroscopic
characterization techniques.
Master Nanoscience and Nanotechnology
Thesis Title: Nano‐scale Field Effect Transistor for Biomolecule Sensing
Promotor: Pol Van Dorpe
Faculty/Research Group: Physics /Solid State Physics and Magnetism ‐ imec/Life Science Technologies
Daily Supervision: Koen Martens
Type of work: measurements, data analysis
Number of students: 1
Specialisation Option
Nano materials and nanochemistry
Nano‐electronic design
Nano devices and nanophysics x
Bio‐nanotechnology X
Description:
With significant progress in CMOS process technology, we are now able to manufacture nano‐scale
Field‐Effect Transistors (FETs) down to 7 nm. This has opened doors not just for better computing but
also for areas like bio‐sensing for proteins and DNA.
An important innovation lies in large‐scale integration of nanoscale transistors for analyzing
biological systems, which could provide for massive parallelization and deliver a more complete view
of a biological system at a reasonable cost. However, there are several challenges open that still need
to be tackled to achieve such a large‐scale bio‐electronic sensor chip. In this master thesis, the
student will investigate nano‐sized field effect transistors, bioFETs and/or nanopore FETs, for their
ability to sense bio‐molecules in electrolytic environments and try to understand the effect of surface
functionalization on bio‐sensing.
In order to capture the target biomolecules on the FET, the surface of the transistor needs to be
functionalized with special molecules that can bind with the target biomolecules floating around in
the electrolyte solution. This surface functionalization can influence the bio‐sensing ability of the FET,
which is important if we want to achieve a low Limit of Detection (LOD). During the master thesis,
these sensors will be characterized in detail to understand their behavior for different types and
methods of surface functionalization. The student will also work on analyzing the noise contribution
of the functionalization and the effect of the electrolytic environment. The thesis will involve working
in the cleanroom, bio‐chemistry labs and on electrical characterization tools. Molecular sensitivity
and the potential of obtaining FET‐based molecular sensors will be investigated.
Master Nanoscience and Nanotechnology
Thesis Title: Modelling magnonic interactions in layered chromium trihalides
Promotor: Bart Soree
Faculty/Research Group:
Daily Supervision: Sabyasachi Tiwari
Type of work: Modelling
Number of students: 1
Specialisation Option
Nano materials and nanochemistry X
Nano‐electronic design
Nano devices and nanophysics X
Bio‐nanotechnology
Description: Moore's law has all but halted because scaling of transistors has led to reduced carrier mobility and diminished gate control with thinning of 3D materials, e.g. in extremely scaled Si transistors. With shrinking device size and the advent of newer computing architectures, the quest for novel materials, which can form the backbone of futuristic devices for conventional von‐Neumann computing architectures as well as unconventional computing architectures, started more than a decade ago. Magnonic devices, which use the quasi particles called magnons (spin‐excitations) to control the logic state of a device, are a result of this quest. An experimental magnonic device based on YIG (Yttrium iron garnet) has recently been demonstrated. However, serious gaps exist in the theoretical understanding of magnonic excitations and their controllability for device applications. Further, recent advances in the field of layered magnetic materials has led to the discovery of soft magnetic materials, e.g. Chromium iodide (CrI3), which are advantageous for spin‐based devices due to their magnetic softness. Moreover, these materials have certain symmetry properties which can lead to excitations with non‐trivial topological order. In this work, student will model magnonic excitations in recently discovered layered magnetic materials, e.g. CrI3. Student will be using our in‐house code called MAGSIMpy for modelling the magnetic interactions using DFT an then using Monte‐Carlo simulations coupled with linear/renormalized spin‐wave methods to study the transport of magnons in chromium trihalide compounds. The outcome of this project will be a comprehensive study of the magnonic transport and their interaction with extraneous fields, e.g. magnetic field in the chromium trihalide compounds.
Master Nanoscience and Nanotechnology
Thesis Title: Modelling spin‐current polarization in topological insulators using magnetic defects/magnetic field.
Promotor: Bart Soree
Faculty/Research Group:
Daily Supervision: Sabyasachi Tiwari
Type of work: Modeling
Number of students: 1
Specialisation Option
Nano materials and nanochemistry X
Nano‐electronic design
Nano devices and nanophysics X
Bio‐nanotechnology
Description: Moore's law has all but halted because scaling of transistors has led to reduced carrier mobility and diminished gate control with thinning of 3D materials, e.g. in extremely scaled Si transistors. With shrinking device size and the advent of newer computing architectures, the quest for novel materials, which can form the backbone of futuristic devices for conventional von‐Neumann computing architectures as well as unconventional computing architectures, started more than a decade ago. Topological insulators (TIs) open a plethora of opportunities for realising a fast, energy‐efficient futuristic device. One such avenue of research using TIs focuses on using them in spintronic devices. Spintronic devices are devices in which the spin of electron is used for logic‐based computations. Due to spin‐momentum locking in TI edge states, there is a possibility of polarizing the edge state spin‐currents in TIs using magnetic defects. Such highly spin‐polarized current will open a door for realising a fast, energy‐efficient, spintronic device. In this work, student will study the effect of magnetic defects on the spin‐current in 2D TIs using non‐equilibrium Green’s function method (NEGF). Student will be using our in‐house NEGF code and will implement new models for modelling magnetic defects in TIs. The main outcome of this project will be to study the possibility of spin‐current‐polarisation in TIs and their possible use in spintronic devices.
Master Nanoscience and Nanotechnology
Thesis Title: Towards topological spin‐caloritronics
Promotor: Pascal Gehring (?)/Stefan de Gendth
Faculty/Research Group: imec
Daily Supervision: Pascal Gehring
Type of work: Experimental
Number of students: 1
Specialisation Option
Nano materials and nanochemistry
Nano‐electronic design
Nano devices and nanophysics x
Bio‐nanotechnology
Description:
Over the last decade, a new field in solid‐state physics has captured the imagination of a
range of scientific disciplines. Spin caloritronics, in which transport of spin and of heat are
connected to each other, presents us with many fundamental questions, but also exciting
practical prospects. Can spin caloritronics eventually help us turn waste heat into useful
electricity at high efficiencies?
This experimental master project aims at testing if the very high spin‐to‐charge conversion
efficiency of topological insulators can be exploited to build efficient spin caloritronic
devices. To this end, devices consisting of heterostructures of ferromagnets and topological
insulators will be fabricated, and their electronic and thermoelectric properties will be
studied over wide temperature ranges (300K ‐> 2K) and under high magnetic fields.
The master student will acquire the following skills:
• Clean room skills (lithography, thin film deposition techniques)
• Thin film characterization techniques (atomic force microscopy, optical inspection,
vibrating‐sample magnetometer)
• Device design
• Low noise electrical quantum transport experiments
The master student should be familiar with the following concepts:
• Good knowledge about mesoscopic physics
• Ideally: knowledge about quantum transport experiments
Master Nanoscience and Nanotechnology
Thesis Title: Modelling magnetic interactions in yttrium iron garnet (YIG) using DFT and 3D‐ Monte‐Carlo
Promotor: Bart Soree
Faculty/Research Group:
Daily Supervision: Sabyasachi Tiwari
Type of work: Modeling
Number of students: 1
Specialisation Option
Nano materials and nanochemistry X
Nano‐electronic design
Nano devices and nanophysics X
Bio‐nanotechnology
Description: Moore's law has all but halted because scaling of transistors has led to reduced carrier mobility and diminished gate control with thinning of 3D materials, e.g. in extremely scaled Si transistors. With shrinking device size and the advent of newer computing architectures, the quest for novel materials, which can form the backbone of futuristic devices for conventional von‐Neumann computing architectures as well as unconventional computing architectures, started more than a decade ago. Magnonic devices, which use the quasi particles called magnons (spin‐excitations) to control the logic state of a device, are a result of this quest. An experimental magnonic device based on YIG (Yttrium iron garnet) has recently been demonstrated. However, serious gaps exist in the theoretical understanding of interactions in YIG. Given that YIG is an alloy, modelling YIG using conventional methods is hard. However, such materials can be modelled using an in‐house code developed by us called MAGSIMpy. In this work, student will model YIG using MAGSIMpy. Student will be using MAGSIMpy for modelling the magnetic interactions in YIG using DFT and then calculating its ground state using 3D Monte‐Carlo method. Further, student will study the effect of external magnetic field on the critical temperature of YIG, and possible excitations.
Master Nanoscience and Nanotechnology
Thesis Title: Characterization and statistical analysis of waveguide losses on a silicon nitride photonic platform
Promotor: Pol Van Dorpe
Faculty/Research Group: Physics /Solid State Physics and Magnetism ‐ imec/Life Science Technologies
Daily Supervision: Pieter Neutens, Wim Van Roy
Type of work: measurements, data analysis, statistical analysis
Number of students: 1
Specialisation Option
Nano materials and nanochemistry
Nano‐electronic design
Nano devices and nanophysics x
Bio‐nanotechnology
Description:
Silicon nitride photonic waveguides operating at visible wavelengths provide a great platform for
sensing applications. In most waveguide‐based sensing demonstrators, the analyte solution or gas is
brought into close proximity of the waveguide, such that it overlaps with the waveguide’s evanescent
field. This allows detection schemes based on absorption, fluorescence and even Raman scattering.
Several molecular recognition systems have been demonstrated in literature, for example glucose
sensors and enzymatic assays on waveguides. For real life applications, we need to increase the
sensitivity, reduce the limit of detection and improve the reproducibility of waveguide‐based sensing
systems. To bring this technology to the next level, we need a detailed understanding of the origin of
the different sources of waveguide variability. This will be achieved by performing a systematic
characterization of waveguides with different geometries and cladding materials and a consecutive
statistical analysis of the obtained data. The master student will characterize different photonic test
structures tailored towards various possible sources of variability. The student will master handing
photonics wafers and learn to operate an automated photonics wafer probe station. He/she is
responsible for the full experimental characterization and interpretation of the relevant waveguide
structures and will perform a thorough statistical analysis to find the causes of waveguide variability
on a single die, from die‐to‐die and from wafer‐to‐wafer.
Master Nanoscience and Nanotechnology
Thesis Title: Modelling passivated TIs using two‐band Hamiltonian
Promotor: Bart Soree
Faculty/Research Group:
Daily Supervision: Sabyasachi Tiwari
Type of work: Modeling
Number of students: 1
Specialisation Option
Nano materials and nanochemistry X
Nano‐electronic design
Nano devices and nanophysics X
Bio‐nanotechnology
Description: Moore's law has all but halted because scaling of transistors has led to reduced carrier mobility and diminished gate control with thinning of 3D materials, e.g. in extremely scaled Si transistors. With shrinking device size and the advent of newer computing architectures, the quest for novel materials, which can form the backbone of futuristic devices for conventional von‐Neumann computing architectures as well as unconventional computing architectures, started more than a decade ago. Topological insulators (TIs) open a plethora of opportunities for realising a fast, energy‐efficient futuristic device. Realisation of devices using TIs requires understanding of their electronic structure correctly. However, serious gaps exist in the understanding of real TIs and their edge state interaction with environment. TI band‐structure obtained from the ab‐initio density functional theory (DFT) codes differ significantly from the two‐band tight‐binding Hamiltonians, due to edge passivation. In this work, student will study the effect of edge passivation on the electronic structure of 2D TIs. Student will be using in‐house codes to model the edge‐interaction of TIs. The outcome of this project will be a model tight‐binding Hamiltonian which can replicate the edge state dispersion of real TIs obtained from DFT.
Master Nanoscience and Nanotechnology
Thesis Title: Fabrication of ionic liquid microstructures and thin films for technological applications
Promotor: Rob Ameloot, Philippe Vereecken
Faculty/Research Group: Bioscience engineering / cMACS
Daily Supervision: Martin Obst
Type of work: Experimental
Number of students: 1
Specialisation Option
Nano materials and nanochemistry x
Nano‐electronic design
Nano devices and nanophysics
Bio‐nanotechnology
Description:
Ionic liquids (ILs) are organic salts with a melting point below 100 °C. They have attracted
significant scientific interest due to their outstanding physical and chemical properties: a low
vapour pressure and flammability, a high thermal stability and heat capacity, ionic
conductivity, and a large electrochemical window. Since it is possible to fine‐tune these
properties by variation of the ions, ILs can be readily tailored for a variety of applications.
Examples for promising upcoming technological applications of ILs are microbatteries,
electrochemical gas sensors, thin‐film transistors, microlenses, lithographic processing of
ionogels, and microelectromechanical systems (MEMS).
For their integration with microelectronics, ILs need to be anchored to a surface in a defined,
area‐specific manner. In this thesis, ionic liquid microstructures and thin films on surfaces and
within porous materials will be fabricated by means of newly developed techniques by our
laboratory. This challenge includes the formation of IL patterns (area‐selective deposition),
the conformal coating of materials with a high relative surface, the filling of ILs into porous
materials, and the generation of IL microlenses. The student will be directly involved in the
different steps of IL microstructure fabrication and characterization, which will be carried out
at the Centre for Membrane Separation, Adsorption, Catalysis and Spectroscopy (cMACS) in
the Leuven Chem&Tech and Nanocenter facilities. Next, the integration of these materials into
industrial applications will be explored, namely electrochemical sensing and thin film
batteries. This will be performed in close collaboration with imec (Prof. Philippe Vereecken).
We are looking for a creative, highly motivated master student who is eager to work on an
interdisciplinary research topic at the interface of materials chemistry, organic chemistry, and
device fabrication. No specific prior knowledge about ionic liquids is required, but basic
knowledge of organic chemistry is necessary. Accuracy, conscientiousness, and the curiosity
Master Nanoscience and Nanotechnology
to discover new disciplines and acquire new skills are basic requirements. The subject will only
be awarded after a preceding face‐to‐face meeting. Please have a look at our group website
to get an overview of our research (http://www.amelootgroup.org/). If you have questions
about this topic, please contact Martin Obst ([email protected]).