Key Elements for the Future of Nanomanufacturing: Instrumentation,...

25
Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology, and Standards Key Elements for the Future of Key Elements for the Future of Nanomanufacturing: Nanomanufacturing: Instrumentation, Metrology, and Instrumentation, Metrology, and Standards Standards Michael T. Postek Chief, Precision Engineering Division National Institute of Standards and Technology Michael T. Postek Michael T. Postek Chief, Precision Engineering Division Chief, Precision Engineering Division National Institute of Standards and Technology National Institute of Standards and Technology February 6, 2008 Metrology for Nanomanufacturing

Transcript of Key Elements for the Future of Nanomanufacturing: Instrumentation,...

Page 1: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

Key Elements for the Future of Nanomanufacturing:

Instrumentation, Metrology, and Standards

Key Elements for the Future of Key Elements for the Future of Nanomanufacturing: Nanomanufacturing:

Instrumentation, Metrology, and Instrumentation, Metrology, and StandardsStandards

Michael T. PostekChief, Precision Engineering Division

National Institute of Standards and Technology

Michael T. PostekMichael T. PostekChief, Precision Engineering DivisionChief, Precision Engineering Division

National Institute of Standards and TechnologyNational Institute of Standards and Technology

February 6, 2008

Metrology for Nanomanufacturing

Page 2: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

Nanotechnology and Nanomanufacturing

• Nanotechnology is the frontier of innovation and is one of the most dynamic growth areas in the U. S.– Predicted by NSF to become a trillion dollar business– Large U. S. Federal government investment

• Nanometrology is needed by nanotechnology and nanomanufacturing more than any other prior technology.– NIST has been tasked by the NNI as the lead agency

for Instrumentation, Metrology and Standards– NIST is co-lead with NSF on Nanomanufacturing.

•• Nanotechnology is the frontier of innovation and Nanotechnology is the frontier of innovation and is one of the most dynamic growth areas in the is one of the most dynamic growth areas in the U. S.U. S.–– Predicted by NSF to become a trillion dollar businessPredicted by NSF to become a trillion dollar business–– Large U. S. Federal government investmentLarge U. S. Federal government investment

•• NanometrologyNanometrology is needed by nanotechnology is needed by nanotechnology and nanomanufacturing more than any other and nanomanufacturing more than any other prior technology.prior technology.–– NIST has been tasked by the NNI as the lead agency NIST has been tasked by the NNI as the lead agency

for Instrumentation, Metrology and Standardsfor Instrumentation, Metrology and Standards–– NIST is coNIST is co--lead with NSF on Nanomanufacturing.lead with NSF on Nanomanufacturing.

Page 3: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

Approximate 2005 Nanotechnology Spending

World-wide government spending has been (~$4.6B):– $1.7 billion (36%) in North America, almost entirely

accounted for by the U.S.– $1.7 billion (36%) in Asia, dominated by Japan– $1.1 billion (26%) in Western Europe, led by Germany– $100 million in the rest of the world

Established corporations spent ($4.52B): – $1.9 billion (42%) was in North America– $1.7 billion (38%) was in Asia– $850 million (19%) was in Europe– $70 million (2%) was in the rest of the world

To date the spending is likely doubled

Page 4: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

Nanotechnology and Nanomanufacturing

• Nanomanufacturing is the primary bridge over which these substantial investments can be recovered.

• That bridge is just being put on the drawing board

• …and you are the architects

•• Nanomanufacturing is the Nanomanufacturing is the primary bridge over which primary bridge over which these substantial these substantial investments can be investments can be recovered.recovered.

•• That bridge is just being put That bridge is just being put on the drawing boardon the drawing board

•• ……and you are the architectsand you are the architects

Page 5: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

Nanotechnology and Nanomanufacturing

• Measurement science (metrology) is critical for successful Nanomanufacturing

• Metrology has proven that it is value added

• Semiconductor industry is the prime example because it is so well documented.

•• Measurement science Measurement science (metrology) is critical for (metrology) is critical for successful successful NanomanufacturingNanomanufacturing

•• Metrology has proven that it Metrology has proven that it is value addedis value added

•• Semiconductor industry is Semiconductor industry is the prime example because the prime example because it is so well documented.it is so well documented.

Page 6: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

RTI International report: Economic Impact of Measurement in the Semiconductor Industry• NIST plays a leading role in developing SRMs, and

most SRMs are either sold directly by NIST or are traceable to NIST standards.

• Many instrument and tool providers develop their own in-house standards to calibrate their equipment.

• These vendor-supplied standards are also usually NIST traceable.

• SRMs are used by most of the semiconductor supply chain and include the following:

– Front-end processing • – thin film for transmission electron microscopy, or TEM (NIST SRM 2063a) • – scanning electronic microscopy, or SEM, performance (NIST SRM 2069b, 8091, and 2800) • – optical microscope linewidths (NIST SRM 475 and 476) • – implantation standards (NIST SRM 2133–2137) • – ellipsometry (NIST SRM 2531 and 2534) • – microscale dimensional measurement (NIST SRM 5001)

Page 7: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

RTI International report: Conclusion: Measurement innovations add up to big savings innovations add up to big savings for semiconductorsfor semiconductors

RTI estimates that RTI estimates that for every for every $1 $1 spent on spent on measurement, the measurement, the industry as a whole industry as a whole saw a saw a $3.30 $3.30 return. return. On average of 14 similar studies the ratio is $1:$44

Page 8: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

Metrology for Nanomanufacturing• Critical to the realization of robust

nanomanufacturing is the development of the necessary instrumentation, metrology, and standards.

• Integration of the instruments, their interoperability, and appropriate information management are also critical elements that must be considered for viable nanomanufacturing.

Page 9: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

Metrology for Nanomanufacturing• Advanced instrumentation, metrology and

standards allow the physical dimensions, properties, functionality, and purity of the materials, to be measured and characterized.

• Enables production to be scaleable, controllable, predictable, and repeatable to meet market needs.

• Metrology need must be close to the critical processes– on-line vs. off-line

• Highly precise processes taking advantage of the economy of scale is a must.

Page 10: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

“Flat-world” Nanotech Activities““FlatFlat--worldworld”” Nanotech ActivitiesNanotech Activities

•• Fundamental knowledge gaps emergingFundamental knowledge gaps emerging–– MaterialsMaterials–– MeasurementsMeasurements–– InstrumentationInstrumentation–– StandardsStandards–– ModelingModeling

•• Insufficient dataInsufficient data•• Inaccurate dataInaccurate data

–– Environmental Health and Safety (EHS)Environmental Health and Safety (EHS)•• Primary needs are: instrumentation, metrology Primary needs are: instrumentation, metrology

and standardsand standards–– Data, Data, DataData, Data, Data

Page 11: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

Instrumentation - ProblemInstrumentation Instrumentation -- ProblemProblem

• Much of the current measurement infrastructure currently used by nanotechnology/industry is only evolutionary

• New potentially revolutionary metrology is needed for many applications

• Automated, operator independent instrumentation adapted to nanomanufacturing

– NNI Grand Challenge Workshop on Instrumentation and Metrology

– IWG Instrumentation Metrology and Standards for Nanomanufacturing Workshop

•• Much of the current measurement Much of the current measurement infrastructure currently used by infrastructure currently used by nanotechnology/industry is only evolutionarynanotechnology/industry is only evolutionary

•• New potentially revolutionary metrology is New potentially revolutionary metrology is needed for many applicationsneeded for many applications

•• Automated, operator independent Automated, operator independent instrumentation adapted to instrumentation adapted to nanomanufacturing nanomanufacturing

–– NNI Grand Challenge Workshop on Instrumentation and NNI Grand Challenge Workshop on Instrumentation and MetrologyMetrology

–– IWG Instrumentation Metrology and Standards for IWG Instrumentation Metrology and Standards for Nanomanufacturing WorkshopNanomanufacturing Workshop

Page 12: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

Why is this a Hard Problem?Why is this a Hard Problem?Why is this a Hard Problem?

• Much of the measurement infrastructure currently available for nanotechnology/nanomanufacturing is only evolutionary

– Optics– Transmission Electron Microscope– Scanning Electron Microscope– Force Microscopy, etc…..

• New potentially revolutionary metrology is needed for many applications

– Helium Ion Microscope• Automated, operator independent instrumentation

adapted to nanomanufacturing – Much of this was underscored in the NNI Grand Challenge

Workshop on Instrumentation and Metrology and the recent NIST Nanomanufacturing Workshop

•• Much of the measurement infrastructure currently Much of the measurement infrastructure currently available for nanotechnology/nanomanufacturing is available for nanotechnology/nanomanufacturing is only evolutionaryonly evolutionary

–– OpticsOptics–– Transmission Electron MicroscopeTransmission Electron Microscope–– Scanning Electron MicroscopeScanning Electron Microscope–– Force Microscopy, etcForce Microscopy, etc……....

•• New potentially revolutionary metrology is needed for New potentially revolutionary metrology is needed for many applicationsmany applications

–– Helium Ion MicroscopeHelium Ion Microscope•• Automated, operator independent instrumentation Automated, operator independent instrumentation

adapted to nanomanufacturing adapted to nanomanufacturing –– Much of this was underscored in the NNI Grand Challenge Much of this was underscored in the NNI Grand Challenge

Workshop on Instrumentation and Metrology and the recent Workshop on Instrumentation and Metrology and the recent NIST Nanomanufacturing WorkshopNIST Nanomanufacturing Workshop

10 nm

Page 13: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

Why is this a Hard Problem?Why is this a Hard Problem?Why is this a Hard Problem?

• Much of the measurement infrastructure currently available for nanotechnology/nanomanufacturing is only evolutionary

– Optics– Scanning Electron Microscope– Transmission Electron Microscope

• New potentially revolutionary metrology is needed for many applications

– Helium Ion Microscope• Automated, operator independent instrumentation

adapted to nanomanufacturing – Much of this was underscored in the NNI Grand Challenge

Workshop on Instrumentation and Metrology and the recent NIST Nanomanufacturing Workshop

•• Much of the measurement infrastructure currently Much of the measurement infrastructure currently available for nanotechnology/nanomanufacturing is available for nanotechnology/nanomanufacturing is only evolutionaryonly evolutionary

–– OpticsOptics–– Scanning Electron MicroscopeScanning Electron Microscope–– Transmission Electron MicroscopeTransmission Electron Microscope

•• New potentially revolutionary metrology is needed for New potentially revolutionary metrology is needed for many applicationsmany applications

–– Helium Ion MicroscopeHelium Ion Microscope•• Automated, operator independent instrumentation Automated, operator independent instrumentation

adapted to nanomanufacturing adapted to nanomanufacturing –– Much of this was underscored in the NNI Grand Challenge Much of this was underscored in the NNI Grand Challenge

Workshop on Instrumentation and Metrology and the recent Workshop on Instrumentation and Metrology and the recent NIST Nanomanufacturing WorkshopNIST Nanomanufacturing WorkshopGold Nanoparticle Reference Material Gold Nanoparticle Reference Material

Once that is resolved, the same issues limiting the SEM accuracy need to be tackled

Research into Basic Contrast Mechanisms Involved with Image Formation Needed

Page 14: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

Standards:

• Google Search: Standards+nanotechnology+needed• Returned hundreds of references:

– PISCATAWAY, N.J., USA, 22 Dec. 2003 The rapid pace of nanotechnology research and the promise of the many applications emerging from it have prompted a broad initiative at the IEEE to create the standards needed to foster this field.

– The American National Standards Institute's Nanotechnology Standards Panel (ANSI-NSP) serves as the cross-sector coordinating body

– The American National Standards Institute's Nanotechnology Standards Panel (ANSI-NSP) serves as the cross-sector coordinating body

• Numerous standards bodies and government laboratories working on this issue

• Numerous NNI workshops discussing standards for Nanotechnology especially for Environment Health and Safety

Page 15: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

Standards: Real World Situation

• Carbon nanotube – two words for many different possible materials

• At least 50 different CNT species have been identified

• Only half of these species are semiconducting

• Current manufacturing processes do not simply make one type of CNT– Inherently produce a mixture of CNT

species along with 3-60%+ unwanted chemical impurities

MWCNTs 270 tons/yr (245 000 kg/year)SWCNTs 7 tons/yr (6 350 kg/year)

8 000 US$/kg to 500 000 US$/kg

(2007, R. Blackmon, http://www.wtec.org/cnm/)

Page 16: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

International nanotechnology standardization (ISO TC 229)

SEM/EDX(Lead:USA)

TEM(Lead:USA,

Co-lead:Japan)

Raman Spectroscopy

(Lead:USA)

UV-Vis-NIR Absorption

(Lead:Japan)

NIR-PL/Fluorescence(Lead:Japan)

TGA(Lead:USA,

Co-lead:Korea)

TG-MS(Lead:Japan)

MorphologyTube structure,

bundle thickness, orientation

Wall structure, amorphous carbon,

metal catalyst coatings

Non-carbon content (Quantitative)

Non-CNT content(Quantitative)

Length and Diameter

Length and diameter

Tube diameter, metal cluster size

Diameter(Lead:Japan)

Diameter(Lead:Japan) Diameter

Tube Type Metallic/Semiconducting

Metallic/Semiconducting

(Lead: USA, Colead: Korea)

Chirality (Semi conducting

tubes)

Dispersability/Solubility Tube bundling Tub bundling or

separation (solution) Tube bundling

Additional Oxidation/transition temparatures

Oxidation/transition temparatures

Other Participants China China, Korea Korea Korea USA China

TBD:Canada and Germany

Method

Matrix: Purity & Structural Properties, SWCNTs

Purity Non-carbon impurities

Tube surface cleanliness

Nanotube and non-nanotube carbon

Carbonaceous content

(Quantitative)(Lead:USA)

Non-carbon content (Quantitative)

Category

Property

Page 17: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

•• Cross NIST Laboratory effortCross NIST Laboratory effort•• Collaboration between NIST, NASA, Collaboration between NIST, NASA,

NIOSH, NRC, Univ. of Maryland and NIOSH, NRC, Univ. of Maryland and Lehigh UniversityLehigh University

•• Product of commerce Product of commerce -- as received as received sample from the manufacturer.sample from the manufacturer.

–– Main requirement was minimum of 200 Main requirement was minimum of 200 grams of material made in grams of material made in one singleone singleprocess run.process run.

–– SingleSingle--walled, closedwalled, closed--ended, carbon ended, carbon nanotubes, made by an evaporative/arc nanotubes, made by an evaporative/arc method method

–– Packaged in 0.35Packaged in 0.35--0.5 gm0.5 gm

Residual Catalyst Content in Carbon Residual Catalyst Content in Carbon Nanotube (CNT) Bearing MaterialNanotube (CNT) Bearing Material

Page 18: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

Residual Catalyst Content in Carbon Nanotube (CNT) bearing Material

• Residual catalyst concentrations measured by instrumental neutron activation analysis (INAA) and cold neutron prompt gamma-ray activation analysis (CNPGAA)

• Information values obtained from numerous sources such as:

– SEM/EDS– TEM/AEM/EDS– Pyroelectric – Raman (standard and Raman

triple grating spectrometer )– UV, Vis and NIR– AFM– etc…..

• Residual catalyst concentrations measured by instrumental neutron activation analysis (INAA) and cold neutron prompt gamma-ray activation analysis (CNPGAA)

• Information values obtained from numerous sources such as:

– SEM/EDS– TEM/AEM/EDS– Pyroelectric – Raman (standard and Raman

triple grating spectrometer )– UV, Vis and NIR– AFM– etc…..

Material proved to be too inhomogeneous to be useful as an RM A new material has been identified and is being pursued

A great many lessons were learned by this workThe data obtained will be published in the near future

Page 19: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

• Collaboration between the NCI, FDA, and NIST– Perform pre-clinical characterization of

nanomaterials as drug delivery systems – Accelerate the use of nanoparticles for drug

delivery, as image contrast agents and for diagnosis.

• NIST is working to develop quantitative, reproducible measurement methods and protocols for nanotechnology/nanoparticles measurements

• Collaboration between the NCI, FDA, and NIST– Perform pre-clinical characterization of

nanomaterials as drug delivery systems – Accelerate the use of nanoparticles for drug

delivery, as image contrast agents and for diagnosis.

• NIST is working to develop quantitative, reproducible measurement methods and protocols for nanotechnology/nanoparticles measurements

NIST/NCI/FDA

Page 20: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

Gold Nanoparticle Size Standard

80 nm10 nm

200 nm 100 nm

Reference Material has been released

NIST/NCI/FDA

Page 21: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

Gold Nanoparticle Size Gold Nanoparticle Size Standard RMStandard RM

RM 8011 - 10 nmRM 8012 - 30 nmRM 8013 - 60 nm

•NCI/NIST/FDA

http://ts.nist.gov/measurementservices/referencematerials/index.http://ts.nist.gov/measurementservices/referencematerials/index.cfmcfm

Report of Investigation available at:

Page 22: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

Strategic Alliances ImperativeStrategic Alliances ImperativeStrategic Alliances Imperative

• Developing effective instrumentation, nanometrology, and nanostandards is not a simple problem to solve

• Success requires strategic alliances between: Governments, University and Industry

• Nanotech strong fit for strategic alliances– Multi-disciplinary nature– Enabling technology– Broad industry implications– Federal funding opportunities– Commercialization challenges

• Cannot be done alone which leads to the multidimensional nature of nanotechnology…

• ..and the reason for this workshop!

• Developing effective instrumentation, nanometrology, and nanostandards is not a simple problem to solve

• Success requires strategic alliances between: Governments, University and Industry

• Nanotech strong fit for strategic alliances– Multi-disciplinary nature– Enabling technology– Broad industry implications– Federal funding opportunities– Commercialization challenges

• Cannot be done alone which leads to the multidimensional nature of nanotechnology…

• ..and the reason for this workshop!

Page 23: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

Thank youThank you

Page 24: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

Contact InformationContact InformationContact Information

Michael T. Postek, Ph.D.Michael T. Postek, Ph.D.Chief, Precision Engineering DivisionChief, Precision Engineering DivisionNISTNIST100 Bureau Drive, Stop 8210100 Bureau Drive, Stop 8210Gaithersburg, MD 20899Gaithersburg, MD [email protected]@nist.govPh: 301Ph: 301--975975--22992299

Page 25: Key Elements for the Future of Nanomanufacturing: Instrumentation, Metrology…chm.pse.umass.edu/NMSworkshop/protected/PostekSlides.pdf · 2008-07-18 · Metrology for Nanomanufacturing

The End